From 5b659cc42add970e2217084db7424428a40cbee5 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 16 Jan 2022 10:56:37 -0500 Subject: [PATCH] idk --- cpld/CNT.v | 7 +- cpld/IOBM.v | 1 + cpld/XC95144XL/IOBM_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/IOBM_isim_beh1.wdb | Bin 0 -> 7791 bytes cpld/XC95144XL/MXSE.bld | 8 +- cpld/XC95144XL/MXSE.cmd_log | 7 + cpld/XC95144XL/MXSE.gise | 86 +- cpld/XC95144XL/MXSE.gyd | 192 +- cpld/XC95144XL/MXSE.jed | 2756 ++-- cpld/XC95144XL/MXSE.mfd | 3666 +++-- cpld/XC95144XL/MXSE.nga | 2 +- cpld/XC95144XL/MXSE.ngc | 2 +- cpld/XC95144XL/MXSE.ngd | 2 +- cpld/XC95144XL/MXSE.ngr | 2 +- cpld/XC95144XL/MXSE.pad | 129 + cpld/XC95144XL/MXSE.pnx | 2 +- cpld/XC95144XL/MXSE.rpt | 2088 ++- cpld/XC95144XL/MXSE.syr | 33 +- cpld/XC95144XL/MXSE.tspec | 427 +- cpld/XC95144XL/MXSE.vm6 | 11292 +++++++------- cpld/XC95144XL/MXSE.xise | 32 +- cpld/XC95144XL/MXSE_envsettings.html | 6 +- cpld/XC95144XL/MXSE_html/fit/ascii.htm | 1908 +-- cpld/XC95144XL/MXSE_html/fit/defeqns.htm | 666 +- cpld/XC95144XL/MXSE_html/fit/eqns.htm | 1950 +-- cpld/XC95144XL/MXSE_html/fit/fbs.htm | 46 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm | 141 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm | 98 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm | 116 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm | 116 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm | 209 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm | 103 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm | 144 +- cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm | 203 +- cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm | 232 +- cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm | 106 +- cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm | 108 +- cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm | 1048 +- cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm | 1098 +- cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm | 1539 +- cpld/XC95144XL/MXSE_html/fit/pins.htm | 118 +- cpld/XC95144XL/MXSE_html/fit/summary.htm | 14 +- .../XC95144XL/MXSE_html/tim/timing_report.htm | 1320 +- cpld/XC95144XL/MXSE_ngdbuild.xrpt | 28 +- cpld/XC95144XL/MXSE_summary.html | 14 +- cpld/XC95144XL/MXSE_xst.xrpt | 24 +- cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/_xmsgs/cpldfit.xmsgs | 1 + cpld/XC95144XL/_xmsgs/pn_parser.xmsgs | 20 +- cpld/XC95144XL/fuse.log | 16 +- cpld/XC95144XL/fuseRelaunch.cmd | 2 +- cpld/XC95144XL/iseconfig/MXSE.projectmgr | 26 +- cpld/XC95144XL/iseconfig/MXSE.xreport | 6 +- cpld/XC95144XL/isim.log | 32 +- .../XC95144XL/isim/isim_usage_statistics.html | 12 +- .../ISimEngine-DesignHierarchy.dbg | Bin 4816 -> 0 bytes .../t_cnt_isim_beh.exe.sim/isimkernel.log | 28 - .../isim/t_cnt_isim_beh.exe.sim/netId.dat | Bin 60 -> 0 bytes .../t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe | Bin 257839 -> 0 bytes .../isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 | Bin 2757 -> 0 bytes .../work/m_00000000001129268806_2975551742.c | 12995 ---------------- .../m_00000000001129268806_2975551742.didat | Bin 8760 -> 0 bytes ...m_00000000001129268806_2975551742.nt64.obj | Bin 204304 -> 0 bytes .../work/m_00000000002982276307_1919318694.c | 1141 -- .../m_00000000002982276307_1919318694.didat | Bin 3384 -> 0 bytes ...m_00000000002982276307_1919318694.nt64.obj | Bin 4647 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 5876 -> 0 bytes .../isim/t_cs_isim_beh.exe.sim/isimkernel.log | 28 - .../isim/t_cs_isim_beh.exe.sim/netId.dat | Bin 108 -> 0 bytes .../isim/t_cs_isim_beh.exe.sim/tmp_save/_1 | Bin 3629 -> 0 bytes .../work/m_00000000002201886890_2332283379.c | 360 - .../m_00000000002201886890_2332283379.didat | Bin 4244 -> 0 bytes ...m_00000000002201886890_2332283379.nt64.obj | Bin 6030 -> 0 bytes .../work/m_00000000003586053589_0453017098.c | 5992 ------- .../m_00000000003586053589_0453017098.didat | Bin 5320 -> 0 bytes ...m_00000000003586053589_0453017098.nt64.obj | Bin 15183 -> 0 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 2794 -> 0 bytes .../work/t_cs_isim_beh.exe_main.nt64.obj | Bin 1327 -> 0 bytes .../ISimEngine-DesignHierarchy2.dbg | Bin 0 -> 6195 bytes .../isimcrash.log | 0 .../t_fsb_isim_beh.exe.sim/isimkernel.log | 28 + .../libPortability.dll | Bin .../isim/t_fsb_isim_beh.exe.sim/netId2.dat | Bin 0 -> 100 bytes .../t_fsb_isim_beh.exe} | Bin 132328 -> 129826 bytes .../isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 4037 bytes .../work/m_00000000001435061139_3156757336.c | 677 + .../m_00000000001435061139_3156757336.didat | Bin 0 -> 4864 bytes ...m_00000000001435061139_3156757336.nt64.obj | Bin 0 -> 10598 bytes .../work/m_00000000002613258380_2132107520.c | 2898 ++++ .../m_00000000002613258380_2132107520.didat | Bin 0 -> 5144 bytes ...m_00000000002613258380_2132107520.nt64.obj | Bin 0 -> 8718 bytes .../work/m_00000000004134447467_2073120511.c | 2 +- .../m_00000000004134447467_2073120511.didat | Bin 5496 -> 5500 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 2810 -> 2810 bytes .../work/t_fsb_isim_beh.exe_main.c} | 6 +- .../work/t_fsb_isim_beh.exe_main.nt64.obj} | Bin 1328 -> 1328 bytes .../ISimEngine-DesignHierarchy1.dbg | Bin 0 -> 9079 bytes .../isimcrash.log | 0 .../t_iobm_isim_beh.exe.sim/isimkernel.log | 28 + .../libPortability.dll | Bin .../isim/t_iobm_isim_beh.exe.sim/netId1.dat | Bin 0 -> 172 bytes .../t_iobm_isim_beh.exe | Bin 0 -> 171496 bytes .../isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 6763 bytes .../work/m_00000000000808859884_3633994423.c | 8216 ++++++++++ .../m_00000000000808859884_3633994423.didat | Bin 0 -> 7580 bytes ...m_00000000000808859884_3633994423.nt64.obj | Bin 0 -> 26667 bytes .../work/m_00000000001759270804_2646922372.c | 3059 ++++ .../m_00000000001759270804_2646922372.didat | Bin 0 -> 7072 bytes ...m_00000000001759270804_2646922372.nt64.obj | Bin 0 -> 47580 bytes .../work/m_00000000004134447467_2073120511.c | 337 + .../m_00000000004134447467_2073120511.didat | Bin 0 -> 5500 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 0 -> 2810 bytes .../work/t_iobm_isim_beh.exe_main.c} | 6 +- .../work/t_iobm_isim_beh.exe_main.nt64.obj | Bin 0 -> 1329 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 8576 bytes .../isim/t_ram_isim_beh.exe.sim/isimcrash.log | 0 .../t_ram_isim_beh.exe.sim/isimkernel.log | 28 + .../t_ram_isim_beh.exe.sim/libPortability.dll | Bin 0 -> 901632 bytes .../isim/t_ram_isim_beh.exe.sim/netId.dat | Bin 0 -> 188 bytes .../t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe | Bin 0 -> 176884 bytes .../isim/t_ram_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 5888 bytes .../work/m_00000000000498029938_2356217838.c | 9104 +++++++++++ .../m_00000000000498029938_2356217838.didat | Bin 0 -> 7940 bytes ...m_00000000000498029938_2356217838.nt64.obj | Bin 0 -> 26707 bytes .../work/m_00000000001578517288_1330392502.c | 3523 +++++ .../m_00000000001578517288_1330392502.didat | Bin 0 -> 7300 bytes ...m_00000000001578517288_1330392502.nt64.obj | Bin 0 -> 54031 bytes .../work/m_00000000004134447467_2073120511.c | 2 +- .../m_00000000004134447467_2073120511.didat | Bin 5500 -> 5500 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 0 -> 2810 bytes .../work/t_ram_isim_beh.exe_main.c | 36 + .../work/t_ram_isim_beh.exe_main.nt64.obj | Bin 0 -> 1328 bytes cpld/XC95144XL/isim/work/@c@s.sdb | Bin 6435 -> 0 bytes cpld/XC95144XL/isim/work/@f@s@b.sdb | Bin 0 -> 4782 bytes cpld/XC95144XL/isim/work/glbl.sdb | Bin 4565 -> 4565 bytes cpld/XC95144XL/isim/work/t_cs.sdb | Bin 5397 -> 0 bytes cpld/XC95144XL/isim/work/t_fsb.sdb | Bin 0 -> 9442 bytes cpld/XC95144XL/t_fsb_beh.prj | 3 + cpld/XC95144XL/t_fsb_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/t_fsb_isim_beh.wdb | 0 cpld/XC95144XL/t_fsb_isim_beh1.wdb | Bin 0 -> 12116 bytes cpld/XC95144XL/t_fsb_isim_beh2.wdb | Bin 0 -> 7446 bytes cpld/XC95144XL/t_iobm_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/t_iobm_isim_beh1.wdb | Bin 0 -> 12289 bytes cpld/XC95144XL/t_iobm_stx_beh.prj | 3 + cpld/XC95144XL/t_ram.v | 314 + cpld/XC95144XL/t_ram_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/t_ram_isim_beh.wdb | Bin 0 -> 14450 bytes cpld/XC95144XL/t_ram_stx_beh.prj | 3 + cpld/XC95144XL/test/t_fsb.v | 176 + cpld/XC95144XL/test/t_iobm.v | 238 + cpld/XC95144XL/tmperr.err | 2 - cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 4407 -> 4466 bytes cpld/XC95144XL/xst/work/hdllib.ref | 14 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 18158 -> 18234 bytes cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin | Bin 16105 -> 16105 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 12744 -> 12744 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 6917 -> 6917 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 3892 -> 4280 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 17476 -> 17476 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 12040 -> 12663 bytes 161 files changed, 46121 insertions(+), 35332 deletions(-) create mode 100644 cpld/XC95144XL/IOBM_isim_beh.exe create mode 100644 cpld/XC95144XL/IOBM_isim_beh1.wdb create mode 100644 cpld/XC95144XL/MXSE.pad delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat delete mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimkernel.log delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim => t_fsb_isim_beh.exe.sim}/isimcrash.log (100%) create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim => t_fsb_isim_beh.exe.sim}/libPortability.dll (100%) create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat rename cpld/XC95144XL/isim/{t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe => t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe} (56%) create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat create mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim => t_fsb_isim_beh.exe.sim}/work/m_00000000004134447467_2073120511.c (99%) rename cpld/XC95144XL/isim/{t_cs_isim_beh.exe.sim => t_fsb_isim_beh.exe.sim}/work/m_00000000004134447467_2073120511.didat (87%) rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim => t_fsb_isim_beh.exe.sim}/work/m_00000000004134447467_2073120511.nt64.obj (95%) rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c => t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c} (87%) rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj => t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj} (72%) create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg rename cpld/XC95144XL/isim/{t_cs_isim_beh.exe.sim => t_iobm_isim_beh.exe.sim}/isimcrash.log (100%) create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log rename cpld/XC95144XL/isim/{t_cs_isim_beh.exe.sim => t_iobm_isim_beh.exe.sim}/libPortability.dll (100%) create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj rename cpld/XC95144XL/isim/{t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.c => t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c} (87%) create mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj rename cpld/XC95144XL/isim/{t_cs_isim_beh.exe.sim => t_ram_isim_beh.exe.sim}/work/m_00000000004134447467_2073120511.c (99%) rename cpld/XC95144XL/isim/{t_cnt_isim_beh.exe.sim => t_ram_isim_beh.exe.sim}/work/m_00000000004134447467_2073120511.didat (62%) create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c create mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj delete mode 100644 cpld/XC95144XL/isim/work/@c@s.sdb create mode 100644 cpld/XC95144XL/isim/work/@f@s@b.sdb delete mode 100644 cpld/XC95144XL/isim/work/t_cs.sdb create mode 100644 cpld/XC95144XL/isim/work/t_fsb.sdb create mode 100644 cpld/XC95144XL/t_fsb_beh.prj create mode 100644 cpld/XC95144XL/t_fsb_isim_beh.exe create mode 100644 cpld/XC95144XL/t_fsb_isim_beh.wdb create mode 100644 cpld/XC95144XL/t_fsb_isim_beh1.wdb create mode 100644 cpld/XC95144XL/t_fsb_isim_beh2.wdb create mode 100644 cpld/XC95144XL/t_iobm_isim_beh.exe create mode 100644 cpld/XC95144XL/t_iobm_isim_beh1.wdb create mode 100644 cpld/XC95144XL/t_iobm_stx_beh.prj create mode 100644 cpld/XC95144XL/t_ram.v create mode 100644 cpld/XC95144XL/t_ram_isim_beh.exe create mode 100644 cpld/XC95144XL/t_ram_isim_beh.wdb create mode 100644 cpld/XC95144XL/t_ram_stx_beh.prj create mode 100644 cpld/XC95144XL/test/t_fsb.v create mode 100644 cpld/XC95144XL/test/t_iobm.v diff --git a/cpld/CNT.v b/cpld/CNT.v index ffea199..d26fff4 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -18,13 +18,16 @@ module CNT( end /* Timeout signals */ + reg TimeoutBPre; always @(posedge FCLK) begin if (~CACT) begin TimeoutA <= 0; + TimeoutBPre <= 0; TimeoutB <= 0; end else begin - if (RefCnt==0) TimeoutA <= 1; - if (RefCnt==0 && TimeoutA) TimeoutB <= 1; + if (RefCnt[6:0]==0) TimeoutA <= 1; + if (RefCnt==0) TimeoutBPre <= 1; + if (RefCnt==0 && TimeoutBPre) TimeoutB <= 1; end end diff --git a/cpld/IOBM.v b/cpld/IOBM.v index 30edeed..6c5435e 100644 --- a/cpld/IOBM.v +++ b/cpld/IOBM.v @@ -72,6 +72,7 @@ module IOBM( IOS <= 2; IOACT <= 1; ALE0 <= 1; + IOBERR <= 0; end else if (IOS==2) begin IOS <= 3; IOACT <= 1; diff --git a/cpld/XC95144XL/IOBM_isim_beh.exe b/cpld/XC95144XL/IOBM_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/IOBM_isim_beh1.wdb b/cpld/XC95144XL/IOBM_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..0a4aadb18802146eb11979c43ed038f853108610 GIT binary patch literal 7791 zcmeH~U2Ggz8HR^qXbLT)C2312bR0J|rHR+;f2aBJdUv{Uz0R85bzG@QC$?j!OP#f` z*GXCeDKs?v6q=?j0a{9h1V~guLW+=(3Wy7k0C58s6;hC>goKKaT0w=lpbGCZdrp`= zW!PMBnNNP_+wYub-Z?XK=FIrDu^*ov`OfgJ3kx0Jd;XR7pLpKeJmO5W^}Iit{ItoP zjc#xCsz$@b|8wB_!efntm_wNndER+5hNNV9J9fBHsYB7U&*nz>q)Q!8QTXdg+7G4brXB`=oYhgTyg5N?W8GCHA>VxI_;v`yM1r6fGl(k)W2MD$6V zO25Qa9+2KH4NA95cS(5fmOd+N(<61>9E8YACL%c#fWs~^>xP{c=G(r z8=5-LscxmeUhR2r=tA$%#YCs1f9b-VP)5|Pg6n;^5p0`uoQ(;tGqGOcd^rbZHusCH z6a^gjhM=9WzvJ0g@u0LU!EpR|Fps#W+(#SMH=ccU?o~rs!slTNoBU2?=H9ImUhMmh z|B`*R{aJ(myE)NnjM2|1@aKok*EO2Gc=pxyPkFR4c*Vygd(5ao8@s>FoGTH}zUph_ zvC;T&ty=lm+Z@mMY?4Q(@mahKAD&+#o_*C@44< z5BH9UXJ7TCJPsJ2CDpBb*oW|=Z6ou0RvtCu!+dPzbGz9;p7B|g$4TS!@yqbBb32~# zIVF!X#%JX+d|2a%c=lC4Bad$xpHLWR9_3aUX=l9L8@~5o*GYtBX(O(YV81PGlW3=nNMc`9UrK^~mqaA7KTw~Y&+m@N zWcG*Z=lc@hYaQ0!8|DDxeMz$O^11|;_b=?vq<#tC_eg{tuk~fV!2Vp~TNaj@a9Lk% ztR26U1|?W(0+wv=1@nZy-$-{!u-8jO68l^A?UrC~kccGqW%XqxSbYjdk;Gn5Z(f4k z5Rq^7W$rNEKS|>f>_&-jeJ%T{`ic_ljS`W>zOKHq1bdT2xGeLJG5kZCmSAs|h$Qw+ z_1!1I@@-C7*4|_06uxbO_AA5M?{AldL)QvAU|7~FB8lA~_I~x_dkdfl!_r2$Y)Wmg_Xy&DQCPlh z$%wGyjyfbFfvhpH_ywQzBq_cI|js=U`XFenuH~t3K+a~DC%CPo-5tnT@>;^$k7<)==S)Om$eS)4+hTSOI;RYqkqDRFsy5gc z1$|W+c2puwT} zU8i>5^*Y{3bmjMz>u_Zb{O>uyGh?5Z`0qBg6Q=JX&nRK**tg(GwOw>;Sl&t5?Ww8N z`IXhw!!xz{2UEGFxwRwnwfbr*U#;(1n@vs6tSoOU2dTN`#Y3sF;^;)zQTDmFx>&6p zPo?|122*3@Ak39XsiX5N)y1X5snwOa)ZxY1MZsZxX}PgsZ!g+p(*qM;c4)#2yjrf3 z$&P!qeUlllHX4*lUab_Ay;^2ztzHa-mx7OKTga8Qor<>8f!Ek6!r8I0hxP)iLDlw6 z$S>D0$rOTa71de+!%ViKZM1LXzS3}8v3bFhr7E@y9-N9OEpQ+eY!^I@GFZ`Hj~7Iz zFjg#koO5H7FPFT0rR?Q3+R0KO=Pie8%VGVuJg@d*el*M%MhjjxTNp1k ze%@2b0|=|NI)jKZgNS}a{@KiAWvUd!_S8uQX4i-) z?-{#0UQbQtGL@zsruJ3>d$h(bK_MM;EC+?2=CZfB?2DCC<)Fk_#|>;-+{L!Vt!$g| zD;2Zh^jNO4$E#fWm03JC^U!LT?hU)S`4gUP7!1=*4L!YKPorV)d_6Zmw;1*ehrP`$ zz1?A-(~=JRotB<(pwVKE)H@UoHa851Lro2R-QjR^!%&!R9&X=om~I|#|3H{F1I{kh z>e@kw8LvIZba$9G1HROh4%24Dmzs1b%#iJ=rw4SoqB$LT_h~%wa#)w@KfV3kx=v9! XR;$*lGmBw=kFHZw%RslTQ`GWrQb|Fp literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE.bld b/cpld/XC95144XL/MXSE.bld index 80e33cd..7917d9c 100644 --- a/cpld/XC95144XL/MXSE.bld +++ b/cpld/XC95144XL/MXSE.bld @@ -2,16 +2,16 @@ Release 14.7 ngdbuild P.20131013 (nt) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p +ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd Reading NGO file -"C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.ngc" ... +"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.ngc" ... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file -"C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf" ... +"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -29,7 +29,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 130168 kilobytes +Total memory usage is 130604 kilobytes Writing NGD file "MXSE.ngd" ... Total REAL time to NGDBUILD completion: 1 sec diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log index 1358c60..4493a01 100644 --- a/cpld/XC95144XL/MXSE.cmd_log +++ b/cpld/XC95144XL/MXSE.cmd_log @@ -157,3 +157,10 @@ ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/ xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE diff --git a/cpld/XC95144XL/MXSE.gise b/cpld/XC95144XL/MXSE.gise index f99335a..ae9ee5c 100644 --- a/cpld/XC95144XL/MXSE.gise +++ b/cpld/XC95144XL/MXSE.gise @@ -22,6 +22,7 @@ + @@ -32,6 +33,7 @@ + @@ -40,10 +42,13 @@ + + + @@ -60,9 +65,16 @@ + + + + + + + @@ -81,13 +93,9 @@ - + - - - - @@ -95,23 +103,17 @@ - - - - + + + - + - + - - - - - @@ -119,35 +121,33 @@ - - - - + + + - + - - + - - - + + - + + - + @@ -157,7 +157,7 @@ - + @@ -178,7 +178,7 @@ - + @@ -188,34 +188,46 @@ - - - + + + + + + + + + + + + + - + - - + + + - + + diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd index 915eba3..d1053fd 100644 --- a/cpld/XC95144XL/MXSE.gyd +++ b/cpld/XC95144XL/MXSE.gyd @@ -1,113 +1,117 @@ Pin Freeze File: version P.20131013 95144XL100 XC95144XL-10-TQ100 -A_FSB<10> S:PIN68 -A_FSB<11> S:PIN11 -A_FSB<12> S:PIN64 -A_FSB<13> S:PIN13 -A_FSB<14> S:PIN14 -A_FSB<15> S:PIN15 -A_FSB<16> S:PIN89 -A_FSB<17> S:PIN90 -A_FSB<18> S:PIN92 -A_FSB<19> S:PIN82 -A_FSB<1> S:PIN17 -A_FSB<20> S:PIN93 -A_FSB<21> S:PIN80 -A_FSB<22> S:PIN95 -A_FSB<23> S:PIN76 -A_FSB<2> S:PIN18 +A_FSB<10> S:PIN89 +A_FSB<11> S:PIN86 +A_FSB<12> S:PIN92 +A_FSB<13> S:PIN82 +A_FSB<14> S:PIN93 +A_FSB<15> S:PIN80 +A_FSB<16> S:PIN95 +A_FSB<17> S:PIN96 +A_FSB<18> S:PIN76 +A_FSB<19> S:PIN73 +A_FSB<1> S:PIN90 +A_FSB<20> S:PIN71 +A_FSB<21> S:PIN68 +A_FSB<22> S:PIN9 +A_FSB<23> S:PIN66 +A_FSB<2> S:PIN16 A_FSB<3> S:PIN78 -A_FSB<4> S:PIN54 -A_FSB<5> S:PIN25 +A_FSB<4> S:PIN56 +A_FSB<5> S:PIN15 A_FSB<6> S:PIN19 -A_FSB<7> S:PIN96 -A_FSB<8> S:PIN52 -A_FSB<9> S:PIN59 +A_FSB<7> S:PIN20 +A_FSB<8> S:PIN64 +A_FSB<9> S:PIN12 CLK2X_IOB S:PIN22 CLK_FSB S:PIN23 CLK_IOB S:PIN27 -E_IOB S:PIN46 -nAS_FSB S:PIN73 -nBERR_IOB S:PIN56 -nDTACK_IOB S:PIN49 -nLDS_FSB S:PIN71 +E_IOB S:PIN49 +nAS_FSB S:PIN13 +nBERR_IOB S:PIN18 +nDTACK_IOB S:PIN54 +nLDS_FSB S:PIN25 nRES S:PIN99 -nUDS_FSB S:PIN20 -nVPA_IOB S:PIN28 -nWE_FSB S:PIN66 -RA<11> S:PIN58 -RA<10> S:PIN70 -RA<0> S:PIN8 -RA<1> S:PIN87 -RA<2> S:PIN65 -RA<3> S:PIN74 -RA<4> S:PIN77 -RA<5> S:PIN91 -RA<6> S:PIN67 -RA<7> S:PIN50 -RA<8> S:PIN53 -RA<9> S:PIN55 -nADoutLE0 S:PIN60 -nADoutLE1 S:PIN16 +nUDS_FSB S:PIN52 +nVPA_IOB S:PIN59 +nWE_FSB S:PIN61 +RA<11> S:PIN63 +RA<10> S:PIN65 +RA<0> S:PIN87 +RA<1> S:PIN35 +RA<2> S:PIN91 +RA<3> S:PIN39 +RA<4> S:PIN94 +RA<5> S:PIN50 +RA<6> S:PIN53 +RA<7> S:PIN55 +RA<8> S:PIN58 +RA<9> S:PIN60 +nADoutLE0 S:PIN67 +nADoutLE1 S:PIN29 nAS_IOB S:PIN10 -nAoutOE S:PIN72 -nBERR_FSB S:PIN63 -nCAS S:PIN79 -nDTACK_FSB S:PIN12 -nDinLE S:PIN61 -nDinOE S:PIN94 -nDoutOE S:PIN9 +nAoutOE S:PIN70 +nBERR_FSB S:PIN14 +nCAS S:PIN74 +nDTACK_FSB S:PIN11 +nDinLE S:PIN72 +nDinOE S:PIN97 +nDoutOE S:PIN8 nLDS_IOB S:PIN6 -nOE S:PIN81 -nRAMLWE S:PIN33 -nRAMUWE S:PIN97 +nOE S:PIN17 +nRAMLWE S:PIN77 +nRAMUWE S:PIN79 nRAS S:PIN24 -nROMCS S:PIN35 -nROMWE S:PIN85 +nROMCS S:PIN42 +nROMWE S:PIN81 nUDS_IOB S:PIN7 -nVMA_IOB S:PIN29 -nVPA_FSB S:PIN86 +nVMA_IOB S:PIN33 +nVPA_FSB S:PIN85 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 EXP14_ EXP15_ nDTACK_FSB_OBUF EXP16_ - EXP17_ EXP18_ -PARTITION FB1_8 EXP19_ nADoutLE1_OBUF fsb/BERR0r EXP20_ - fsb/Ready2r EXP21_ $OpTx$INV$223 EXP22_ - IORW0 IOREQ EXP23_ -PARTITION FB2_1 iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 - iobm/IOS_FSM_FFd1 iobm/BERRrr iobm/BERRrf iobm/IOS_FSM_FFd8 - ALE0M iobm/IOS_FSM_FFd2 nLDS_IOB_OBUF nUDS_IOB_OBUF - iobm/IOS_FSM_FFd3 RA_0_OBUF nDoutOE_OBUF IOBERR - nAS_IOB_OBUF IOACT -PARTITION FB3_1 EXP24_ EXP25_ iobs/IORW1 iobm/ETACK - nRAS_OBUF iobs/PS_FSM_FFd1 iobs/IOReady BERR_IOBS - ram/RS_FSM_FFd1 ram/Once nVMA_IOB_OBUF iobs/PS_FSM_FFd2 - EXP26_ iobs/Once nRAMLWE_OBUF fsb/Ready1r - EXP27_ iobs/Load1 -PARTITION FB4_1 EXP28_ RA_1_OBUF EXP29_ ram/RS_FSM_FFd2 - EXP30_ ram/RASEL EXP31_ RA_5_OBUF - EXP32_ ram/RAMDIS1 EXP33_ nDinOE_OBUF - EXP34_ ram/RAMReady EXP35_ EXP36_ - nRAMUWE_OBUF ram/RAMDIS2 -PARTITION FB5_2 nROMCS_OBUF -PARTITION FB5_16 iobm/VPArr iobm/Er cnt/RefCnt<0> -PARTITION FB6_1 ram/BACTr RA_3_OBUF iobs/IOACTr fsb/ASrf - cnt/RefCnt<3> RA_4_OBUF cnt/RefCnt<2> cnt/RefCnt<1> - nCAS_OBUF RefAck ALE0S nOE_OBUF - iobs/IOU1 iobs/IOL1 nROMWE_OBUF IOU0 - nVPA_FSB_OBUF IOL0 -PARTITION FB7_1 iobm/VPArf RA_7_OBUF iobm/RESrr iobm/RESrf - iobm/IOREQr RA_8_OBUF iobm/Er2 iobm/DTACKrr - RA_9_OBUF iobm/DTACKrf iobm/ES<3> A_FSB_19_IBUF$BUF0 - iobm/ES<1> iobm/ES<0> nADoutLE0_OBUF iobm/ES<4> - nDinLE_OBUF iobm/ES<2> -PARTITION FB8_1 fsb/VPA nBERR_FSB_OBUF cnt/RefCnt<6> cnt/RefCnt<5> - cnt/RefCnt<4> RA_2_OBUF fsb/BERR1r cs/nOverlay1 - RA_6_OBUF cs/nOverlay0 cnt/RefDone A_FSB_21_IBUF$BUF0 - fsb/Ready0r TimeoutB nAoutOE_OBUF ram/RS_FSM_FFd3 - TimeoutA cnt/RefCnt<7> +PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606 + EXP16_ nBERR_FSB_OBUF fsb/Ready1r +PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r + EXP18_ EXP19_ fsb/VPA EXP20_ + $OpTx$FX_DC$602 EXP21_ +PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> + fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF + cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA + nAS_IOB_OBUF iobs/IOReady +PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr + nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S + iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1 + IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_ + iobs/IORW1 ram/BACTr +PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1 +PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF + EXP28_ iobs/Once EXP29_ RA_4_OBUF + EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r + nDinOE_OBUF EXP32_ +PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 + iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF + iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF + ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3 + EXP33_ IOBERR +PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf + RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1 + nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF + iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0 + iobm/ES<4> iobm/ES<2> +PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0 + ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1 + RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF + ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6> + ram/RAMReady EXP34_ +PARTITION FB8_2 A_FSB_19_IBUF$BUF0 +PARTITION FB8_6 A_FSB_21_IBUF$BUF0 +PARTITION FB8_9 nADoutLE0_OBUF +PARTITION FB8_12 nAoutOE_OBUF +PARTITION FB8_15 nDinLE_OBUF +PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er diff --git a/cpld/XC95144XL/MXSE.jed b/cpld/XC95144XL/MXSE.jed index d2a0ba7..9f5a12e 100644 --- a/cpld/XC95144XL/MXSE.jed +++ b/cpld/XC95144XL/MXSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sun Oct 24 06:31:45 2021 +Date Extracted: Sat Dec 11 06:24:30 2021 QF93312* QP100* @@ -90,570 +90,570 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 10000000 00000000 00100000 00000000 00000000 01000000 00000000* -L0000064 00000000 10000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0000128 00000000 10000000 00000000 00101000 00000000 00000000 00000000 00000000* -L0000192 00000000 10000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0000256 00000000 10000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0000320 00000000 00000100 00000000 00001000 00000000 00000000 00000000 00000000* -L0000384 00100000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0000448 00000000 00010000 00000000 01001000 00000000 00000000 00000000 00000000* -L0000512 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0000576 000000 000000 000000 010000 000000 000000 000000 000000* -L0000624 000000 001001 000000 001000 000000 000000 100000 000000* -L0000672 000000 001011 000000 001000 000000 000000 000000 000000* -L0000720 000000 000101 000000 011000 000000 000000 000000 000000* -L0000768 000000 000001 000000 011010 000000 000000 000000 000000* -L0000816 000000 000001 000000 001010 000000 000000 000000 000000* -L0000864 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000928 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000992 10001000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0001056 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001120 10001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 10000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0001248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001376 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 100011 000000 000000 000000 000000 000000 000000 000000* -L0001488 100000 000000 000000 100000 000000 000000 000000 010000* -L0001536 100100 000000 000000 100000 000000 000000 000000 000000* -L0001584 100100 000000 000000 000000 000000 000000 000000 000000* -L0001632 100100 000000 000000 000000 000000 000000 000000 000000* -L0001680 100100 000000 000000 000000 000000 000000 000000 000000* -L0001728 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0000064 00010000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0000128 01000000 00001100 00000000 00000000 00000000 00011000 00000000 00000000* +L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000256 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* +L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000448 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0000512 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0000576 000100 000000 000000 000000 000001 000000 000000 000000* +L0000624 000000 000000 000000 000100 001000 000000 010100 000000* +L0000672 001001 000010 000000 100000 000001 000000 001010 000000* +L0000720 001100 000010 000000 000000 000000 000000 000000 000000* +L0000768 000101 000000 000000 000000 000000 000000 000000 000000* +L0000816 000101 000000 000000 000000 000000 000000 000000 000000* +L0000864 00010000 00000000 00000000 00000000 00000000 00011000 00000000 00000000* +L0000928 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000992 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001056 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001120 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0001248 00000000 00000000 00000000 00100000 00000100 00000000 10000000 00000000* +L0001312 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0001376 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001440 000000 000000 000000 000000 000000 000000 000100 000000* +L0001488 001101 000000 000000 000000 000001 000000 001010 000000* +L0001536 000100 000000 000010 000100 000000 000000 010000 000000* +L0001584 000001 000000 000000 100000 000001 000001 000000 000000* +L0001632 100000 000000 000000 000000 000000 000000 000000 000000* +L0001680 100000 000000 000000 000000 000000 000000 000000 000000* +L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 001001 000000 000000 000000 000000 000000 000000* -L0002400 000000 001011 000000 000000 000000 000000 000000 000000* -L0002448 000000 000101 000000 000000 000000 000000 000000 000000* -L0002496 000000 000001 000000 000000 000000 000000 000000 000000* -L0002544 000000 000001 000000 000000 000000 000000 000000 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002352 000000 000000 000000 000000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 000000 000000 000000* +L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 000000* +L0002592 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* -L0003216 000000 100000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003584 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003648 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003712 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00011100* -L0003904 00000000 00010000 10000000 00000000 00000000 00000000 00000000 00001100* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 001001 000000 000000 000000 000000 000000 000000* -L0004128 000000 001111 000000 000000 000000 000000 000000 000000* -L0004176 000000 000101 000000 000000 000000 000000 000000 000000* -L0004224 000000 000001 000000 000000 000000 000000 000000 000000* -L0004272 000000 000001 100000 000000 000000 000000 000000 000000* -L0004320 01000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004384 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004448 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004512 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004576 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004640 11000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0004704 11000000 00000000 10000000 00100000 00000000 00000000 00000000 00000000* -L0004768 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004832 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0004896 110000 000000 000000 000000 000000 000000 000000 000001* -L0004944 100000 000000 000000 000000 000000 000000 000000 000001* -L0004992 100000 000000 000000 000000 000000 000000 000000 000000* -L0005040 100000 000000 000000 000000 000000 000000 000000 000000* -L0005088 100000 000000 000000 000000 000000 000000 000000 000000* -L0005136 100000 000000 000000 000000 000000 000000 000000 000000* -L0005184 00000010 10001000 00000000 00100000 00000000 10000000 00000000 00000000* -L0005248 00000000 10001000 00010000 00100000 00000000 00000000 00000000 00000000* -L0005312 00000011 10001000 00010000 00110000 00000000 00000000 00000000 00000100* -L0005376 00000010 10001000 00000000 01100000 00000000 00000000 00000000 00000000* -L0005440 00000010 10001000 00000000 01000000 00000000 00000000 00000000 00000000* -L0005504 00010010 00000100 00000000 01000000 00000000 00000000 00000000 00000000* -L0005568 00000001 00010000 00000000 01000100 00000000 00000000 00000000 00011100* -L0005632 00000000 00010000 00000000 01100100 00000000 00000000 00000000 00001100* -L0005696 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 001000 011000 000000 000000 000000 000000* -L0005808 010100 001001 001000 010000 000000 000000 000000 000000* -L0005856 000000 001111 001000 000010 000000 000000 000000 000000* -L0005904 000000 000101 001000 010000 000000 000000 000000 000000* -L0005952 000000 000001 001000 010001 000000 000000 000000 000000* -L0006000 001000 000001 001000 010001 000000 000000 000000 000000* -L0006048 10000010 00000000 00000000 01010000 00000000 00000000 00000000 00000000* -L0006112 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002976 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003168 100000 000000 000000 000000 000000 000000 000100 000000* +L0003216 100001 000000 000000 000000 000000 000000 000000 000000* +L0003264 100001 000000 000010 000000 000000 000000 000000 000000* +L0003312 100001 000000 000000 000000 000000 000000 000000 000000* +L0003360 100001 000000 000000 000000 000000 000000 000000 000000* +L0003408 100001 000000 000000 000000 000000 000000 000000 000000* +L0003456 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 01000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0003584 01010000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* +L0003648 10100000 00000000 01000000 00000000 00000000 00000000 00010100 00000000* +L0003712 10100100 00000000 01000100 00000000 00000000 00000000 00010100 00000000* +L0003776 01000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0003904 00010000 00000000 01100000 00000000 00000000 00000000 00001100 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* +L0004032 000000 000000 000000 000000 000000 000000 001001 000000* +L0004080 101101 000000 000000 000000 000000 000000 000000 000000* +L0004128 001000 000000 000100 000000 000000 000000 000000 000000* +L0004176 001101 000000 000000 000000 000000 000000 010000 000000* +L0004224 000001 000000 000000 000000 000000 000000 001000 000000* +L0004272 000100 000000 000000 000000 000000 000000 001000 000000* +L0004320 00010000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0004384 10010000 00000000 10000000 00000000 00000000 00000000 00110100 00000000* +L0004448 00000100 00000000 10000000 00000000 00000000 00000000 00010100 00000000* +L0004512 00010100 00000000 10000000 00000000 00000000 00000000 01000000 00000000* +L0004576 00010000 00000000 00010000 00000000 00000100 00000000 00000000 00000000* +L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0004704 01000000 00010000 01000000 00000000 00000100 00000000 00101000 00000000* +L0004768 01000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0004832 00010000 00000000 00000000 00000000 00001000 00000000 00010000 00000000* +L0004896 000100 000000 000010 000000 100001 000000 000000 000000* +L0004944 000000 000000 000000 000000 000000 000000 000000 000000* +L0004992 100101 000100 000000 000000 000000 000000 000000 000000* +L0005040 100000 000000 000000 100000 000000 000000 001000 000000* +L0005088 110100 000000 000010 000000 000000 000000 000000 000000* +L0005136 110001 000000 000000 000000 000001 000000 000100 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000000 01000100 00000000* +L0005248 00000000 00001100 00000000 00000000 00000000 00000000 00010000 00000000* +L0005312 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005376 00001010 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005504 00000010 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0005568 00000001 00000000 00000000 00000000 00000000 00000000 00110100 00000000* +L0005632 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0005696 00000100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000001 000000* +L0005808 000000 000000 000000 000000 000000 000000 000000 000000* +L0005856 000000 000010 000000 000000 000000 000000 000000 000000* +L0005904 000000 000001 000000 000000 000000 000000 000000 000000* +L0005952 000000 000000 000000 000000 000000 000000 011001 000000* +L0006000 000000 000000 000000 000000 000000 000000 000100 000000* +L0006048 00000110 00001100 00000000 00000000 00000000 00000000 00110000 00000000* +L0006112 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0006240 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 10000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006432 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006496 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000100* -L0006624 000001 000000 000000 100000 000000 000000 000000 000001* -L0006672 000010 000000 000000 000010 000000 000000 000000 100001* -L0006720 000000 000000 000000 010001 000000 000000 000000 000000* -L0006768 000000 000000 000000 000001 000000 000000 000000 000000* -L0006816 000011 000000 000000 000000 000000 000000 000000 000000* -L0006864 000010 000000 000000 000000 000000 000000 000000 000000* -L0006912 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006976 01000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0007040 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0007104 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0007168 11001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0007232 00000000 00100000 00000000 00000100 00000000 00000000 00000000 00000000* -L0007296 00000000 00100000 01000000 00000000 00000000 00000000 00000000 00011100* -L0007360 10101000 00000000 00000100 00000000 00000000 00000000 00000000 00001100* -L0007424 00100100 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0007488 000001 000000 100000 000000 000000 000000 000000 000000* -L0007536 100000 000000 001000 000000 000000 000000 000000 000000* -L0007584 000000 000000 001010 000000 000000 000000 000000 000000* -L0007632 000000 000000 000000 000000 000000 000000 000000 000000* -L0007680 100100 000000 000100 000000 000000 000000 000000 000000* -L0007728 100100 000000 000100 000000 000000 000000 000000 000000* -L0007776 10000000 00000000 00001000 00000000 00000000 00000000 00000000 01000000* -L0007840 10011000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0007904 10001000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0007968 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0008032 00010000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0008160 00001100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0008224 00000100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0008288 10001000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0008352 100010 000000 001110 000000 000000 000000 000000 000000* -L0008400 000000 000000 000000 000000 000000 000000 000000 100001* -L0008448 110110 000000 000000 000000 000000 000000 000000 000000* -L0008496 110110 000000 001000 000000 000000 000000 000000 000000* -L0008544 010010 000000 001000 000000 000000 000000 000000 000000* -L0008592 010011 000000 001000 000000 000000 000000 000000 000000* -L0008640 00000000 00000000 00001000 10000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0008768 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008896 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00100000 00000000 00010000 10000000 00000000* -L0009024 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0009152 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0009216 000000 000000 000110 000000 000000 000000 000000 000000* -L0009264 000000 000000 000100 000000 000000 000000 000000 011000* -L0009312 000000 000000 000110 000000 000000 000000 011000 000000* -L0009360 100100 000000 000100 000000 000000 000000 000000 000000* -L0009408 000000 000000 100101 000000 000000 000001 000000 000000* -L0009456 100100 000000 000100 000000 000000 000000 000000 000000* -L0009504 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00001000 00010000 00000000 10000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0009696 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* -L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010080 000000 000000 000000 000000 000000 000000 000000 000000* -L0010128 000000 000000 000000 000000 000000 000000 011000 000000* -L0010176 100100 000000 010000 000000 000000 000000 000000 011000* -L0010224 000000 000010 000000 000000 000000 000000 000000 000000* -L0010272 100100 000000 000000 000000 000000 000000 000000 000000* -L0010320 000000 000001 000000 000000 000000 000000 000000 000000* -L0010368 00001001 00000001 00000001 00000001 00000000 00000011 00000011 00000011* -L0010432 00000000 00000011 00000100 00000000 00000010 00000011 00000011 00000001* -L0010496 00000011 00000011 00100111 00000001 00000000 00000011 00000111 00000011* -L0010560 01000000 00000011 00100100 00000010 00000000 00000011 00000011 00000011* -L0010624 00000001 00000011 00000111 00000001 00000000 00000011 00000011 00000011* -L0010688 00001000 00000011 00000011 00000010 00000000 00000011 00000011 00000010* -L0010752 00001001 00000011 00000011 00000000 00000001 00000011 00000011 00011111* -L0010816 00000001 00000011 00000010 00000011 00000001 00000011 00011011 00001111* -L0010880 01000010 00000011 00000011 00000001 00000000 00000011 00000011 00000011* -L0010944 100010 000000 000000 000000 000000 000000 000000 000000* +L0006304 00000010 00000000 00000000 00000000 00000100 00000000 01000000 00000000* +L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0006432 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0006496 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006560 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0006624 000000 000000 000000 000000 100001 000000 000010 000000* +L0006672 000000 001011 000000 100000 000000 000000 000000 000000* +L0006720 000000 000000 000000 000000 000000 000000 000100 000000* +L0006768 000000 000000 000000 000000 000000 000000 000100 000000* +L0006816 000000 000000 000000 000000 000000 000000 000100 000000* +L0006864 000000 000000 000000 000000 000001 000000 000000 000000* +L0006912 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007040 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0007232 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007360 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 000000 000000 000000 000000 011100 000000 000000* +L0007584 000000 000100 000000 000000 000000 000000 000000 000000* +L0007632 000000 000100 000000 000000 000000 000000 000000 000000* +L0007680 000000 000000 000100 000000 000001 000000 000000 000000* +L0007728 000000 000000 000001 000000 000001 000000 000000 000000* +L0007776 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0007840 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0007904 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0007968 01111000 00000000 11000000 00000100 00000000 00000000 00000000 00000000* +L0008032 11111000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* +L0008096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008160 01000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0008224 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008288 00010100 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0008352 000100 000000 000000 000100 000000 000000 000000 000000* +L0008400 101101 000000 000000 000000 100000 000000 010000 000000* +L0008448 101101 000000 000000 000000 000000 000000 000000 000000* +L0008496 101101 000000 000000 000000 000000 000000 000000 000000* +L0008544 110101 000000 000000 000000 000000 000000 000000 000000* +L0008592 110101 000000 000000 000000 000000 000000 000000 000000* +L0008640 01000000 00000000 00000000 00000000 10001100 00000000 01000000 00000000* +L0008704 00000000 00011100 00000000 00000000 10001100 00000000 01000000 00000000* +L0008768 10100000 00001100 00000000 00000000 10000100 00000000 01000000 00000000* +L0008832 00000000 00000000 00000100 00000000 10000100 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* +L0009152 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* +L0009216 000000 000000 100000 000000 100000 000000 000000 000000* +L0009264 000000 000000 000100 000000 000000 000000 000000 000000* +L0009312 000000 000010 000000 000000 000000 000000 000000 000000* +L0009360 010000 000010 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000 000001 000000 000000 000000* +L0009456 000000 000000 000000 000000 000001 000000 000000 000000* +L0009504 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0009568 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0009632 01011000 00000000 10010000 00000000 00000000 00000000 00100000 00000000* +L0009696 11011000 00000000 10010000 00000000 00000000 00100000 00010000 00000000* +L0009760 01011100 00000000 00010000 00000000 00000000 00000000 00010100 00000000* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009888 01000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0009952 01010100 00000000 01001000 00000000 00000000 00000000 00001000 00000000* +L0010016 00010100 00000000 00101000 00000000 00000000 00100000 00101000 00000000* +L0010080 000100 000000 001011 000000 000000 000000 001001 000000* +L0010128 001101 000000 000000 000000 000000 000000 000000 000000* +L0010176 101101 000000 000001 000000 000000 000000 000000 000000* +L0010224 101101 000000 000111 000000 000000 000000 000000 000000* +L0010272 110101 000000 000111 000000 000000 000000 001000 000000* +L0010320 110101 000000 000101 000000 000000 000000 001000 000000* +L0010368 10000101 00000011 00000000 00010111 00000010 00000011 00000011 00000000* +L0010432 00100111 00011111 00000001 00000000 00000011 00000011 00100011 00000010* +L0010496 10100001 00001111 00000010 00000011 00000011 00000011 00100011 00000000* +L0010560 00100010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* +L0010624 10100000 00000011 00000011 00000001 00000011 00000011 00000011 00000000* +L0010688 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0010752 00000010 00000011 00000010 00000001 00000011 00000011 00000010 00000000* +L0010816 00000001 00000011 00000011 00000010 00000010 00000011 00000011 00000001* +L0010880 00000000 00000011 00000011 10000000 00000011 00000011 00000010 00000011* +L0010944 000000 000000 000000 100000 000000 000000 000000 000000* L0010992 100000 000000 000000 000000 000000 000000 000000 000000* -L0011040 000000 000000 000000 000000 000000 000000 000000 000000* -L0011088 000000 000000 000000 000000 000000 000000 000000 000000* -L0011136 000000 000000 000000 000000 000000 000000 000000 000000* -L0011184 000000 000000 000000 000000 000000 000000 000000 000000* -L0011232 00000010 10000010 00100010 00000010 00000000 00000000 00000100 01000000* -L0011296 00000011 00010000 00100011 00000011 00000000 00000000 00000000 00000010* -L0011360 00000000 00001100 00000000 00000010 00000000 00000000 00001000 00000000* -L0011424 00000011 00000000 00000011 00000001 00000000 00000000 00000000 00000000* -L0011488 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0011552 00000011 00000000 00000000 00000001 00000000 00000000 00011000 01000001* -L0011616 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* -L0011680 00000010 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0011744 00000001 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 000000 000000 000000 100001* -L0011904 000000 000000 000000 000000 000000 000000 000000 000000* -L0011952 010000 000010 000000 000000 000000 000000 000001 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 000000* -L0012048 010000 000001 000000 000000 000000 000000 000000 000000* -L0012096 00000001 10000001 00000001 00000001 00000000 00000000 00000000 00000011* -L0012160 10001000 00000001 00000000 00100000 00000010 00000010 00000011 00000001* -L0012224 10001011 10000001 00000011 00100001 00000000 00000000 00000000 00000000* -L0012288 00000000 00000001 00000000 00001010 00000000 00000000 00000001 00000001* -L0012352 00000001 10000001 00100011 00001001 00000000 00000000 00000001 00000001* -L0012416 00000000 00100001 00000010 00000010 00000000 00000010 00000010 00000010* -L0012480 00000101 00000001 00000011 00011100 00000000 00000001 00000001 00000011* -L0012544 00000100 00000010 00100010 10101110 00000000 00000000 00000000 00000001* -L0012608 10101010 00000011 00000011 10101001 00000000 00000001 00000011 00000010* -L0012672 100010 000000 000000 001010 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* -L0012768 100100 001001 000000 000000 000000 000000 000000 000000* -L0012816 100100 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000001 000000 000000 000000 000000 000000 000000* -L0012912 000000 000000 000000 001100 000000 000000 000000 000000* -L0012960 00001010 00000010 00000010 00101010 00000000 00100000 00000000 00000000* -L0013024 00000010 00000010 00000011 00001011 00000000 00000000 00000000 00000010* -L0013088 00000000 00000000 00000000 00001010 00000000 00000000 00000000 00000010* -L0013152 10001011 00000000 00000011 00000001 00000000 00000000 00000000 00000000* -L0013216 11011010 00000000 00000000 01000010 00000000 00000000 00000000 00000000* -L0013280 00000011 00000000 00100001 11001001 00000000 01000000 00000000 00000001* -L0013344 00000000 10000000 00100000 11000010 00000000 00000000 00000000 00000000* -L0013408 00001011 00000001 00000001 00000001 00000000 00000000 00000000 00000000* -L0013472 00000101 00000000 00000000 00000010 00000000 00000000 00000000 00000001* -L0013536 000001 000000 000000 010000 000000 000000 000000 000000* -L0013584 100000 000000 000000 000000 000000 000000 000100 000000* -L0013632 000000 000000 000000 000000 000000 000000 001000 010000* -L0013680 000000 000000 000000 001000 000000 000000 000000 000000* -L0013728 100100 000000 000000 001101 000000 000000 000000 000000* -L0013776 100100 000000 000000 000001 000000 000000 000000 000000* -L0013824 01000000 00000001 00000001 00000001 00000000 00000000 00000000 00000110* -L0013888 00000000 00000001 00000000 00000000 00000000 00000100 00000001 00000100* -L0013952 00000011 00000001 00000011 00000000 00000000 00000000 00000000 00000100* -L0014016 00000000 00000001 00000000 00000010 00000000 00000000 00000001 00000101* -L0014080 00000001 00000000 00000111 00000001 00000000 00000000 00000001 00000101* -L0014144 00000000 00000000 00000000 00000010 10000000 00000000 00000000 00000000* -L0014208 00000001 00000001 00000011 00000000 10000000 00000000 00000001 00000001* -L0014272 01000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* -L0014336 00000010 00000001 00000011 00000001 00000000 00000000 00000001 00000000* -L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000000 000100 000000 000000 000000 000010 000010* -L0014496 001000 000000 100001 000000 000000 000001 000000 000010* -L0014544 000000 000000 000000 000000 000000 000000 000000 000010* -L0014592 000000 000000 000000 000000 000000 000000 000000 000010* -L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 10001011 00000010 00000010 00000000 00000000 00000100 00000000 00000000* -L0014752 10011010 00000000 00100011 00000011 00000000 00000000 00000000 00000010* -L0014816 10001000 00000000 00101000 00000011 00000000 00000000 00000000 00000010* -L0014880 11001011 00000000 00101011 00000001 00000000 00000000 00000000 00000000* -L0014944 10001010 00000000 00100000 00000010 00000000 00000000 00000000 00000000* -L0015008 00000011 00000001 00000000 00000001 00000000 00000000 00000000 00000001* -L0015072 00001100 00000000 00100000 00000010 00000000 00000000 00000000 00000000* -L0015136 00000111 00000000 00100001 00000011 00000000 00000000 00000000 00000000* -L0015200 00000101 00000000 00000000 00000010 00000000 00000000 00000000 00000101* -L0015264 100011 000000 000000 000000 000000 000000 000000 000001* -L0015312 100000 000000 000000 000000 000000 000001 000000 000000* -L0015360 110110 000000 000000 000100 000000 000000 000000 000000* -L0015408 110110 000000 000000 000000 000000 000000 000000 000000* -L0015456 110110 000000 000000 000000 000000 000000 000000 000000* -L0015504 110111 000000 000000 000000 000000 000000 000000 000000* -L0015552 00010000 00000001 00000000 00001001 00000000 00000000 10000000 00000010* -L0015616 00010000 00000000 00010000 00001000 00000000 00000000 00000000 00000000* -L0015680 00000011 00000000 00010011 00000000 00000000 00000000 00000000 00000000* -L0015744 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* -L0015808 00000001 00000000 00000001 00001001 00000000 00000001 00000000 00000000* -L0015872 00000000 00000000 00000000 01000010 00000000 00000000 00000000 00010000* -L0015936 00000001 00000001 00000011 01000000 00000000 00000001 00000001 00000001* -L0016000 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000010* -L0016064 00000010 00000001 00000001 00100001 00000000 00000001 00000001 00000000* -L0016128 000000 000000 001000 000010 000000 000000 000000 000000* -L0016176 000000 000000 001000 000000 000000 000000 000000 000000* -L0016224 000000 000010 001000 000000 000000 000000 000000 000000* -L0016272 000000 000000 001000 000000 000000 000000 000000 000000* -L0016320 010000 000000 001000 000000 000000 000000 000000 000000* -L0016368 010000 000000 001000 000000 000000 000000 000000 000000* -L0016416 00000011 00000010 00010001 00000000 00000000 00000000 00000000 00000000* -L0016480 00000010 00000000 00000011 00000011 00000000 00000000 00000000 00000010* -L0016544 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* -L0016608 00000011 00000000 00000011 00000011 00000000 00000001 00000000 00000000* -L0016672 00000010 00000000 00000010 00000010 00000000 00000001 00000000 00000000* -L0016736 01000011 01000001 00000000 00000001 00000000 00000000 00000000 00000001* -L0016800 01000000 00000000 00000000 00000110 00000000 00000001 00000000 00000000* -L0016864 00000011 00000000 00000011 00010110 00000000 00000000 00000000 00000011* -L0016928 00000001 00000000 00000010 00000010 00000000 00000001 00000000 00000001* +L0011040 100000 000010 000000 000000 000000 000000 000000 000000* +L0011088 100000 000010 000000 000000 000000 000000 000000 000000* +L0011136 010000 000000 000000 110000 000000 000000 000000 000000* +L0011184 010000 000000 000000 000010 000000 000000 000000 000000* +L0011232 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0011296 00001000 00000000 00000010 00001011 00000000 00000000 00000000 00000000* +L0011360 00000010 00000000 00000001 00000000 00000100 00000000 00000000 00000000* +L0011424 01000001 00000000 00000000 10001001 00000000 00000000 00000000 00000000* +L0011488 00001011 00000000 00000000 00000010 10000000 00000000 00000000 00000000* +L0011552 00000000 00000000 00000000 10000001 00000000 00000000 00000000 00000000* +L0011616 00000001 00000000 00000001 00000110 00001000 00001000 00000001 00000000* +L0011680 00000000 00000000 00000000 10000001 10000101 00000000 00000000 00000000* +L0011744 00000011 00000000 00000000 00000011 00000000 00000000 00000001 00000000* +L0011808 000000 000000 000000 000010 000000 000000 000000 000000* +L0011856 000000 010000 000000 000000 000000 000000 000000 000001* +L0011904 000000 000000 000000 000011 000000 000000 000000 000000* +L0011952 000000 000000 000000 000000 000001 000000 000000 000000* +L0012000 000000 000000 000000 000001 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00000001 00000001 00000000 00001011 00000010 00000001 00000001 00000000* +L0012160 00000010 00011101 00000001 10001000 00000011 00000001 00000011 00000000* +L0012224 00000001 00001101 00000010 10001001 00000001 00000000 00000000 00000000* +L0012288 00000010 00000001 00010001 10001000 00000001 00000001 00000011 00000000* +L0012352 00000000 00000001 00000010 10001001 00000001 00000001 00000010 00000000* +L0012416 00000011 00000001 00000001 10001110 00000001 00000000 00000011 00000000* +L0012480 00000010 00000001 00000000 10001101 00000001 00000001 00000010 00000000* +L0012544 00000001 00000000 00000001 10000110 00000010 00000001 00000011 00000000* +L0012608 00000000 00000011 00001010 10001100 00000001 00000001 00000010 00000000* +L0012672 000000 000000 000000 000011 000000 000000 000000 000000* +L0012720 000000 000000 000000 000011 000000 000000 000000 001000* +L0012768 000000 000010 000000 000011 000000 000000 000000 000000* +L0012816 000000 000010 000010 000111 000000 000000 000000 000000* +L0012864 000000 000000 000000 000101 000000 000000 000000 000000* +L0012912 000000 000000 000000 000111 000000 000000 000000 000000* +L0012960 00010010 00100000 00000011 00000000 00000000 00000000 00000010 00000000* +L0013024 01011001 00000000 00000010 00000011 00000000 00000100 00000000 00000000* +L0013088 01011010 00000000 10000001 00000010 00000000 00000000 00000001 00000000* +L0013152 01011001 00000000 11000010 00000001 00000000 00000000 00000000 00000000* +L0013216 01111011 00000000 01000001 00000010 00000000 00000000 00000001 00000000* +L0013280 00000000 00000000 00000000 00000001 00100000 00000000 00000000 00000000* +L0013344 00000001 00000000 00000001 00000010 00000000 00000000 00000001 00000000* +L0013408 01010100 00000000 00000010 00001001 00000001 00000000 00000000 00000000* +L0013472 00010111 00000000 00000001 00000011 00000000 00000000 00000001 00000000* +L0013536 000100 000000 000000 100000 000000 000000 000000 000000* +L0013584 101101 010000 000000 000000 000000 000000 000000 000000* +L0013632 001101 000000 000000 000000 000000 000000 000010 000000* +L0013680 101101 000000 000000 000000 000000 000000 000000 000000* +L0013728 100101 000000 000000 000000 000000 000000 000000 000000* +L0013776 110101 000000 000000 000000 000000 000000 000000 000000* +L0013824 00000001 10000000 10011000 00000011 00000010 00000001 00000000 00000000* +L0013888 00001010 00000001 11010000 00000000 00000000 00000001 00000001 00000000* +L0013952 00001001 00000001 11011110 00000000 00000000 00000000 00000000 00000000* +L0014016 01001000 00000001 11011001 00000000 00000000 00000001 00000001 00000000* +L0014080 01001000 00000000 01011010 00000001 00000001 00000000 00000010 00000000* +L0014144 00000011 10000001 10000000 00000010 00000001 00000000 00000000 00000000* +L0014208 00000010 00000001 10101000 00000001 00000001 00000000 00000010 00000000* +L0014272 00000100 00000000 10101001 00000000 00000000 00000001 00000011 00000000* +L0014336 00000100 00000001 10101010 00000000 00000001 00000001 00000000 00000000* +L0014400 000000 000000 101010 000000 000000 000000 000000 000000* +L0014448 000000 000000 100100 000000 000000 000000 000000 000000* +L0014496 010000 000000 101100 000000 000000 000000 000000 000000* +L0014544 010000 000000 101100 000000 000000 000000 000000 000000* +L0014592 000000 000000 101100 000000 000000 000000 000000 000000* +L0014640 000000 000000 101100 010000 000000 000000 000000 000000* +L0014688 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0014752 00000001 00000000 00000011 00010011 00000010 00000000 00000000 00000000* +L0014816 00000010 00000000 00000001 00000011 00000100 00000000 00000001 00000000* +L0014880 00000011 00000000 00000010 00010001 00000000 00000100 00000010 00000000* +L0014944 00000011 00000000 00000001 00000010 10000000 00000000 00000001 00000000* +L0015008 00000000 00000000 00100001 00000001 00000000 00000000 00000011 00000000* +L0015072 00000001 00000000 00000001 00000010 00001000 00000000 00000001 00000000* +L0015136 00001001 00000000 00010010 00000011 10000101 00000000 00000000 00000000* +L0015200 10000011 00000000 00000001 00000011 00000000 00001000 00000011 00000000* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 011000 000000 000000 000000 000000 000000* +L0015360 000000 000000 000000 001000 000000 000000 001000 000000* +L0015408 000010 000000 000000 000000 000001 000000 000000 000000* +L0015456 000000 000000 000000 000000 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000001 00000000 00000000 00001011 00000010 00000000 00000000 00000000* +L0015616 00001010 00000000 00000000 00001000 00000000 00000000 10000001 00000000* +L0015680 00001001 00000000 00000010 10001010 00000000 00000000 00010100 00000000* +L0015744 00001000 00000000 00000001 10001000 00000000 00000000 00010101 00000000* +L0015808 00001000 00000000 00000000 10001001 00000001 00000001 00010010 00000000* +L0015872 00000001 00000000 00001000 10000010 00000001 00000000 00000100 00000000* +L0015936 00000010 00000000 00000000 10000000 00000001 00000011 00010100 00000000* +L0016000 00000100 00000000 00000001 10000100 00000000 00000011 00010111 00000000* +L0016064 00000100 00000001 00000010 10001100 00000000 00000001 00010100 00000000* +L0016128 000000 000000 000000 100011 000000 000000 000010 000000* +L0016176 000000 000000 000010 000010 000000 000000 000000 000000* +L0016224 000000 000000 000010 000010 000000 000000 000000 000000* +L0016272 000000 000000 000000 000111 000000 000000 000000 000000* +L0016320 000000 000000 000000 000111 000000 000000 010001 000000* +L0016368 000000 000000 000000 000111 000000 000000 010001 000000* +L0016416 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0016480 00000001 00000000 00000011 00000011 00000010 00000000 00001000 00000000* +L0016544 00000010 00000000 00000001 00000011 00000000 00000000 00000001 00000000* +L0016608 00000011 00000000 00000010 00000001 00000000 00000000 00000010 00000000* +L0016672 00000001 00000000 00000001 00000010 00000000 00000001 00000001 00000000* +L0016736 00000010 00000000 00000001 00000001 00000000 00000000 00000010 00000000* +L0016800 00000001 00000000 00000001 00000011 00000000 00000011 01000001 00000000* +L0016864 00000001 00000000 00000010 00000011 00000001 00000010 00000000 00000000* +L0016928 00001001 00000000 00000001 00000011 00000001 00000000 00000011 00000000* L0016992 000000 000000 000000 000000 000000 000000 000000 000000* L0017040 000000 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000000 000000 000001 000000 000000 000000 000000* -L0017136 000000 000000 000000 000001 000000 000000 000000 000000* -L0017184 000000 000000 000000 000001 000000 000000 000000 000000* -L0017232 000000 000000 000000 000001 000000 000000 000000 000000* -L0017280 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000010* -L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000011 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0017472 00000000 00000001 00010000 00000000 00000000 00000000 00000000 00000000* -L0017536 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00010000* -L0017664 00000001 00000001 00000001 00000000 00000000 00000000 00000000 00000001* -L0017728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017792 00000010 00000001 01000001 00000001 00000000 00000000 00000001 00000100* -L0017856 000000 000000 000000 000000 000000 000000 000000 000001* -L0017904 000000 000000 000000 000000 000000 000000 000010 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000000 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000011 00000010 00000001 00000000 00000000 00000000 00000000 00000000* -L0018208 00000010 00000000 00000011 00000011 00000000 00000000 00000000 00000110* -L0018272 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* -L0018336 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00000000* -L0018400 00000010 00000000 00010000 00000010 00000000 00000000 00000000 00000000* -L0018464 00000011 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0018528 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* -L0018592 00000011 00000000 00000011 00000010 00000000 00000000 00000000 00000001* -L0018656 00000001 00000000 00000010 00000010 00000000 00000000 00000000 00000001* +L0017088 000000 000001 000000 000000 000010 000000 000000 000000* +L0017136 000000 000001 000000 000000 000010 000000 000000 000000* +L0017184 000010 000001 000000 000000 000010 000000 000000 000000* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* +L0017344 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000001 00000000 00000010 00000000 00000000 00000000 00010000 00000000* +L0017472 00000000 00000000 00000001 00000000 00000000 00000000 00010001 00000000* +L0017536 00000000 00000000 00000000 00001001 00000000 00000000 00010010 00000000* +L0017600 00000001 00000000 00001000 01000010 00000000 00000000 00000000 00000000* +L0017664 01000010 00000000 00000000 11000000 00000001 00000000 00010000 00000000* +L0017728 01000000 00000000 00000001 10000000 00000000 00000000 00010011 00000000* +L0017792 00000000 00000000 00000010 00000000 00000000 00000001 00010000 00000000* +L0017856 000000 000000 000000 000001 000000 000000 000000 000000* +L0017904 000000 000000 000010 000001 000000 000000 000000 000000* +L0017952 100000 000000 000000 000011 000000 000000 000000 000000* +L0018000 100000 000000 000000 000000 000000 000000 000000 000000* +L0018048 010000 000000 000000 001000 000000 000000 000000 000000* +L0018096 010000 000000 000000 001100 000000 000000 000000 000000* +L0018144 00000010 00000000 00000001 00001000 00000000 00000000 00000001 00000000* +L0018208 00000001 00000000 00000010 00001011 00000010 00000000 00000001 00000000* +L0018272 00000010 00000000 00000001 00001101 00000000 00000000 00000001 00000000* +L0018336 00000011 00000000 00000010 00011001 00000000 00000000 00000010 00000000* +L0018400 00000001 00000000 00000001 00110100 00000000 00000000 00000001 00000000* +L0018464 00000010 00000000 00000000 10000101 00000000 00000000 00010010 00000000* +L0018528 00000001 00000000 00000001 00000111 00000000 00000000 00000001 00000000* +L0018592 00000000 00000000 00000010 00000101 00000001 00000000 00000000 00000000* +L0018656 00000001 00000000 00000001 00100111 00000001 00000000 00000011 00000000* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000000 000000 000000 000000 000000 000000 000000* -L0018864 000000 000000 000000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000001 00000000 00001000 00000000 00000000 00000010 00000000 00010000* -L0019072 00001000 00000000 00101000 00000000 00000010 00000000 00000000 00010001* -L0019136 00001001 00000000 00100010 00000000 00000000 00000001 00000000 00000000* -L0019200 00001000 00000001 00100000 00000010 00000000 00000000 00000001 00000001* -L0019264 00001000 00000000 00101010 00000001 00000000 00000000 00000001 10000000* -L0019328 00000000 00000000 00000001 00000000 10000000 00000001 00000000 10000000* -L0019392 00000000 00000000 00111001 00000000 10000000 00000000 00000000 00000011* -L0019456 00000000 00000010 00111000 00000001 00000000 00000001 00000001 00000000* -L0019520 00000000 00000011 00011001 00000000 00000001 00000000 00000001 00000000* -L0019584 000000 000000 000110 000000 000000 000000 000000 000000* -L0019632 000000 000000 000100 000000 000000 000000 000000 000010* -L0019680 100100 000000 000110 000000 000000 000000 000000 000000* -L0019728 100100 000000 000100 000000 000000 000000 000000 000000* -L0019776 100100 000000 100101 000000 000000 000000 000000 000000* -L0019824 100100 000000 000100 000000 000000 000000 000000 100001* -L0019872 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000010* -L0019936 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000000* -L0020000 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00001000* -L0020064 00000000 00000000 00000000 00000010 00000000 00000100 00000000 00000000* -L0020128 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0020192 00000000 00000000 00000000 00000010 00000000 00010000 00000000 00000000* -L0020256 00000001 00000001 00000000 00000000 00000000 00000000 00000000 10000001* -L0020320 00000000 00000000 00000010 00000000 00000000 00000000 00000000 10000000* -L0020384 00000010 00000000 00000011 00000001 00000000 00000000 00000000 10000000* -L0020448 000000 000000 000000 000000 000000 000000 000000 100000* -L0020496 000000 000000 010000 000000 000000 000000 000000 000100* +L0018816 000000 000001 000010 000000 000010 000000 000000 000000* +L0018864 000000 000001 000000 000101 000010 000000 000000 000000* +L0018912 000000 000001 000000 000101 000010 000000 000000 000000* +L0018960 000000 000000 000000 000001 000000 000000 000000 000000* +L0019008 00010000 00000001 00000000 00000001 00000011 00000000 00000000 00000000* +L0019072 00010001 00000000 00000001 00000000 00000001 00000001 00000000 00000000* +L0019136 01010001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0019200 00010000 00000000 00000001 00000000 00000001 00000101 00000001 00000000* +L0019264 00010000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0019328 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000001* +L0019392 00000010 00000000 00000000 00000001 00000001 00000000 00000010 00000000* +L0019456 00010000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0019520 00010000 00000010 00000011 00000000 00000000 00001011 00000000 00000000* +L0019584 000100 000000 000000 000000 000000 000000 000000 000000* +L0019632 001101 000000 000000 000000 000000 000000 000000 000000* +L0019680 001101 000000 000000 000000 000000 000000 000000 000000* +L0019728 001101 000000 000000 000000 000000 000000 000000 000000* +L0019776 100101 000000 000000 000000 000000 000000 000000 000000* +L0019824 100101 000000 000000 010000 000000 000000 000000 000000* +L0019872 00000001 00000000 00000000 00000011 00000010 00000000 00000001 00000000* +L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0020000 00000001 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0020064 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0020128 00000000 00000000 00000000 00010001 00000000 00000000 00000010 00000000* +L0020192 00000001 00000000 00000000 00000010 00000000 00000000 10000000 00000000* +L0020256 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0020320 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* +L0020384 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* +L0020448 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 001000 000000 000000 000000 000000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000001 000000 000000* +L0020592 000000 000000 000000 001000 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000 000000 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000001 00001010 00000000 00000001 00000000 00000000 00000000 00000010* -L0020800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0020864 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0020928 00000000 00000000 00010010 00000000 00000000 00000000 00000000 00000000* -L0020992 01000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0021056 00000000 00000100 00000000 00000010 00000000 00000000 00000000 00000000* -L0021120 00000001 00010001 00000000 00000000 00000000 00000000 00000000 00000001* -L0021184 00001001 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021248 10001010 00000001 01000001 00000000 00000000 00000000 00000000 00000000* -L0021312 000000 000000 000000 000000 000000 000000 000000 000000* -L0021360 000000 001001 000000 000000 000000 000000 000000 000000* -L0021408 000000 001111 000000 000000 000000 000000 000000 000000* -L0021456 000000 000100 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* -L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000011 00010110 00000010 00000000 00000000 00000000 00000000 00000000* -L0021664 00000001 00010110 00000000 00000001 00000000 00000100 00000000 00000010* -L0021728 00000000 00010100 00000000 00000001 00000000 00000000 00000000 00000010* -L0021792 00000010 00010100 00000010 00000000 00000000 00000000 00000000 00000000* -L0021856 00000010 00000100 00010010 00000000 00000000 00000000 00000000 00000000* -L0021920 00000010 00000000 00000001 00000001 00000100 00000000 00000000 00000000* -L0021984 00000000 00000100 00000000 00000011 00000000 00000000 00000000 00000000* -L0022048 00000001 00000001 00000000 00000010 00000000 00000000 00000000 00000001* -L0022112 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* +L0020800 00000010 00001000 00000000 00000010 00000000 00000000 00010000 00000000* +L0020864 00000000 00001000 00000010 10000000 00000000 00000000 01010000 00000000* +L0020928 00000010 00000000 00000001 10000000 00000000 00000000 01010001 00000000* +L0020992 00000000 00000000 00000000 10000001 00000000 00000000 01010010 00000000* +L0021056 00000001 00000000 00001000 10000110 00000000 00000000 01000000 00000000* +L0021120 00000000 00000000 00000000 10000100 00000000 00000000 01100000 00000000* +L0021184 00000000 00000000 00000001 10001100 00000000 00000000 01100001 00000000* +L0021248 00000000 00000000 00000010 10000100 00000000 00000000 01110000 00000000* +L0021312 000000 000000 000000 000001 000000 000000 011000 000000* +L0021360 000000 000000 000010 000001 000000 000000 000000 001000* +L0021408 000000 000000 000000 000001 000000 000000 000001 000000* +L0021456 000000 000000 000000 000001 000000 000000 011000 000000* +L0021504 000000 000000 000000 000001 000000 000000 001000 000000* +L0021552 000000 000000 000000 000001 000000 000000 001000 000000* +L0021600 00000000 00001000 00000001 00000000 00000000 00000000 00001010 00000000* +L0021664 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* +L0021728 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0021792 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0021856 00000010 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0021920 00000000 00000000 00000000 00001001 00000000 00000000 00100010 00000000* +L0021984 00000001 00000000 00000000 00000010 00000000 00000000 00010000 00000000* +L0022048 00000001 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0022112 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0022176 000000 000000 000000 000000 000000 000000 000010 000000* +L0022224 000000 000000 000000 000000 000000 000000 000001 000000* +L0022272 000000 000010 000010 000000 000000 000000 000000 000000* L0022320 000000 000010 000000 000000 000000 000000 000000 000000* -L0022368 000000 000010 000000 000000 000000 000000 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000001 00000011 00001001 00000001 00000000 00000011 00000011 00000011* -L0022528 00000000 00000011 00001001 00000010 00000010 00000011 00001011 10000011* -L0022592 00000011 00000011 00001011 00000001 00000000 00000011 00000011 10000011* -L0022656 00000000 00000011 00001010 00000010 00000000 00000011 00000011 10000011* -L0022720 01000001 00000011 00001011 00000001 00000000 00000011 00000011 10000011* -L0022784 00000000 00000011 00000011 00000110 00000000 00000011 00000011 10000011* -L0022848 00000001 00000011 00011011 00000000 00000001 00000011 00001011 10000011* -L0022912 00001001 00000011 00011010 00000011 00000001 00000011 00001011 10000011* -L0022976 10001010 00000011 00011011 00000001 00000001 00000011 00000011 10000011* -L0023040 000000 000000 000110 000000 000000 000000 000000 100000* -L0023088 000000 000000 000000 000000 000000 000000 000001 000000* -L0023136 000000 000000 000110 000000 000000 000000 000000 000001* -L0023184 000000 000000 000100 000000 000000 000000 000000 000001* -L0023232 000000 000000 000100 000000 000000 000000 000000 000001* -L0023280 000000 000000 000100 000000 000000 000000 000000 000001* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0023392 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0023456 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0023520 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0023584 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0023648 00000000 00000000 00001000 00000000 00000000 00000000 00001000 00000000* -L0023712 00000000 00001000 00100000 00000000 00000000 00000000 00010000 00000000* -L0023776 00000000 00000000 00100000 00000000 00000000 00000000 00010000 00000000* -L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023904 000000 000000 000000 000000 000000 000000 000000 000000* -L0023952 000000 000000 100001 000100 000000 000000 000000 000000* -L0024000 000000 000000 000000 000100 000000 000001 000000 000000* -L0024048 000000 000000 000000 000000 000000 000000 000000 000000* -L0024096 000000 000000 000000 000000 000000 000000 000001 000000* -L0024144 000000 000000 000000 000000 000000 000000 000000 000000* -L0024192 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0022368 000000 000000 000000 000010 000000 000000 010000 000000* +L0022416 000000 000000 000000 000000 000000 000000 010000 000000* +L0022464 00000001 00000011 00000000 00000011 00000011 00000011 00000011 00000000* +L0022528 00000011 00000011 00000001 00000010 00000011 00000011 00000011 00000010* +L0022592 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* +L0022656 00000010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* +L0022720 00000000 00000011 00000011 00000001 00000011 00000011 00001011 00000000* +L0022784 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0022848 00000010 00001011 01000010 00000001 00000011 00000011 00000011 00000000* +L0022912 00000001 00000011 01000011 00000011 00000010 00000011 00000011 00000001* +L0022976 00000000 00000011 00000011 00000000 00000011 00000011 00000010 00000011* +L0023040 000000 000000 000000 000000 000000 000000 010000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000000* +L0023136 000000 000000 000000 000000 000000 000000 000000 000000* +L0023184 000000 000000 000000 000000 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000 000000 000000 000100 000000* +L0023280 000000 000000 000000 000000 000000 000000 000000 000000* +L0023328 01010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023392 01011000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0023456 11111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* +L0023520 01111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* +L0023584 11111000 00000000 00000000 10000000 00000000 00000000 00010000 00000000* +L0023648 01000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023712 01000100 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0023776 01010100 00000000 00000000 00000100 00000000 00000000 00010000 00000000* +L0023840 00010100 00000000 00000000 10000100 00000000 00000000 00010000 00000000* +L0023904 000100 000000 000000 100001 000000 000000 000010 000000* +L0023952 101101 000000 000000 000010 000001 000000 000000 000000* +L0024000 101101 000000 000000 000000 000001 000000 000000 000000* +L0024048 111101 000000 000000 000110 000000 000000 000000 000000* +L0024096 110101 000000 000000 000110 000000 000000 010000 000000* +L0024144 110101 000000 000000 000110 000000 000000 010000 000000* +L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 010000 000000 000000 000000 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000010 000000 000000 000000 000000 000000 000000* -L0024960 000000 000010 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 000010 000000 000000 000000 000000 000000* +L0024864 000000 001000 000000 000000 000000 000000 000000 000000* +L0024912 000000 000000 000000 000000 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000 000000 000000 000000 000000* L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025248 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000000 000000 000000 000000 000000 000000 000000 000000* -L0025728 000000 000000 000000 000000 000000 000000 000000 000000* -L0025776 000000 000000 000000 000000 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* -L0025984 00000100 00000001 00000001 00001010 00000010 00000010 00000010 00000110* -L0026048 00000110 00000001 00100000 00000001 00000000 00000001 00000001 00000101* -L0026112 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0026176 00000000 00000001 00000010 00001000 00000000 00000000 00000000 00000100* -L0026240 00000000 00000001 00000001 11000000 00000000 00000011 00000011 00000011* -L0026304 00000000 00000000 00100000 11000100 00000000 00000000 00001000 00000000* -L0026368 00000000 00000001 00000000 10100111 00000000 00000001 00001001 00000000* -L0026432 00000010 00000000 00000000 10100000 00000000 00000010 00000010 00000010* -L0026496 000000 000000 000000 000010 000000 000000 000000 000000* -L0026544 000010 000100 000000 000000 000000 000000 000000 000010* -L0026592 000010 000000 000000 000000 000000 000000 000000 000010* -L0026640 000000 000000 000000 000000 000000 000000 000000 000010* -L0026688 000010 000000 000000 000001 000000 000000 000000 000010* -L0026736 000000 000000 000000 000001 000000 000000 000000 000000* -L0026784 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0026848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026976 00000100 00000000 00000000 00010000 00000000 00000000 00000100 00000000* -L0027040 00000100 00000000 00100000 00010000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027232 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0027296 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0027360 000001 000000 000000 000000 000000 000000 000000 000001* -L0027408 000000 000000 000000 000100 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 000000 000000* -L0027504 000010 000000 000000 010000 000000 000000 000000 000000* -L0027552 000000 000000 000000 010010 000000 000000 000000 000000* -L0027600 000010 000000 000000 010010 000000 000000 000001 000000* -L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00001000 00000000 00000000 00000010 00000010 00000010 00000010 00000010* -L0027776 00001000 00000000 00000000 00000001 00000000 00000001 00000001 00000001* -L0027840 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00001001 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0027968 00000000 00000000 00000001 00000000 00000000 00000011 00000011 00000011* -L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028096 00000000 00000000 00000000 00000011 00000000 00000001 00000000 00000000* -L0028160 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0028224 000000 000000 000000 000000 000000 000000 000000 000000* -L0028272 000000 000000 000000 000000 000000 000000 000000 000000* -L0028320 100100 000000 000000 000000 000000 000000 000000 000000* -L0028368 100100 000000 000000 000000 000000 000000 000000 000000* -L0028416 100100 000000 000000 000000 000000 000000 000000 000000* -L0028464 100100 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0028576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028832 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00001000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0025504 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000010 000000 000000 000000 000000 000000 000000 000000* +L0025680 000010 000000 000000 000000 000000 000000 000000 000000* +L0025728 000010 000000 000010 000000 000000 000000 000000 000000* +L0025776 000010 000000 000000 000000 000000 000000 000000 000000* +L0025824 000010 000000 000000 000000 000000 000000 000000 000000* +L0025872 000010 000000 000000 000000 000000 000000 000000 000000* +L0025920 00010000 00000000 00010000 00000000 10001100 00000000 00000000 00000000* +L0025984 00010011 00000001 00000001 00000110 10001010 00000010 00000010 00000010* +L0026048 01010000 00000001 00000000 00000101 00000001 00000001 00000001 00000001* +L0026112 00010000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0026176 00010000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* +L0026240 00000010 00010000 00000001 00000000 00000000 00000011 00000011 00000011* +L0026304 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0026368 00010000 00000001 00000000 00000011 00000010 00000000 00000000 00000000* +L0026432 00010000 00000000 00000000 00000000 00000000 00000010 01000010 00000010* +L0026496 000100 000000 000000 000000 000000 000000 000000 000000* +L0026544 001101 000000 000000 000000 000000 000000 000000 000000* +L0026592 001101 000000 000000 000000 000000 000000 000000 000000* +L0026640 001101 000000 000001 000000 000000 000000 000100 000000* +L0026688 100101 000000 000000 000000 000000 000000 000000 000000* +L0026736 100101 000000 000000 000000 000000 000000 000000 000000* +L0026784 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0026848 00000000 00000000 11000000 00000000 00000000 00000000 10000000 00000000* +L0026912 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* +L0026976 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* +L0027040 00000000 00000000 01000000 00000000 00000000 00000000 00010000 00000000* +L0027104 00000000 00000000 00000000 00000000 00001100 00000000 00001000 00000000* +L0027168 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* +L0027232 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* +L0027296 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* +L0027360 000000 000000 000000 000000 000001 000000 000010 000000* +L0027408 000000 000000 000000 000000 000001 000000 000000 000000* +L0027456 000000 000000 000000 000000 000001 000000 000000 000000* +L0027504 000000 000000 000000 000000 000001 000000 000000 000000* +L0027552 000000 000000 000000 000000 000000 000000 010000 000000* +L0027600 000000 000000 000000 000000 000000 000000 010000 000000* +L0027648 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027712 00000001 00000000 00000100 00000010 00000010 00001000 00100010 00000010* +L0027776 00000000 00000000 00000000 00000001 00000001 00001001 00100001 00000001* +L0027840 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0027968 00000010 00000000 00000000 00000000 00010000 00000011 00000011 00000010* +L0028032 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0028096 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* +L0028160 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0028224 100010 000000 000001 000000 000000 000000 000000 000000* +L0028272 000000 000000 100000 000000 000000 000000 000000 000000* +L0028320 000000 000000 000000 000000 000000 000000 000000 000000* +L0028368 000000 000000 000000 000000 000000 000000 000000 000000* +L0028416 000000 000000 000000 000000 000000 000000 000000 000000* +L0028464 000010 000000 000000 000000 000000 000000 000000 000000* +L0028512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028704 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028768 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028960 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0029024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028960 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0029024 00000100 00000000 00000100 00000000 00000000 00000100 00000000 00000001* L0029088 000000 000000 000000 000000 000000 000000 000000 000000* L0029136 000000 000000 000000 000000 000000 000000 000000 000000* -L0029184 000000 000000 000000 000000 000000 010000 000000 000000* +L0029184 000000 000000 100000 000000 000000 000000 000000 000000* L0029232 000000 000000 000000 000000 000000 000000 000000 000000* L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000000 000000 000000 000000 000000 000000 000000 000000* -L0029376 00000000 00000011 00000000 00000000 00000000 00000000 00000011 00000000* -L0029440 00000000 00000011 00000001 00000000 00000000 00000000 00000001 00000000* -L0029504 00000000 00000011 00000000 00000000 00000000 00000000 00000010 00000000* -L0029568 00000000 00000011 00000010 00000000 00000000 00000000 00000111 00000000* -L0029632 00000000 00000010 00000000 00000000 00000000 00000000 00000011 00000000* -L0029696 00000000 00010011 00000000 00000100 00000000 00000000 00000000 00000000* -L0029760 00000000 00000011 00000000 00000100 00000001 00000000 00001011 00011100* -L0029824 00000000 00000011 00000000 00000100 00000000 00000000 00001011 00001100* -L0029888 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000000 000000 000000 000000 000001 000000* -L0030048 000000 000000 000000 000001 000000 000000 000001 000000* -L0030096 000000 000000 000000 000001 000000 000000 000000 000000* -L0030144 000000 000000 000000 000001 000000 000000 000000 000000* -L0030192 000000 000000 000000 000001 000000 000000 000001 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000011 01000000* -L0030304 00000000 00000001 00000000 00000000 00000000 00000000 00000100 00000000* -L0030368 00000000 00000001 00000000 00000000 00000000 00000000 00000100 00000000* -L0030432 00000000 00000000 00000000 00000000 00000000 00001010 00000010 00000000* -L0030496 00000000 00000000 00010000 00000000 00000000 00000000 00000010 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0030624 00000000 00000010 00000000 00000000 00000000 00000000 00010000 00000000* -L0030688 00000000 00000001 00000000 00000000 00000001 00000000 00010001 00000000* -L0030752 00000000 00000000 00000000 00000000 00000000 00001010 00000000 00000000* +L0029328 000000 000000 000000 000000 000000 000001 000000 000000* +L0029376 10000100 00000010 00000000 00001000 00000010 00011011 00000000 00000000* +L0029440 00100100 00000011 00000000 00001000 00000001 00000001 00000000 00000000* +L0029504 10100000 00000011 00000000 10001000 00000010 00000010 00000000 00000000* +L0029568 00100000 00000010 00000000 10001000 00000011 00000011 00000000 00000000* +L0029632 10100000 00000011 00000001 00001000 00000011 00000000 00000000 00000000* +L0029696 00000000 00000000 00001001 10000000 00000010 00000100 00000000 00000001* +L0029760 00000000 00000000 00000000 10000000 00000011 00000000 00000000 00000000* +L0029824 00000000 00000001 00000000 10000000 00000000 00010001 00000000 00000001* +L0029888 00000000 00000000 00000000 00001000 00000011 00000001 00000000 00000000* +L0029952 000000 000000 000000 000010 000000 000000 000000 000000* +L0030000 100000 000000 000010 000000 000000 000000 000000 000000* +L0030048 100000 000000 000000 000010 000000 000000 000000 000000* +L0030096 100000 000001 000000 000001 000000 000001 000000 000000* +L0030144 010000 000001 000000 000001 000000 000000 000000 000000* +L0030192 010000 000000 000000 000001 000000 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0030304 00000000 00000011 00000000 00000000 00000000 00011010 00000000 00000000* +L0030368 00001000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0030432 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000000* +L0030496 01000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0030624 00000000 00000000 00000010 00000000 00000000 00000100 00000000 00000000* +L0030688 00000100 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0030752 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000000 000000 000000 000001 000000 000000 000000 100001* -L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000000 000000 000000 000000 000000 000000 000000 000000* +L0030912 000000 000001 000010 000000 000000 000000 000000 000000* L0030960 000000 000000 000000 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000 000000 000000 000001 000000* +L0031008 000000 000000 000000 000000 000000 000000 000000 000000* L0031056 000000 000000 000000 000000 000000 000000 000000 000000* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* L0031728 000000 000000 000000 000000 000000 000000 000000 000000* -L0031776 010000 000000 000000 000000 000000 000000 000000 000000* -L0031824 010000 000000 000000 000000 000000 000000 000000 100000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032096 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032224 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 11000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0032416 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0032480 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032352 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0032416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0032480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* @@ -661,39 +661,39 @@ L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0033088 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0032960 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033408 000000 000000 000000 000000 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000000 000000 000000 000000 000000 000000 000000* -L0033552 000000 000000 000000 000000 000000 000000 000000 000010* -L0033600 000000 000000 000000 000000 000000 000000 000000 000001* +L0033504 000000 000010 000000 000000 000000 000000 000000 000000* +L0033552 000000 000010 000000 000000 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00010011* -L0033760 00000000 00000000 00000001 10000000 00000000 00000000 00000001 00010000* -L0033824 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00001010* -L0033888 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* -L0033952 00000000 00000000 00000001 00000000 00000000 00000010 00000001 00000011* -L0034016 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* -L0034080 00000001 00000001 00000010 00000000 00000000 00000010 00000001 10000001* -L0034144 00000000 00000000 00000010 00000000 00000000 00000010 00000000 10000001* -L0034208 00000000 00000000 01000010 00000001 00000001 00000000 00000000 10000001* -L0034272 000000 000000 000000 000000 000000 000000 000000 100000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000010* -L0034368 000000 000000 000000 000000 000000 000000 000000 000010* -L0034416 000000 000000 000000 000000 000000 000000 000000 000000* -L0034464 000000 000000 000000 000000 000000 000000 000000 000000* -L0034512 000000 000000 000000 000000 000000 000000 000000 100000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0033696 00000000 00000000 00000000 00000000 00000001 00000001 00000011 00000000* +L0033760 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0033824 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0033888 00000000 00000001 00000000 00000000 00000000 00000001 00000011 00000000* +L0033952 00000000 00000000 00000000 10000000 00000000 00000000 00000011 00000000* +L0034016 00000000 00000011 00010001 00000000 00000001 00000000 00000000 00000000* +L0034080 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* +L0034144 00000000 00000010 00000001 00000100 00000000 00000001 00000010 00000000* +L0034208 00000000 00000001 00000000 10000100 00000001 00000000 00000000 00000000* +L0034272 000000 000000 000000 100001 000000 000000 000000 000000* +L0034320 000000 000000 000000 000010 000000 000000 000000 000000* +L0034368 000000 000000 000000 000000 000000 000000 000000 000000* +L0034416 000000 000000 000000 000110 000000 000000 000000 000000* +L0034464 000000 000000 000000 000110 000000 000000 000000 000000* +L0034512 000000 000000 000000 000110 000000 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034688 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -705,146 +705,146 @@ L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035680 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036000 100011 000000 000000 000000 000000 000000 000000 000000* -L0036048 100000 000000 000000 000000 000000 000000 000000 000000* -L0036096 100100 000000 000000 000000 000000 000000 010000 000000* -L0036144 100100 000000 000000 000000 000000 000000 000000 000000* -L0036192 100100 000000 000000 000000 000000 000000 000000 000000* -L0036240 100100 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* +L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0036000 000000 000000 000000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000 000001 000000 000000 000000* +L0036240 000000 000000 000000 000000 000000 000000 000000 000000* +L0036288 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00010001 00100000 00000001 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036608 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* -L0036800 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00000000 00000000 00001000 00010000 00000000 00000000 00000000 00000000* +L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036912 000000 100000 000000 000000 000000 000000 000000 000000* L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037056 000000 000000 000001 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0037216 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0037280 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0037344 10001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0037408 10001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037664 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0037728 100011 000000 000100 000000 000000 000000 000000 000000* -L0037776 100000 000000 000000 000000 000000 000000 000000 000000* -L0037824 100100 000000 000010 000000 000000 000000 000000 001000* -L0037872 100100 000000 000000 000000 000000 000000 000000 000000* -L0037920 100100 000000 000100 000000 000000 000000 000000 000000* -L0037968 100100 000000 000100 000000 000000 000000 000000 000000* +L0037152 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0037216 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0037280 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0037344 01111000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0037408 11111000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0037472 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037600 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000100 000000 000000 000000 000000 000000 000000 000000* +L0037776 101101 000000 000000 000000 000000 000000 000000 000000* +L0037824 101101 000000 000000 000000 000000 000000 000000 000000* +L0037872 101101 000000 000000 000000 000000 000000 000000 000000* +L0037920 110101 000000 000000 000000 000000 000000 000000 000000* +L0037968 110101 000000 000000 000000 000000 000000 000000 000000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000000 00000001 00000001 00000010 00000010 00000010 00000010 00000010* -L0038144 00000010 00000001 00000000 00000001 00000000 00000001 00000001 00000001* +L0038080 00000011 00000001 00000001 10000010 00000010 00000010 00000010 00000010* +L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000001* L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038272 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0038336 00000000 00000001 00000001 00000000 00000000 00000011 00000011 00000011* +L0038336 00000010 00000000 00000001 00000000 00000000 00000011 00000011 00000011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000001 00000000 00000011 00000000 00000001 00000001 00000000* -L0038528 00000010 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0038464 00000000 00000001 00000000 00001011 00000010 00000000 00000000 00000000* +L0038528 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* L0038592 000000 000000 000000 000000 000000 000000 000000 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000001 000000 000000 000010 000000 000000 000000* +L0038736 000000 000001 000000 000000 000010 000000 000000 000000* +L0038784 000000 000001 000000 000000 000010 000000 000000 000000* L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0038944 00000011 00000011 00000011 00000011 00000010 00000011 00000011 00000011* -L0039008 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039072 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039136 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039200 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039264 00000001 00000011 00000011 00000011 00000001 10000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00000011 00000001 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039456 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000011 00000011 10000011 00000010 00000011 00000011 00000000* +L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000010* +L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* +L0039072 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000000* +L0039136 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* +L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000011 00000011 00001011 00000011 00000011 00000011 00000000* +L0039328 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039456 000000 000000 000000 100000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* -L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000010 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000011 00000011 11000011 00000011 00000000 00000000 00000000 00010011* -L0039808 00011010 00000011 11010011 00000011 00000010 00000010 00000011 00011011* -L0039872 00011011 00000001 11011011 00000011 00000000 00000000 00000000 10001010* -L0039936 00011011 00000001 01001011 00000011 00000000 00000000 00000001 00000001* -L0040000 01011011 00000001 01000011 00000011 00000000 00000000 00000001 00000001* -L0040064 00100011 00000001 00000111 00000011 10000000 00000010 00000010 10000011* -L0040128 00100001 00000001 01000111 00000010 10000000 00000001 00000001 00000011* -L0040192 00101011 00000011 01000111 00000011 00000000 00000000 00000000 00000001* -L0040256 10101011 00000011 10000111 00000011 00000000 00000001 00000011 00000011* -L0040320 001000 000000 101001 000000 000000 000000 000000 000000* -L0040368 000000 000000 001000 000000 000000 000000 000000 000000* -L0040416 001100 000000 001000 000000 000000 000000 000000 000000* -L0040464 000100 000000 101001 000000 000000 000000 000000 000001* -L0040512 100100 000000 001000 000000 000000 000000 000000 000000* -L0040560 100100 000000 101000 000000 000000 000000 000000 100000* -L0040608 00000011 00000011 00000011 00000001 00000000 00000000 00000000 00000110* -L0040672 00000010 00000001 00000111 00000011 00000000 00000000 00000001 00000010* -L0040736 00000111 00000001 00000011 00000011 00000000 00000000 00000000 00000010* -L0040800 00000111 00000001 00000011 00000011 00000000 00000000 00000001 00000001* -L0040864 00000111 00000000 00000011 00000011 00000000 00000000 00000001 00000001* -L0040928 10001011 00000001 00000000 00000011 00000000 00000000 00000000 00000001* -L0040992 10000001 00000001 00001011 00000010 00000000 00000000 00000001 10000001* -L0041056 00000011 00000000 00001011 00000011 00000000 00000000 00000000 10000001* -L0041120 01000011 00000001 00000011 00000011 00000000 00000000 00000001 10000001* -L0041184 000000 000000 000000 000000 000000 000000 000000 100000* -L0041232 000010 000000 000000 000000 000000 000000 000000 000000* -L0041280 010000 000000 000100 000000 000000 000000 000000 000000* -L0041328 010001 000000 000100 000000 000000 000000 000000 000000* -L0041376 010001 000000 000000 000000 000000 000000 000000 000000* -L0041424 010000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000011 00000011 00001001 00000001 00000000 00000000 00000000 00000010* -L0041536 00000010 00000000 00001011 00000011 00000000 00000000 00000000 00000010* -L0041600 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00001010* -L0041664 00000011 00000001 00000111 00000011 00000000 00000001 00000000 00000000* -L0041728 00000011 00000000 00000011 00000011 00000000 00000001 00000000 00000000* -L0041792 00000011 00000001 00000000 00000011 00000000 00000000 00000000 00000001* -L0041856 00000001 00000001 00000011 00000010 10000000 00000001 00000001 00000001* -L0041920 00000011 00000000 01000011 00000010 00000000 00000000 00000000 00000011* -L0041984 00000011 00000001 00010111 00000011 00000000 00000001 00000001 00000001* -L0042048 000000 000000 101100 000000 000000 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 000000 000000 000000 000000* -L0042192 000000 000000 001000 000000 000000 000000 000000 000010* -L0042240 000000 000000 001000 000000 000000 000000 000000 000000* -L0042288 000000 000000 001000 000000 000000 000000 000000 000000* -L0042336 00000011 00000010 00000001 00000001 00000000 00000000 00000000 00000010* -L0042400 00000010 00000000 00010011 00000011 00000000 00000000 00000000 00001010* -L0042464 00000011 00000000 10011011 00000011 00000000 00000000 00000000 00000010* -L0042528 00000011 00000001 00001011 00000011 00000000 00000000 00000000 00000000* -L0042592 00000011 00000000 01001101 00000011 00000000 00000000 00000000 00000000* -L0042656 00000011 00000000 00000000 00000011 00000000 10000000 00000000 00000000* -L0042720 00000001 00000001 01000011 00000010 00000000 00000000 00000000 00000001* -L0042784 00000011 00000000 00000011 00000010 00000000 00000000 00000000 00000001* -L0042848 00000011 00000001 00000011 00000011 00000000 00000000 00000001 00000001* -L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 001000 000000 000000 000000 000000 000000* -L0043008 000000 000000 001010 000000 000000 000000 000000 000010* +L0039744 00000011 00001101 00000011 00100011 00000010 00000001 00000011 00000000* +L0039808 00000011 00000001 00000011 00000011 00000011 00000001 00000011 00000000* +L0039872 00000011 00000001 00000011 00000011 00000001 00000000 00000001 00000000* +L0039936 00000011 00000001 00000011 00000001 00000001 00000001 00000011 00000000* +L0040000 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* +L0040064 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* +L0040128 00000011 00000001 00000001 00010011 00000001 00000001 00000011 00000000* +L0040192 00000001 00000000 00000011 00000011 00000011 00000001 00000011 00000000* +L0040256 00000011 00000011 00000011 00000011 00000001 00000001 00000011 00000000* +L0040320 000000 000000 000000 000000 000000 000000 000000 000000* +L0040368 000000 001011 000000 000000 000010 000000 000000 000000* +L0040416 000000 000000 000000 000000 000000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000 000000 000000 000000 000000* +L0040608 00000011 00000000 00000011 00000011 00000010 00000001 00000001 00000000* +L0040672 00000011 00000001 00000011 00000011 00000010 00000001 00000001 00000000* +L0040736 00000011 00001101 00000011 00000011 00000000 00000000 00000001 00000000* +L0040800 00000011 00000001 00000011 00000001 00000000 00000001 00000011 00000000* +L0040864 00000011 00000000 00000011 00000011 00000001 00000000 00000011 00000000* +L0040928 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* +L0040992 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* +L0041056 00000001 00000000 00000011 00000011 00000001 00000001 00000011 00000000* +L0041120 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000100 000000* +L0041280 000000 000000 000000 000000 000000 000000 000000 000000* +L0041328 000000 000010 000000 000000 000000 000000 000000 000000* +L0041376 000000 000001 000000 000000 000010 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000111 00000000 10100011 00001011 00000010 00000000 00000001 00000000* +L0041536 00001011 00000000 01000011 00000011 00000010 00000000 00000001 00000000* +L0041600 00001111 00000000 10000011 10001011 00000000 00000000 00000001 00000000* +L0041664 00001011 00000000 01000011 00000101 00000000 00000000 00000011 00000000* +L0041728 00000101 00000000 00000001 00001111 00000001 00000001 00000011 00000000* +L0041792 00010011 00000000 00000001 00000111 00000001 00000000 00000010 00000000* +L0041856 00000011 00000000 11000001 10000011 00000001 00000011 00000001 00000000* +L0041920 00000001 00000000 11000011 00000011 00000001 00000011 00000011 00000000* +L0041984 00000001 00000001 00000011 00001011 00000001 00000001 00000011 00000000* +L0042048 000000 000000 000000 000000 000000 000000 000000 000000* +L0042096 000010 000000 000000 000001 000000 011110 000000 000000* +L0042144 000010 000000 000000 000000 000000 000000 000000 000000* +L0042192 000010 000000 100000 000001 000010 000000 000000 000000* +L0042240 000010 000000 100000 000000 000000 000000 000000 000000* +L0042288 000010 000000 000000 000001 000000 000000 000000 000000* +L0042336 00100011 00000000 00000101 00010111 00000010 00000000 00000001 00000000* +L0042400 00000011 00000000 00000010 00000011 00000010 00000000 00000001 00000000* +L0042464 00000011 00000000 00000011 00000001 00000000 00000000 00000001 00000000* +L0042528 00000011 00000000 00000011 00000001 00000000 00000000 00000011 00000000* +L0042592 00000001 00000000 00001001 00000001 00000000 00000000 00000011 00000000* +L0042656 10000011 01000000 00000000 00000011 00000000 00000000 00000010 00000000* +L0042720 00010011 00000000 00000001 00000011 00000001 00000000 00000001 00000000* +L0042784 00000000 00000000 00000011 00000001 00000001 00000000 00000011 00000000* +L0042848 00000001 00000000 00000011 10000011 00000001 00000001 00000011 00000000* +L0042912 000000 000000 000000 100000 000000 000000 000000 000000* +L0042960 010000 000000 000001 000000 000010 000000 000000 000000* +L0043008 000000 000000 000000 000000 000000 000000 000000 000000* L0043056 000000 000000 000000 000000 000000 000000 000000 000000* -L0043104 000000 000000 000100 000000 000000 000000 000000 000000* -L0043152 000000 000000 000100 000000 000000 000000 000000 000000* -L0043200 00000011 00000000 00000011 00000000 00000000 00000010 00000010 00000011* -L0043264 00000000 00000000 00000010 00000010 00000000 00000010 00000010 00000001* -L0043328 00000000 00000010 00000000 00000010 00000000 00000010 00000000 00000011* +L0043104 000000 000000 000000 110000 000000 000000 000000 000000* +L0043152 000000 000000 001000 000010 000000 000000 000000 000000* +L0043200 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* +L0043264 00000001 00000010 00000000 00000001 00000011 00000011 00000000 00000000* +L0043328 00000000 00000000 00000010 00000010 00000010 00000011 00000010 00000000* L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000000 00000010 00000010 00000010 00000000 00000010 00000000 00000001* +L0043456 00000001 00000010 00000010 00000010 00000001 00000011 00000010 00000000* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -855,11 +855,11 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0044128 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0044192 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0044064 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* +L0044128 00000000 00000001 00000001 00000000 00000000 00000000 00000000 00000000* +L0044192 00000000 00000001 00000011 00000000 00000000 00000000 00000010 00000000* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000000 00000010 00000001 00000000 00000000 00000000 00000000 00000000* +L0044320 00000010 00000001 00000011 00000000 00000000 00000000 00000000 00000000* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -870,428 +870,428 @@ L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 10000000 00000000 00000010 00000000 00000000 00000000 00000010 00010010* -L0044992 10000000 00000000 00000000 00000010 00000000 00000000 00000000 10010010* -L0045056 10000100 00000010 00000000 00000010 00000000 00000000 00000000 00000010* -L0045120 10000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 10000110 00000010 00000010 00000000 00000000 00000000 00000000 00000010* +L0044928 00000011 00000010 00000010 00000011 00000000 00000000 00000011 00000000* +L0044992 00000001 00000000 00000000 00000001 00000001 00000000 00000001 00000000* +L0045056 00000010 00000000 00000011 00000000 00000010 00000000 00000010 00000000* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000011 00000010 00000010 00000000 00000011 00000000 00000010 00000000* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045440 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045504 000001 000000 000000 000000 000000 000000 000000 000000* -L0045552 000010 000000 000000 000000 000000 000000 000000 000000* -L0045600 000010 000000 000000 000000 000000 000000 000000 000001* -L0045648 000011 000000 100001 000000 000000 000000 000000 000000* -L0045696 000011 000000 000000 000000 000000 000000 000000 000000* -L0045744 000011 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000001 00001011 00000011 00000000 00000000 00000000 00000010* -L0045856 00000010 00000001 00001001 00000001 00000000 00000010 00000000 00000010* -L0045920 00000010 00000011 00001111 00000011 00000000 00000010 00000000 00000010* -L0045984 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000010 00001111 00000011 00000000 00000010 00000000 00000010* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0046176 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0046240 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0046304 00000000 00000000 00011000 00000000 00000000 00000000 00000000 10000000* -L0046368 000000 000000 000110 000000 000000 000000 000000 100000* -L0046416 001000 000000 000000 000000 000000 000000 001000 000000* -L0046464 000000 000000 000010 000000 000000 000000 000000 000000* +L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 000000 000000 000000 000000* +L0045552 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* +L0045648 000000 000000 000000 000000 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000 000000 000000 000000 000000* +L0045792 00000001 00000010 00000001 00000011 00000001 00000000 00000010 00000000* +L0045856 00000000 00000001 00000000 00000010 00000001 00000000 00000001 00000000* +L0045920 00000000 00000010 00000000 00000000 00000011 00000000 00000010 00000000* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000010 00000001 00000000 00000010 00000010 00000000 00000001 00000000* +L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000100 000000 000000 000000 000000 000000* -L0046608 000000 000000 000100 000000 000000 000000 000000 100000* -L0046656 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000000* -L0046720 00000010 00000000 10000010 00000010 00000000 00000000 00000000 00000010* -L0046784 00000000 00000010 01000000 00000010 00000000 00000000 00000000 00000010* -L0046848 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000010 00000001 00000001 00000000 00000001 00000000 00000010* +L0046560 000000 000000 000000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 00000000 00000001 00000000 00000010 00000001 00000010 00000000 00000000* +L0046720 00001010 00000010 00000010 00000000 00000000 00001000 00000010 00000000* +L0046784 00001000 00000000 00000000 00000010 00000010 00001010 00000000 00000000* +L0046848 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046912 01001000 00000011 00000000 00000000 00000011 00000000 00000000 00000000* L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 100000* +L0047040 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0047104 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047232 000000 000000 000000 000000 000000 000000 000000 000000* L0047280 000000 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000000 000000* -L0047376 000000 000000 000000 000000 000000 000000 000000 000000* -L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047328 010000 000000 000000 000000 000000 000001 000000 000000* +L0047376 010000 000000 000000 000000 000010 000000 000000 000000* +L0047424 000000 000000 000000 000000 000010 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00001001 00000000 00001010 00000010 00000000 00000010 00000010 10000011* -L0047584 10001000 00000000 00001000 00000000 00000000 00000001 00000000 10000001* -L0047648 10001011 00000010 00001000 00000010 00000000 00000001 00000010 10000011* -L0047712 10001000 00000000 00001100 00000000 00000000 00000000 00000000 10000000* -L0047776 10001000 00000010 00001110 00000010 00000000 00000011 00000010 10000011* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047904 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048032 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0048096 100011 000000 000100 000000 000000 000000 000000 000000* -L0048144 100000 000000 000000 000000 000000 000000 000000 000000* -L0048192 100100 000000 000010 000000 000000 000000 000000 000001* -L0048240 100100 000000 000000 000000 000000 000000 000000 000001* -L0048288 100100 000000 000100 000000 000000 000000 000000 000001* -L0048336 100100 000000 000100 000000 000000 000000 000000 000001* -L0048384 00000010 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0048448 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000011* -L0048512 00000000 00000010 00000001 00000001 00000000 00000000 00000000 00000011* -L0048576 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0048640 00000011 00000010 00000011 00000001 00000000 00000000 00000010 00000011* +L0047520 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00000000* +L0047584 00000000 00000000 00000000 00000000 00000011 00010000 00000000 00000000* +L0047648 00000011 00000010 00000010 00000000 00000000 00010010 00000011 00000000* +L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047776 00000000 00000010 00000000 00000000 00000011 00000010 00000000 00000000* +L0047840 00001000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0047904 10000000 00000000 00000000 00100000 00000000 00000100 00000000 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0048096 000000 000000 000000 000000 000000 000000 000000 000000* +L0048144 000010 000000 000000 000000 000010 000000 000000 000000* +L0048192 000000 000000 000000 000000 000010 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048288 000000 000000 000000 000000 000000 000001 000000 000000* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00000001 00010000 00000001 00000011 00000001 00000000 00000011 00000010* +L0048448 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* +L0048512 00000000 00000011 00000000 00000001 00000001 00000011 00000000 00000010* +L0048576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048640 00000011 00000011 00000001 00000011 00000011 00000010 00000011 00000000* L0048704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0048896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048960 000000 000000 000000 000000 000000 000000 000000 000000* L0049008 000000 000000 000000 000000 000000 000000 000000 000000* L0049056 000000 000000 000000 000000 000000 000000 000000 000000* L0049104 000000 000000 000000 000000 000000 000000 000000 000000* L0049152 000000 000000 000000 000000 000000 000000 000000 000000* L0049200 000000 000000 000000 000000 000000 000000 000000 000000* -L0049248 00000011 00000000 00000011 00000011 00000000 00000000 00000011 00000000* -L0049312 00000010 00000000 00000001 00000010 00000000 00000010 00000001 00000011* -L0049376 00000011 00000010 00000000 00000011 00000000 00000010 00000000 00000001* +L0049248 00000010 00000000 00000011 00000011 00000010 00000001 00000010 00000010* +L0049312 00000000 00000000 00000001 00000010 00000000 00000011 00000010 00000000* +L0049376 00000000 00000010 00000000 00000001 00000000 00000010 00000001 00000000* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000010 00000010 00010011 00000010 00000000 00000010 00000001 00000001* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000000 00000010 00000001 00000010 00000000 00000011 00000001 00000010* +L0049568 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049824 000000 000000 000000 000000 000000 000000 000000 000000* L0049872 000000 000000 000000 000000 000000 000000 000000 000000* -L0049920 000000 000000 000000 000000 000000 000000 000000 000000* +L0049920 000000 000000 000000 100000 000000 000000 000000 000000* L0049968 000000 000000 000000 000000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000010 00000000 00000000 00000010 00000010 00000000 00000000 00000010* -L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050240 00000001 00000000 00000001 00000000 00000000 00000001 00000010 00000000* -L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050368 00000000 00000000 00000010 00000000 00000000 00000010 00000010 00000010* +L0050112 00001000 00000010 00010010 00000010 10001000 00000010 01000000 00000000* +L0050176 10000000 00000000 00010001 00000000 10001010 00000000 01000010 00000000* +L0050240 00000110 00000010 00010001 00010001 10000001 00000000 00000001 00000000* +L0050304 10000100 00000000 00011100 00000000 10000000 00000000 00000000 00000000* +L0050368 00000110 00000000 00010111 00000010 10000010 00000010 00000000 00000000* L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000000 000000 000000 000000 000000 000000 000000 000000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000000* -L0050832 000000 000000 000000 000000 000000 000000 000000 000000* -L0050880 000000 000000 000000 000000 000000 000000 000000 000000* -L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000011 00000011 00000000 00000000 00000010 00000000* -L0051040 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0051104 00000000 00000010 00000001 00000001 00000000 00000000 00000010 00000010* +L0050496 00000000 00000000 01101000 00000000 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 01101100 00000000 10000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00101000 00000000 10000000 00000000 00000000 00000000* +L0050688 000000 000000 001011 000000 100000 000000 000000 000000* +L0050736 000000 000000 000100 000000 000000 000000 000000 000000* +L0050784 000000 000000 000101 000000 000000 000000 000000 000000* +L0050832 000000 000000 000111 010000 000000 000000 000000 000000* +L0050880 000000 000000 001111 000000 000001 000000 000000 000000* +L0050928 000000 000000 000101 000000 000001 000000 000000 000000* +L0050976 00000000 00000010 00000001 00000001 00000010 00000000 00000000 00000000* +L0051040 00000000 00000001 00000010 00000000 00000001 00000000 00000000 00000000* +L0051104 00000000 00000010 00000011 00000111 00000010 00000000 00000010 00000000* L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000010 00000011 00000011 00000000 00000000 00000000 00000010* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000011 00000011 00000111 00000011 00000000 00000010 00000000* +L0051296 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 010000 000000 000010 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* -L0051744 000000 000000 000000 000000 000000 000000 000000 000000* -L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000001 00000001 00000010 01000001 00000000 00000000 00000000 00000010* -L0051904 00000000 00000001 00010000 01000001 00000000 00000000 00000010 00000010* -L0051968 00000000 00000000 00010000 01010001 00000000 00000000 00000010 00000010* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000001 00000001 00000010 00000001 00000000 00000000 00000010 00000010* -L0052160 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0052224 00000000 10100000 00000000 10000100 00000000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 001000 000000 000000 000000 000000 000000* -L0052464 000000 000000 001000 100010 000000 000000 000000 000000* -L0052512 000000 000000 001000 110000 000000 000000 000000 000000* -L0052560 000000 000000 001000 100000 000000 000000 000000 000000* -L0052608 000000 000000 001000 100001 000000 000000 000000 000000* -L0052656 000000 000000 001000 100001 000000 000000 000000 000000* -L0052704 00000000 10010101 00000010 00000000 00000010 00000010 00000000 00000011* -L0052768 00000000 10011100 00000000 00010000 00000000 00000000 00000000 00000001* -L0052832 00000000 10011100 00000000 00000000 00000000 00000000 00000000 00000001* -L0052896 00000000 10011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0052960 00000010 10001101 00000010 00100000 00000000 00000010 00000000 00000001* -L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053088 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 000000 000000 000000 000000 000000 000000* -L0053328 000000 000000 000000 010000 000000 000000 000000 000000* -L0053376 000000 000000 000000 000001 000000 000000 000000 000000* -L0053424 000000 000011 000000 000011 000000 000000 000000 000000* -L0053472 000000 000011 000000 000000 000000 000000 000000 000000* -L0053520 000000 000001 000000 000000 000000 000000 000000 000000* -L0053568 00000011 00000000 00000010 00111000 00000010 00010000 00000000 00000000* -L0053632 00000010 00000000 00010010 01111000 00000010 00000011 00000000 00000000* -L0053696 00000010 00000010 00010000 01101000 00000010 00000011 00000001 00000000* -L0053760 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* -L0053824 00000011 00000010 00000010 01011000 00000000 00000010 00000001 00000000* -L0053888 00000000 00000000 00000000 11011000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 11011100 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 11111100 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 11101000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 001000 011110 000000 000000 000000 000000* -L0054192 000000 000000 001000 101000 000000 000000 000000 000000* -L0054240 000000 000000 001000 111000 000000 000000 000000 000000* -L0054288 000000 000000 001000 111110 000000 000000 000000 000000* -L0054336 000000 000000 001000 111111 000000 000000 000000 000000* -L0054384 000000 000000 001000 111111 000000 000000 000000 000000* -L0054432 00000011 00000010 00000010 00000000 00000000 00000000 00000011 00000001* -L0054496 00000000 00000000 00000001 00000000 00000000 00000010 00000010 00000001* -L0054560 00000011 00000010 00000001 00010010 00000000 00000011 00000001 00000001* +L0051696 000000 000000 100000 000000 000000 000000 000000 000000* +L0051744 000000 000000 100000 000000 000000 000000 000000 000000* +L0051792 000000 000000 100000 000000 000000 000000 000000 000000* +L0051840 00000010 00000010 00000001 00000000 00000010 00000001 00000011 00000000* +L0051904 00010000 00011100 00000010 00000000 00000000 00000001 00000011 00000000* +L0051968 01000001 00001101 00000010 00000010 00000000 00000000 00000000 00000000* +L0052032 00000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* +L0052096 00010011 00000011 00000011 00000000 00000010 00000001 00000011 00000000* +L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0052352 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052416 000100 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 000000 000000 100000 000000 000000 000000* +L0052512 001001 000010 000000 000000 000000 000000 000000 000000* +L0052560 001100 000010 000010 000000 000000 000000 000000 000000* +L0052608 000101 000000 000000 000000 000000 000000 000000 000000* +L0052656 000101 000000 000000 000000 000000 000000 000000 000000* +L0052704 00010000 00100000 10000001 00000010 00000000 00000010 10000010 00000000* +L0052768 00001000 00000000 11000000 00000000 00000100 00000000 00000000 00000000* +L0052832 00011001 00000001 01000000 00000000 10000101 00000000 00000000 00000000* +L0052896 01111000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0052960 11001010 00000001 00000001 00000010 10000100 00000010 00000000 00000000* +L0053024 01000000 00100000 00000000 00000000 00001100 00000000 00001000 00000000* +L0053088 01000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* +L0053152 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0053216 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0053280 000000 000000 000000 000000 100001 000000 000000 000000* +L0053328 001101 010000 000000 000100 000001 000000 100000 000000* +L0053376 100100 000000 000000 000000 000001 000000 000000 000000* +L0053424 000001 000000 000000 000000 000001 000000 000010 000000* +L0053472 110000 000000 000000 000000 000001 000000 000000 000000* +L0053520 100000 000000 000000 000000 000001 000000 000000 000000* +L0053568 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000000* +L0053632 00000000 00000001 00000010 00000010 00000001 00000000 00000011 00000010* +L0053696 00000000 00000010 00000010 00000000 00000000 00000000 00000001 00000000* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000000 00000011 00000011 00000010 00000001 00000000 00000010 00000010* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* +L0054192 000000 000000 000000 000000 000000 000000 000000 000000* +L0054240 000000 000001 000000 000000 000010 000000 000000 000000* +L0054288 000000 000001 000000 000000 000010 000000 000000 000000* +L0054336 000000 000001 000000 000000 000010 000000 000000 000000* +L0054384 000000 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000010 00000000 00000010 00000010 00000010 00000000 00000010 00000000* +L0054496 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* +L0054560 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000010 00000000 00000011 00100000 00000000 00000011 00000010 00000011* +L0054688 00000000 00000011 00000010 00000010 00000010 00000000 00000010 00000000* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 100000 000000 000000 000000 000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000011 000000 000000 000000 000000* -L0055152 000000 000000 000000 000001 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000001 00000010 00000001 00000001 00000000 00000001 00000000 00000001* -L0055360 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00001001* -L0055424 00001000 00000010 00000000 00000000 00000000 00000000 00000000 00001000* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055296 00000001 00000000 00000011 00000001 00000010 00000001 00000000 00000001* +L0055360 00000001 00000010 00000000 00000000 10001000 00000000 00000000 00000001* +L0055424 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* +L0055488 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055552 00000010 00000010 00000011 00000011 00000000 00000001 00000000 00000000* +L0055616 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055744 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055808 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055872 000000 000000 000000 000000 100000 000000 000000 000000* L0055920 000000 000000 000000 000000 000000 000000 000000 000000* L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 100100 000000 000000 000000 000000 000000 000000 000000* +L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* -L0056112 100100 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000001 00000000 00000001 00000001 00000000 00000001 00000011 00000011* -L0056224 00001000 00000010 00000000 00000010 00000000 00000000 00000011 00000010* -L0056288 00000000 00000010 00000010 00000000 00000000 00000001 00000001 00000011* -L0056352 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000010 00000010 00000000 00000000 00000001 00000010 00000011* -L0056480 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0056112 000000 000000 000000 000000 000000 000000 000000 000000* +L0056160 00000001 00000000 00000000 00000010 00000000 00000001 00000010 00000000* +L0056224 00001000 00000010 00000010 00000000 00000010 00000001 00000010 00000000* +L0056288 00011010 00000010 00000000 00000010 00000000 00000001 00000001 00000000* +L0056352 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 01011010 00000010 00000000 00000010 00000010 00000000 00000011 00000000* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 100100 000000 000000 000000 000000 000000 000000 000000* -L0056880 000000 000000 000000 000000 000000 000000 000000 000000* -L0056928 100100 000000 000000 000000 000000 000000 000000 000000* -L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00001111 00000001 00110100 00000000 00000000 00000000 00000000 10101001* -L0057088 00000111 00000001 00000001 00000000 00000000 00000010 00000000 00000001* -L0057152 00000011 00000000 00000000 00000010 00000000 00000010 00000000 00000001* -L0057216 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0057280 00000011 00000001 00000001 00100000 00000000 00000000 00000010 00000001* -L0057344 00000100 00000000 10101000 00000000 00000000 00000000 00000000 00001100* -L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0057600 000000 000000 000000 100100 000000 000000 000000 000000* -L0057648 100000 000000 000000 000001 000000 000000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000000 000000* -L0057744 001000 000000 000000 100000 000000 000000 000000 000000* -L0057792 000000 000000 000000 100000 000000 000000 000000 000010* -L0057840 000000 000000 000001 100000 000000 000000 000000 000000* -L0057888 00000000 00000001 00000000 00100010 00000000 00000010 00000010 00000000* -L0057952 00000000 00000011 00001000 00001000 00000000 00000000 00000010 00010010* -L0058016 00010101 00000010 00010010 00101010 00000000 00000000 00000000 00000000* -L0058080 00010100 00000000 00101000 00001000 00000000 00000000 00000000 00000000* -L0058144 00010100 00000011 00100010 00011010 00000000 00000010 00000010 00000010* -L0058208 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058272 00100100 00000000 00000000 11010000 00000000 00000000 00000000 00000000* -L0058336 00100100 00000000 00011000 00000100 00000000 00000000 00000000 00001100* -L0058400 00100100 00000000 00000000 11101000 00000000 00000000 00000000 10000000* -L0058464 001001 000000 001110 000000 000000 000000 000000 100001* -L0058512 001010 000000 000000 000000 000000 000000 000000 000000* -L0058560 001010 000000 001010 001000 000000 000000 000000 000000* -L0058608 000010 000000 000100 000001 000000 000000 000000 000000* -L0058656 001010 000000 000000 011000 000000 000000 000000 000000* -L0058704 001010 000000 001100 000011 000000 000000 000000 000000* -L0058752 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000011* -L0058816 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0058880 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000011* -L0058944 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* -L0059008 00000010 00000000 00010000 00000001 00000000 00000000 00000000 00000011* +L0056608 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056672 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056736 000100 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 100000 000000 001000 000000* +L0056832 000000 000000 000000 000000 000000 000000 000000 000000* +L0056880 001001 000000 000000 000000 000000 000000 000000 000000* +L0056928 000001 000000 000000 000000 000000 000000 000000 000000* +L0056976 100101 000000 000000 000000 000000 000000 000000 000000* +L0057024 00100001 00000000 00000111 00000001 00000011 00000100 00110011 00000000* +L0057088 00000000 00000001 00000001 00000001 00000010 00000011 00000011 00000001* +L0057152 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000000* +L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000001 00001010 00001000 00000010 00000011 01000011 00000001* +L0057344 10000000 00000000 00000000 00000100 00000000 00100000 00010000 00000000* +L0057408 00010000 00000000 00000000 11000100 00000000 00000000 00000000 00000000* +L0057472 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057600 000000 000000 000000 000001 000000 000000 000010 000000* +L0057648 000000 000000 000001 000000 000000 010000 000000 000000* +L0057696 000000 001000 000000 000010 000100 000000 000100 000000* +L0057744 000000 000000 000000 000000 000000 000000 000100 000000* +L0057792 000000 000000 000000 000000 000000 000000 000100 000000* +L0057840 000000 000000 001000 001100 000000 000000 000000 000000* +L0057888 00001001 00000001 00000000 00000010 00000000 00000010 00000001 00000000* +L0057952 00000100 00000011 10000010 00000000 00000000 00000010 01000101 00000000* +L0058016 00000011 00000000 01000010 00001000 00000000 00000010 00010100 00000000* +L0058080 00000100 00000000 10000000 00001000 00000000 00000000 00000100 00000000* +L0058144 00001010 00000011 01000010 00100010 00000000 00000010 00010101 00000000* +L0058208 00001000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* +L0058272 00001000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0058336 00001100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* +L0058400 00001100 00000000 10000000 00100100 00000000 00000000 00001100 00000000* +L0058464 000010 000000 100000 000000 000000 000000 001000 000000* +L0058512 000000 000000 000000 000001 000000 000000 000000 000000* +L0058560 000000 000000 000000 000001 000000 000000 000000 000000* +L0058608 000000 000000 000000 000000 000000 000000 001000 000000* +L0058656 000000 000000 000000 000100 000000 000000 000000 000000* +L0058704 000000 000000 100000 000001 000000 000000 011001 000000* +L0058752 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0058816 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0058880 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000000* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00011100* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00001100* -L0059264 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 011000 000000 000000* L0059424 000000 000000 000000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000001 000000* -L0059616 00000001 00000000 00000011 00000000 00000000 00000000 00000000 01000001* -L0059680 00001000 00000000 00000011 00000010 00000010 00000001 00000100 00000010* -L0059744 00001001 00000000 00000000 00000010 00000000 00000000 00000100 00000000* -L0059808 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00001000 00000000 00000011 00000000 00000000 00000001 00000000 00000001* -L0059936 00000000 00000000 00000000 00100000 00000000 00000000 00010000 01000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000011 00000010 00000011 00000000 00000000 10000000 00000000* +L0059680 00000001 00000011 00000000 00000010 00000001 00000001 00000000 00000000* +L0059744 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000011 00000010 00000010 00000001 00000000 00000000 00000000* +L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 000000 100001* -L0060288 100100 000000 000000 000000 000000 000000 000000 000000* -L0060336 100100 000000 000000 000000 000000 000000 000000 000000* -L0060384 100100 000000 000000 000000 000000 000000 000000 000000* -L0060432 100100 000000 000000 000000 000000 000000 000000 000000* -L0060480 00001010 00000000 00001001 00000000 00000000 00000000 00000010 00000001* -L0060544 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0060608 10001000 00000001 00001001 00000000 00000000 00000000 00000001 00000000* -L0060672 10001100 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0060736 10001100 00000000 00001101 00000000 00000000 00000000 00000001 00000001* -L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060864 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060928 00000100 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0060992 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0061056 100011 000000 000100 000000 000000 000000 000000 000000* -L0061104 100000 000000 000010 000000 000000 000010 000000 000000* -L0061152 100100 000000 000010 000000 000000 000000 000000 000000* -L0061200 100110 000000 000000 000000 000000 000000 000000 000000* -L0061248 100100 000000 000100 000000 000000 000000 000000 000000* -L0061296 100110 000000 000100 000000 000000 000000 000000 000000* -L0061344 00000011 00000001 00000011 00000000 00000000 00000001 00000001 00000001* -L0061408 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0061472 00000100 00000001 00000000 00000000 00000000 00000001 00000000 00000000* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000001 00000001 00000000 00000000 00000000 00000001 00000001 00000000* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0060240 000000 000000 000000 000000 000000 000000 100000 000000* +L0060288 000000 000000 000000 000100 000000 000000 000000 000000* +L0060336 000000 000000 000000 000000 000000 000000 000010 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* +L0060432 000000 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000001 00000000 00000001 00100010 00000000 00000000 01000101 00000000* +L0060544 00000000 00000000 00000000 00100000 00000010 00000001 01110101 00000000* +L0060608 00000001 00000000 00000001 00110101 00000011 00000001 00110000 00000001* +L0060672 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0060736 00000000 00000000 00000000 00100000 00000010 00000001 00010101 00000000* +L0060800 00000000 00000000 00000000 01010100 00000000 00000000 00000000 00000000* +L0060864 00000000 00000000 00000000 01010100 00000000 00000000 00101000 00000000* +L0060928 00000000 00000000 00000000 01110000 00000000 00000000 00101000 00000000* +L0060992 00000000 00000000 00000000 01110000 00000000 00000000 00111000 00000000* +L0061056 000000 000000 000000 000100 000000 000000 001001 000000* +L0061104 000000 000000 000010 000001 000100 000000 000000 000000* +L0061152 000000 000000 000010 000001 000100 000000 000000 000000* +L0061200 000000 000000 000000 010000 000000 000000 001000 000000* +L0061248 000000 000000 000000 001000 000000 000000 001000 000000* +L0061296 000000 000000 000000 001000 000000 000000 001100 000000* +L0061344 00000000 00000010 00000001 00000000 00000000 00000001 00000000 00000000* +L0061408 00000010 00000010 00000010 00010011 00000000 00000001 00000000 00000000* +L0061472 00000001 00000000 00000000 10000000 00000000 00000000 00000001 00000000* +L0061536 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000001 10000000 00000000 00000001 00000001 00000000* +L0061664 00000000 00000000 00001000 00000000 00000000 00000000 01000000 00000000* +L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 001000 000000 000001 000000 000000 000000 000000 000000* -L0061968 000010 000000 000000 000000 000000 000100 000000 000000* -L0062016 000010 000000 000000 000000 000000 000000 000000 000000* +L0061856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000010 000000 000000 000000 000000* +L0061968 000000 000000 000000 001010 000000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000000* L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000010 000000 000000 000000 000000 000000 000000 000000* -L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00000001 00000001 00000001 00000001 00000000 00000000 00000000 00000001* -L0062272 00000000 00000001 00000000 00000001 00000000 00000010 00000001 00000001* -L0062336 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000001* -L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062464 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000001* -L0062528 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000010 000000 000000 000000 000000 000000* -L0062880 000000 000000 000000 000000 000000 000000 000000 000000* -L0062928 000000 000000 000000 000000 000000 000000 000000 000000* -L0062976 000000 000000 000000 000000 000000 000000 000000 000000* -L0063024 000000 000000 000000 000000 000000 000000 000000 000000* -L0063072 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000000* -L0063136 00000010 00000000 00000011 00000001 00000010 00000001 00000000 00000010* -L0063200 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0062112 000000 000000 000000 000000 000000 000000 000001 000000* +L0062160 000000 000000 000000 000000 000000 000000 000001 000000* +L0062208 00000001 00000010 10000000 00000011 10001010 00000000 00000001 00000000* +L0062272 00000000 00000001 11000000 00010011 10001000 00000000 00000001 00000000* +L0062336 00000000 00000001 11000000 00010000 10000000 00000000 00000000 00000000* +L0062400 00000000 00000000 11000000 00010000 10000000 00000000 00000000 00000000* +L0062464 00000000 00000011 01000000 00010011 10000010 00000001 00000001 00000000* +L0062528 00000000 00000100 10000000 00010000 00000000 00000000 00000000 00000000* +L0062592 00000000 00001000 10000000 00010000 00000000 00000000 00000000 00000000* +L0062656 00000000 00010000 10000000 00110000 10000000 00000000 00000000 00000000* +L0062720 00000000 00000000 10000000 00110000 10000000 00000000 00000000 00000000* +L0062784 000000 000000 100000 000100 100000 000000 000000 000000* +L0062832 000000 000000 000000 001000 000000 000000 000000 000000* +L0062880 000000 000000 000000 001000 000000 000000 000000 000000* +L0062928 000000 000100 100000 001000 000000 000000 000000 000000* +L0062976 000000 000000 100000 001000 000001 000000 000000 000000* +L0063024 000000 000000 100000 001000 000001 000000 000000 000000* +L0063072 00000001 00000010 00000001 00000001 00000011 00000000 00000001 00000000* +L0063136 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000000* +L0063200 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000000* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000001 00000010 00000000 00000001 00000010 00000000 00000001 00000000* +L0063392 00000000 00000000 00000100 00000000 01000000 00000000 00000000 00000000* L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 010000 000000 000000 000000 000000* L0063744 000000 000000 000000 000000 000000 000000 000000 000000* -L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 001000 000000 000000 000000 000000 000000* L0063840 000000 000000 000000 000000 000000 000000 000000 000000* L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 10000100 00000001 00000010 00000000 00000010 00000010 00000000 00000010* -L0064000 10000101 00000001 00000011 00000000 00000010 00000000 00000000 00000010* -L0064064 10000101 00000000 00000011 01000000 00000010 00000010 00000000 00000010* -L0064128 10000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064192 10000101 00000001 00000011 00000000 00000010 00000000 00000000 00000011* -L0064256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064320 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064384 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064448 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064512 000001 000000 000000 000000 000000 000000 000000 000000* -L0064560 000011 000000 000000 000000 000000 000000 000000 000000* -L0064608 000010 000000 000000 000000 000000 000000 000000 000000* -L0064656 001011 000000 000000 000000 000000 000000 000000 000000* -L0064704 001011 000000 000000 100000 000000 000000 000000 000000* -L0064752 000011 000000 000000 000000 000000 000000 000000 000000* -L0064800 00000001 00000000 00000001 00000100 00000001 00000000 00000000 00000001* -L0064864 00000000 10000000 00000000 00000000 00000001 00000000 00000000 00000001* -L0064928 00000000 10010001 00000000 00000000 00000001 00000000 00000000 00000001* -L0064992 00000000 00001100 00000000 01100000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000001 00000001 01100000 00000000 00000000 00000000 00000001* -L0065120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 101100 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000001 000000 000000 000000 000000* -L0065520 000000 000000 000000 000101 000000 000000 000000 000000* -L0065568 000000 000010 000000 000101 000000 000000 000000 000000* -L0065616 000000 000000 000000 010101 000000 000000 000000 000000* -L0065664 00000011 00000000 00000010 00000000 00000000 00000001 00000010 00000010* -L0065728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065792 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063936 00000010 00000010 00000010 00000010 00000010 00000010 00000101 00000000* +L0064000 00000000 00000001 00000000 00000000 00000001 00000000 00011101 00000001* +L0064064 00000010 00000000 00000010 00000010 00000011 00000010 01011100 00000000* +L0064128 00000000 00000000 00000000 00000000 00000000 00000000 01011100 00000000* +L0064192 00000000 00000011 00000000 00000000 00000001 00000000 01011101 00000001* +L0064256 00000100 00000000 00000000 00000000 00000000 01000000 01000100 00000000* +L0064320 00000000 00000000 00010000 00000000 00000000 00000000 01101100 00000000* +L0064384 00000000 00000000 00000000 00000000 00000000 00000000 01101100 00000000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0064512 000000 000000 000000 000000 000000 000000 011001 000000* +L0064560 000000 000000 000000 000000 000000 000000 000000 000000* +L0064608 010000 000010 000000 000000 000000 000000 000100 000000* +L0064656 000000 000010 000000 000000 000000 000000 011101 000000* +L0064704 000000 000000 000000 000000 000000 000000 001101 000000* +L0064752 000000 000000 000000 000000 000000 000000 001101 000000* +L0064800 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0064864 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064928 00000000 00000001 00000001 00000000 00000001 00000001 00000001 00000000* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000001 00000001 00000000 00000000 00000001 00000001 00000000 00000000* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000010 000000* +L0065424 000000 000010 000000 000000 000000 000000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000001 000000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 010000 000000* +L0065616 000000 000000 000000 000000 000000 000000 010000 000000* +L0065664 00010000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065728 10010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0065792 00000100 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0065856 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00011100* -L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066048 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000100 000000 000000 000000 000000 000000 000000 000000* L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 000000 000000 000000 000000 000000 000000 000000 000000* -L0066384 000000 000000 000000 000000 000000 000000 000000 000000* -L0066432 000000 000000 000000 000000 000000 000000 000000 000000* -L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00010100 00000000 00000000 00000000 00000000 00000000 01000000* -L0066592 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066336 100101 000000 001000 000000 000000 000000 000000 000000* +L0066384 100000 000000 000000 000000 000000 000000 000000 000000* +L0066432 110100 000000 000000 000000 000000 000000 000000 000000* +L0066480 110001 000000 000000 000000 000000 000000 000000 000000* +L0066528 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066592 01001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0066656 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 11101000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 11101100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066976 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 100001* -L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000001 000000 000000 000000 000000 000000 000000* -L0067296 000000 000001 000000 000000 000000 000000 000000 000000* -L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000110 00000010 00000010 00000010 00000010 00001110 00000010 00000010* -L0067456 00000010 00000010 00000010 00000010 00000000 00000000 00000000 00000010* -L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 101101 000000 001000 000000 000100 000000 000000 000000* +L0067200 001000 000000 000000 000000 000000 000000 000000 000000* +L0067248 011101 000000 000000 000000 000000 000000 000000 000000* +L0067296 000001 000000 000000 000000 000000 000000 000000 000000* +L0067344 000100 000000 000000 000000 000000 000000 000000 000000* +L0067392 00000010 00000010 00000010 00000010 10001010 00000010 00000010 00000010* +L0067456 00000010 00000000 00000010 00000010 10001010 00000000 00000010 00000000* +L0067520 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067712 00000000 00000100 10000000 00000000 00000000 00000000 00000000 00000000* +L0067776 00000010 00001010 10000010 00000010 00000010 00000010 00000010 00000010* +L0067840 00000000 00010000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067904 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* +L0067968 000000 000000 000000 000000 100000 000000 000000 000000* L0068016 000000 000000 000000 000000 000000 000000 000000 000000* -L0068064 000000 000000 000000 000000 000000 000000 000000 000000* -L0068112 000000 000000 000000 000000 000000 000000 000000 000000* -L0068160 000000 000000 000000 000000 000000 000000 000000 000000* -L0068208 000000 000000 000000 000000 000000 000000 000000 000000* -L0068256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068064 000000 000000 100000 000000 000000 000000 000000 000000* +L0068112 000000 000100 100000 000000 000000 000000 000000 000000* +L0068160 000000 000000 000000 000000 000001 000000 000000 000000* +L0068208 000000 000000 000000 000000 000001 000000 000000 000000* +L0068256 00000000 00000000 10000000 00000000 01000000 00000000 00000000 00000000* +L0068320 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068832 000000 000000 000000 000000 000000 000000 000000 000000* -L0068880 000000 000000 000000 000000 000000 000000 000000 000000* -L0068928 000000 000000 000000 000000 000000 000000 000000 000000* +L0068832 000000 000000 100000 000000 000000 000000 000000 000000* +L0068880 000000 000000 100000 000000 000000 000000 000000 000000* +L0068928 000000 000000 000000 000000 000000 000000 010000 000000* L0068976 000000 000000 000000 000000 000000 000000 000000 000000* -L0069024 000000 000000 000000 000000 000000 000000 000000 000000* -L0069072 000000 000000 000000 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0069024 000000 000000 100000 000000 000000 000000 000000 000000* +L0069072 000000 000000 100000 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1302,413 +1302,413 @@ L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0069696 000000 000000 000000 000000 000000 000000 000000 000000* L0069744 000000 000000 000000 000000 000000 000000 000000 000000* L0069792 000000 000000 000000 000000 000000 000000 000000 000000* -L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069840 000000 000001 000000 000000 000000 000000 000000 000000* +L0069888 000000 000001 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 100000 010000 000000* -L0070656 100100 000000 000000 000000 000000 000000 000000 000000* -L0070704 100100 000000 000000 000000 000000 000000 000000 000000* -L0070752 100100 000000 000000 000000 000000 000000 000000 000000* -L0070800 100100 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00001000 00001000 00000000 01000000 00000000 00010000* -L0070912 10000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* -L0070976 00000100 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0071040 10000100 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 11001100 00000000 00000100 00000000 00000000* -L0071232 00000100 00000000 01011000 00001100 00000000 00000000 00000000 10001100* -L0071296 00000000 00000000 01100000 11101000 00000000 00000000 00000000 10000000* -L0071360 00000100 00000000 00011000 00000000 00000000 00000000 00000000 00000100* -L0071424 000000 000000 000000 010010 000000 000000 000000 000000* -L0071472 000000 000000 001010 001100 000000 000110 000000 000000* -L0071520 000010 000000 000100 000101 000000 000000 000000 000000* -L0071568 000011 000000 001000 011000 000000 000000 000000 000000* -L0071616 000000 000000 001100 000011 000000 000000 000000 000000* -L0071664 000001 000000 000000 001000 000000 000000 000000 000000* -L0071712 00000000 00000000 00110100 00000000 00000000 10000000 00000000 10101000* -L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0072032 00010000 00000000 10101000 00000000 00000000 00000000 00000000 00001100* -L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0072288 000000 000000 000000 100100 000000 000000 000000 000000* -L0072336 010100 000000 000000 000001 000000 000000 000000 000000* -L0072384 000000 000000 000000 000000 000000 000000 000000 100000* -L0072432 000000 000000 000000 100000 000000 000000 000000 000000* -L0072480 000000 000000 000000 100000 000000 000000 000000 000010* -L0072528 001000 000000 000001 100000 000000 000000 000000 000000* -L0072576 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0072640 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0072704 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* -L0072768 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000100* -L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072960 00001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0073024 00100000 00000000 00010100 01001000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 10001000 01001000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000010 000000 000000 000000 000000 000000* -L0073200 000000 000000 000000 001000 000000 000000 000000 000010* -L0073248 000010 000000 000000 001100 000000 000000 000000 000010* -L0073296 000010 000000 000000 000100 000000 000000 000000 000010* -L0073344 000010 000000 000000 000000 000000 000000 000000 000010* -L0073392 000011 000000 000000 000000 000000 000000 000000 000000* -L0073440 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* -L0073504 00001000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0073568 01011000 00000000 11001000 00000000 00000000 00000000 00000000 00000000* -L0073632 10011000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0073696 10011000 00000000 01001100 00001000 00000000 00000000 00000000 00000000* -L0073760 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073824 00100000 00000000 00000100 00000100 00000000 00000000 10000000 00000000* -L0073888 00000000 00000000 01000000 10100100 00000000 00000000 00000000 00000000* -L0073952 10001100 00000000 00000000 10100000 00000000 00000000 00000000 00000100* -L0074016 011001 000000 001001 000010 000000 000000 000000 000001* -L0074064 100000 000000 000000 000000 000000 000000 000000 000000* -L0074112 110100 000000 000010 000000 000000 000000 000000 000000* -L0074160 110100 000000 001000 000000 000000 000000 000000 000000* -L0074208 110100 000000 001100 000000 000000 000000 000000 000000* -L0074256 110100 000000 101100 000000 000000 000000 000000 000000* -L0074304 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074368 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 100000* -L0074928 000000 001001 000000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000000 000000 000000 000001* -L0075024 000000 000001 000000 000000 000000 000000 000000 000001* -L0075072 010000 000000 000000 000000 000000 000000 000000 100001* -L0075120 010000 000001 000000 000000 000000 000000 000000 000001* -L0075168 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0075488 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0075552 10000000 10100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075616 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 010000 000000 000000 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 000000 000000* -L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000000 000000 000000 000000 000000 000000* -L0075936 000000 000000 000000 000000 000000 000000 000000 000000* -L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000001 000000 000000 000000 000000 000000 000000* +L0070656 000000 000001 000000 000000 000000 000000 000000 000000* +L0070704 000000 000000 000000 000000 000000 000000 000000 000000* +L0070752 000000 000000 000000 000000 000000 000000 000000 000000* +L0070800 000000 000000 000000 000000 000000 000000 000000 000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071232 00000000 00000000 00010100 00000000 00000000 00001000 00000000 00000000* +L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 110000 000000 000000 000000 000000 000000* +L0071520 000000 000000 100000 011000 000000 000000 000000 000000* +L0071568 000000 000000 000000 001000 000000 000000 000000 000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071712 00010000 00000000 10010000 00000000 00000000 00000100 00000000 00000000* +L0071776 01011000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* +L0071840 01011000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* +L0071904 01111000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* +L0071968 11111000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* +L0072032 01000000 00000000 10000000 00000000 00000000 00001000 00000000 10000000* +L0072096 01000000 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0072160 01010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0072224 00010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0072288 000100 000000 101010 000000 000000 000000 000000 000000* +L0072336 101101 000000 000100 000000 000000 000000 000000 000000* +L0072384 101101 000000 000100 000000 000000 000000 000000 000000* +L0072432 101101 000000 101100 000000 000000 000000 000000 000000* +L0072480 110101 000000 101100 000000 000000 000000 000000 000000* +L0072528 110101 000000 101100 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072896 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073152 000000 000000 000000 000000 000000 000000 000000 000000* +L0073200 000000 000000 000000 000000 000000 001000 000000 000000* +L0073248 000000 000000 000000 000000 000000 000000 000000 000000* +L0073296 000000 000000 000000 000000 000000 000000 000000 000000* +L0073344 000000 000000 000000 000000 000000 000000 000000 000000* +L0073392 000000 000000 000000 000000 000000 000000 000000 000000* +L0073440 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0073504 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073568 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073632 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073696 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073888 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073952 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074016 000100 000000 000000 000000 000000 000000 000000 000000* +L0074064 001101 000000 000000 000000 000000 000000 100000 000000* +L0074112 001101 000000 000000 000000 000000 000000 000000 000000* +L0074160 001101 000000 000000 000000 000000 000000 000010 000000* +L0074208 100101 000000 000000 000000 000000 000000 000000 000000* +L0074256 100101 000000 000000 000000 000000 000000 000000 000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0074368 00010000 00000000 00000000 00000000 00000000 00001000 00011000 00000000* +L0074432 01000000 00000000 00000000 00000000 00000000 00001000 01011000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* +L0074560 00010000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* +L0074624 00000000 00000100 00000000 00010000 00000000 00000000 01000000 00000000* +L0074688 00000000 00011000 00000000 00000000 00000000 00010000 01101000 00000000* +L0074752 00000000 00010000 00000000 01000000 00000000 00000000 01101000 00000000* +L0074816 00000000 00000000 00100000 00000000 00000000 00000000 01111000 00000000* +L0074880 000100 000000 000000 000000 000000 000000 011000 000000* +L0074928 000000 000000 000000 000000 000000 000001 000001 000010* +L0074976 001001 000100 000001 000000 000000 000000 000100 000000* +L0075024 001100 000100 000100 000000 000000 000000 011100 000000* +L0075072 000101 000000 000000 000000 000000 000000 001100 000000* +L0075120 000101 000000 000000 000000 000000 000000 001100 000000* +L0075168 00010000 00000000 10000000 00000000 00000000 00001000 00000000 00000000* +L0075232 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* +L0075296 00011000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* +L0075360 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0075424 01001000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 10000000 00010000 00100000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000000* +L0075616 00010100 00000000 00000000 00000000 00000000 00000100 00010000 00000000* +L0075680 00010100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075792 001101 000000 000000 000000 000000 000000 000000 000000* +L0075840 000100 000000 000000 000000 000000 000000 000000 000000* +L0075888 000001 000000 000000 000000 000000 000000 000001 000000* +L0075936 100000 000000 000000 000000 000000 000001 010000 000000* +L0075984 100000 000000 000000 000000 000000 000000 010000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076608 000000 000000 000000 000000 000000 000000 000000 000000* L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 000000 000000* -L0076752 100100 000000 000000 000000 000000 000000 000000 000000* +L0076704 000000 000010 000000 000000 000000 000000 000000 000000* +L0076752 000000 000010 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* -L0076848 100100 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077088 00001000 10010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 10001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077280 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000000* +L0076896 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0076960 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077024 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077152 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077344 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077408 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000000 000000 000000 000000* -L0077568 100100 000000 000000 000000 000000 000000 000000 000000* -L0077616 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 001101 000000 000000 000000 000000 000000 000000 000000* +L0077568 001101 000000 000000 000000 000000 000000 000000 000000* +L0077616 000100 000000 000000 000000 000000 000000 000010 000000* L0077664 100100 000000 000000 000000 000000 000000 000000 000000* L0077712 000000 000000 000000 000000 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 100000 000000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 011000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 100000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000000* L0078528 000000 000000 000000 000000 000000 000000 000000 000000* L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0078624 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 101100 000000 000000 000000 000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 10000000 00000000 10000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000000 000001 000000 000000 000000 000000* -L0079344 000000 000000 000000 000101 000000 000000 000000 000000* -L0079392 000000 000000 000000 000101 000000 000000 000000 000000* -L0079440 000000 000000 000000 010101 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0079680 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0079296 000000 000000 000010 000000 000000 000000 000000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0079552 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079680 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080000 00000000 00000000 01000000 00000000 00000000 00000000 00000000 10000000* -L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000000 000000 100000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* +L0080000 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080064 000000 000000 000000 000000 000001 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 100000 000000 000000* +L0080160 000000 000010 000000 000000 000011 000000 000000 000000* +L0080208 000000 000010 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0080416 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 00001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000000 000000 000000 000000* -L0081024 100100 000000 000000 000000 000000 000000 000000 000000* -L0081072 100100 000000 000000 000000 000000 000000 000000 000000* -L0081120 100100 000000 000000 000000 000000 000000 000000 000000* -L0081168 100100 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0081600 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0081664 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000010 000000 000000 000000 000000 000000* -L0081888 000000 000000 000000 000000 000000 000000 000000 000000* -L0081936 000000 000000 000000 000000 000000 000000 000000 000000* -L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082400 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082656 000000 000000 000000 000000 000000 000000 000000 000000* -L0082704 000000 000000 000000 000000 000000 000000 000000 000000* +L0080352 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080416 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080480 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080544 01111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080608 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080672 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080736 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080800 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080864 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080928 000100 000000 000000 000000 000000 000000 000000 000000* +L0080976 101101 000000 000000 000000 000001 000000 000000 000000* +L0081024 101101 000000 000000 000000 000000 000000 000000 000000* +L0081072 101101 000000 000000 000000 000011 000000 000000 000000* +L0081120 110101 000000 000000 000000 000010 000000 000000 000000* +L0081168 110101 000000 000000 000000 000000 000000 000000 000000* +L0081216 00010000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* +L0081280 01010000 00000000 11000100 00001000 00000000 00001000 00000000 00000000* +L0081344 01010000 00000000 11000000 00000100 00000000 00001000 00001000 00000000* +L0081408 00110000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0081472 10110000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* +L0081536 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0081600 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 01010000 00000000 10000000 00000100 00000000 00000000 01000000 00000000* +L0081728 00010000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0081792 000100 000000 100000 000000 000000 000000 000000 000000* +L0081840 101101 000000 000000 000000 000100 000000 000000 000000* +L0081888 101101 000000 000000 000000 000100 000000 000100 000000* +L0081936 101101 000000 000000 000101 000000 000000 000000 000000* +L0081984 110101 000000 100000 001001 000000 000000 000000 000000* +L0082032 110101 000000 100000 000000 000000 000001 000000 000000* +L0082080 00000000 00000000 00000000 00100000 00000000 00010000 00000000 00000000* +L0082144 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* +L0082208 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0082272 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0082336 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0082400 00010000 00000000 10000000 00010100 00000000 00000000 00001000 00000000* +L0082464 00000000 00000000 10000000 00010100 00000000 00000100 00010000 00000000* +L0082528 00000000 00000000 00000000 01110000 00000000 00000100 00010000 00000000* +L0082592 00000000 00000000 10000100 01110000 00000000 00000000 00010000 00000000* +L0082656 000000 000000 001000 000100 000000 000000 000010 000000* +L0082704 000000 000000 000000 000000 000000 000000 000000 010000* L0082752 000000 000000 000000 000000 000000 000000 000000 000000* -L0082800 000000 000000 000000 000000 000000 000000 000000 000000* -L0082848 000000 000000 000000 000000 000000 000000 000000 000000* -L0082896 000000 000000 000000 000000 000000 000000 000000 000000* -L0082944 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0083008 00000100 00000000 00000000 00101000 00000000 00000000 00001000 00000000* -L0083072 00000100 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0083136 00000100 00000000 01000000 00001000 00000000 00000000 00000000 00000000* -L0083200 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00001000 00000000 11000000 00000000 10000000 00000000 00000000* -L0083328 00000100 00000000 00000100 11011000 00000000 00000000 00001000 00000000* -L0083392 00000100 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0083456 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083520 000001 000000 000000 001000 000000 000000 000000 000000* -L0083568 000010 000000 000000 000000 000000 011000 000000 000000* -L0083616 000010 000000 000000 000000 000000 000000 000001 000000* -L0083664 000010 000000 000000 000000 000000 000000 000000 000000* -L0083712 001010 000000 000000 000001 000000 000000 000000 000000* -L0083760 000010 000000 000000 001101 000000 000000 000000 000000* -L0083808 00000000 00000000 00001000 00100000 00000000 00000000 00001000 00000000* -L0083872 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0083936 00000000 00000000 00001000 00001000 00000000 00000000 00000100 00000000* -L0084000 00000000 00000000 00001100 01000000 00000000 00000000 00000100 00000000* -L0084064 00000000 00000000 00001100 01001000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0084192 00000000 00001000 00000000 00000100 00000000 10000000 00010000 00000000* -L0084256 00000000 00000000 00000000 11100100 00000000 00000000 00010000 00000000* -L0084320 00000000 00000000 00010000 11100000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000100 010010 000000 000000 000000 000000* -L0084432 000001 000000 000000 001000 000000 000000 000000 001000* -L0084480 000001 000000 000010 001100 000000 010000 000000 000000* -L0084528 001000 000000 000000 001100 000000 000000 000000 000000* -L0084576 000000 000000 000100 001100 000000 000000 000001 000000* -L0084624 000000 000000 000100 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0084736 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0082800 000000 000000 100000 000000 000000 000000 000010 000000* +L0082848 000000 000000 000000 000000 000000 000000 010000 000000* +L0082896 000000 000000 000100 000000 000000 000000 010000 000000* +L0082944 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0083008 10010000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* +L0083072 01010100 00000000 11010100 00100000 00000000 00000000 00000000 00000000* +L0083136 10010100 00000000 11010000 00000000 00000000 00000000 00000000 00000000* +L0083200 00010100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0083392 00010000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0083456 00010000 00000000 10100000 00010000 00000000 00000000 00000000 00000000* +L0083520 000100 000000 101000 000000 000000 000000 000000 000000* +L0083568 001101 000000 110000 000000 000000 000000 000000 000000* +L0083616 001101 000000 100000 000000 000000 000000 000000 000000* +L0083664 011101 000000 101000 000000 000000 000000 000000 000000* +L0083712 100101 000000 101000 000000 000000 000000 000000 000000* +L0083760 100101 000000 101000 000000 000000 000000 000000 000000* +L0083808 10000000 00000000 00001000 00000000 00000000 00000000 10000000 00000000* +L0083872 00101000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0083936 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084000 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084064 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 01000000 00001000 00000000* +L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084320 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0084384 000000 000000 000000 000000 000000 000000 000000 000000* +L0084432 000000 000000 001000 000000 000000 000000 100000 000000* +L0084480 000000 000000 001000 000000 000000 000000 000000 000000* +L0084528 000000 000000 000000 000000 000000 000000 000010 000000* +L0084576 000000 000000 000000 000000 000000 000000 000000 000000* +L0084624 000000 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0084736 00010000 00000000 00000000 00000000 00000000 00000000 00010100 00000000* +L0084800 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00011100* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 010000 000000 000000 100000 000000 000000 000000 000000* -L0085392 010000 000000 000000 000000 000000 000000 000001 000000* -L0085440 000000 000000 000000 000000 000000 000000 000000 000000* -L0085488 000000 000000 000000 100000 000000 000000 000000 000000* -L0085536 00000000 00000000 00001000 00000100 00000000 00000000 00100000 00000000* -L0085600 01000000 10000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0085664 00000000 10010000 00001000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00001100 00001100 01100000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00001100 01100000 00000000 00000000 00000000 00000000* -L0085856 11000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000100 00000000 00000000 00011000 00000000* -L0085984 01000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* -L0086048 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00000100* -L0086112 010000 000000 000100 101100 000000 000000 000000 000001* -L0086160 000000 000000 000000 000000 000000 000000 000000 000000* -L0086208 000000 000000 000010 000001 000000 000000 000000 000000* -L0086256 000000 000000 000000 000101 000000 000000 000000 000000* -L0086304 000000 000010 000100 000101 000000 000000 000000 000000* -L0086352 000000 000000 000100 010101 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00101000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 01110000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00011000 00000000 00001000 00000000 00000000* -L0086784 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00000000* -L0086848 00000000 00000000 00000000 01011100 00000000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 01011000 00000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 111100 000000 000000 000000 000000* -L0087024 000000 000010 000010 001000 000000 000001 000000 000000* -L0087072 000000 000000 000000 001001 000000 000000 000000 000000* -L0087120 000000 000000 000000 011101 000000 000000 000000 000000* -L0087168 000000 000000 000000 011111 000000 000000 000000 000000* -L0087216 000000 000000 000000 011111 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084928 00010000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0084992 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000100 00000000 00000000 00100000 00000000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0085248 000100 000000 000000 000000 000000 000000 001001 000000* +L0085296 000000 000100 000000 000001 000000 000000 000000 000000* +L0085344 001001 000000 000000 000001 000000 000000 000000 000000* +L0085392 001100 000000 000000 000000 000000 000000 001000 000000* +L0085440 000101 000000 000000 000000 000000 000000 001000 000000* +L0085488 000101 000000 000000 000000 000000 000000 001000 000000* +L0085536 00010000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085600 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085664 00011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085728 01011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085792 01001000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085920 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0085984 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0086048 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 010000 000000* +L0086160 001101 000000 000000 000000 000000 000010 000000 000000* +L0086208 000100 000000 000000 000000 000000 000000 000000 000000* +L0086256 000001 000000 000000 000010 000000 000000 000000 000000* +L0086304 100000 000000 000000 000000 000000 000000 000000 000000* +L0086352 100000 000000 000000 000000 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086592 01001000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0086656 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086848 00000100 00000000 00000100 00000000 00000000 00000000 00000100 00000000* +L0086912 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000000 000000 000100 000000 000000 000000* +L0087072 010000 000000 000000 000000 000100 000000 000000 000000* +L0087120 010000 000000 000000 000000 000000 000000 000000 000000* +L0087168 000000 000000 001000 000000 000000 000000 000001 000000* +L0087216 000000 000000 000000 000000 000000 000000 000001 000000* +L0087264 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* +L0087456 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* +L0087520 00000000 00000000 01000000 10000000 00000000 00000000 00001000 00000000* L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 000000 000000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000000 000000 000000 000000 000000* -L0087936 000000 000100 000000 000000 000000 000000 000000 000000* -L0087984 000000 000000 000000 000000 000000 000000 000000 000000* -L0088032 000000 000000 000000 000000 000000 000000 000000 000000* -L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0088512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* +L0087648 00001000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0087712 10000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* +L0087776 00000000 00000000 10000000 10000100 00000000 00000000 00000000 00000000* +L0087840 000000 000000 100000 100001 000000 000000 000000 000000* +L0087888 000000 000000 000000 000010 000000 000000 000000 000000* +L0087936 000010 000000 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000110 000000 000000 000000 000000* +L0088032 000000 000000 000000 000110 000000 000000 000000 000000* +L0088080 000000 000000 000000 000110 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 100000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000001 000000 000000 000000 000000 000000 000000 000001* -L0088848 000000 000000 000000 000000 000000 000000 000000 000001* -L0088896 000000 000000 000000 000000 000000 000000 000000 100001* -L0088944 000000 000000 000000 000000 000000 000000 000000 100001* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000010* +L0088800 000000 000100 000000 000000 000000 000000 000000 000000* +L0088848 000000 000100 000000 000000 000000 000000 000000 000000* +L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000000 000000 000000* L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 10010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 10001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089184 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 010000 000000 000000 000000 000000 000000* +L0089664 000000 000000 000000 000000 000000 000000 000000 000000* L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 000000 000000 000001 000000 000000 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00001000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0089920 00000000 00000100 00000100 00000000 00000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090048 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090240 00001000 00000100 00000000 00000000 00000000 00000000 00000000 00000100* -L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090368 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 100010 000000 000000 000000 000000 000000 000000 000000* -L0090480 100000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000010 000000 000000 000000 000000 000000 000000* -L0090624 000000 000010 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0089856 00001000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0089920 10000000 00000100 10000000 00000000 00000000 00000000 00110100 00000000* +L0089984 00000100 00000100 10000000 00000000 00000000 00000000 00010000 00000000* +L0090048 10000100 00000000 10000100 00000000 00000000 00000000 00000100 00000000* +L0090112 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 10100000 10000000 00000000 00000000* +L0090240 00000000 00000000 01100000 00000000 00000000 00000000 00101100 00000000* +L0090304 00000000 00000000 00100000 00000000 00000000 00000000 00100000 00000000* +L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0090432 000000 000000 000000 000000 000000 000000 000000 000000* +L0090480 000000 000000 000100 000000 000000 000000 000000 000000* +L0090528 000000 000000 000100 000000 000000 000000 000000 000000* +L0090576 000000 000000 000000 000000 000000 000000 011000 000000* +L0090624 000000 000000 000000 000000 000000 000000 000000 000000* +L0090672 000000 000000 000000 000000 000000 000000 000100 000000* +L0090720 00000000 00000100 00000000 00000000 00000000 00000000 01000000 00000000* +L0090784 00000000 00000000 01000000 00000000 00000000 00000000 01000000 00000000* +L0090848 00000000 00000000 01000000 00000000 00000000 00000000 01100100 00000000* +L0090912 00000000 00000000 01000000 00000000 00000000 00000000 01010000 00000000* +L0090976 00000000 00000000 01010000 00000000 00000000 00000000 00010100 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0091104 00000000 00000000 10000000 00000000 10100000 00000000 00000000 00000000* +L0091168 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* +L0091232 00000000 00000000 10000000 00000000 00000000 00000000 00101000 00000000* +L0091296 000000 000000 100010 000000 000000 000000 001001 000000* L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 010000 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 000000* L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 010000 000000 000000 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 000000 000000 000010 000000 000000 000000 001000 000000* +L0091536 000000 000000 000000 000000 000000 000000 001000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 100100 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000001 000000 000000* +L0092256 000000 000000 000000 000000 000000 000001 000000 000000* +L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 100100 000000 000000 000000 000000 000000 000000 000000* +L0092400 000000 000000 000000 000000 000000 000001 000000 000000* L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0093024 000000 000000 000000 000000 000000 000000 000000 000000* -L0093072 000000 000000 000000 000000 000000 010000 000000 000000* -L0093120 100100 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000000 000000 000000 000000 000000* +L0093120 000000 000000 000000 000000 000000 000000 000000 000000* L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 100100 000000 000000 000000 000000 000000 000000 000000* +L0093216 000000 000000 000000 000000 000000 000001 000000 000000* L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -C4638* -2BD2 +C708B* +2DC2 diff --git a/cpld/XC95144XL/MXSE.mfd b/cpld/XC95144XL/MXSE.mfd index 9810e8c..04543fc 100644 --- a/cpld/XC95144XL/MXSE.mfd +++ b/cpld/XC95144XL/MXSE.mfd @@ -1,103 +1,54 @@ MDF Database: version 1.0 MDF_INFO | MXSE | XC95144XL-10-TQ100 -MACROCELL | 2 | 10 | nVMA_IOB_OBUF +MACROCELL | 2 | 14 | nVMA_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 2 | 9 | 2 | 4 | 2 | 11 -INPUTS | 16 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<20> | A_FSB<22> | A_FSB<21> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd2 | fsb/ASrf | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | ram/Once.EXP -INPUTMC | 7 | 2 | 5 | 5 | 2 | 2 | 13 | 2 | 11 | 5 | 3 | 7 | 7 | 2 | 9 -INPUTP | 9 | 147 | 153 | 134 | 117 | 136 | 146 | 144 | 143 | 105 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 -EQ | 17 | - nVMA_IOB.T = ;Imported pterms FB3_10 - !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & +OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 15 +INPUTS | 15 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 10 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 0 | 1 | 1 | 1 | 0 | 3 | 2 +INPUTP | 5 | 147 | 136 | 105 | 109 | 15 +EXPORTS | 1 | 2 | 15 +EQ | 8 | + nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB_OBUF.EXP = iobs/PS_FSM_FFd1 & iobs/IOACTr - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + nVMA_IOB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 7 | 7 | cs/nOverlay1 +MACROCELL | 3 | 2 | cs/nOverlay1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 38 | 2 | 12 | 2 | 9 | 0 | 12 | 7 | 12 | 2 | 15 | 0 | 11 | 2 | 1 | 3 | 17 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 9 | 3 | 8 | 3 | 13 | 2 | 4 | 0 | 7 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 16 | 2 | 0 | 2 | 3 | 2 | 7 | 2 | 10 | 2 | 14 | 3 | 3 | 3 | 6 | 3 | 7 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 16 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 7 | 9 | 5 | 3 -INPUTP | 1 | 117 -EQ | 3 | +OUTPUTMC | 44 | 6 | 9 | 3 | 8 | 2 | 0 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 6 | 3 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 11 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 +INPUTS | 6 | cs/nOverlay0 | nAS_FSB | fsb/ASrf | A_FSB<10> | ram/RASEL | A_FSB<1> +INPUTMC | 3 | 6 | 3 | 2 | 6 | 3 | 0 +INPUTP | 3 | 21 | 143 | 144 +EXPORTS | 1 | 3 | 1 +EQ | 5 | cs/nOverlay1.D = cs/nOverlay0; cs/nOverlay1.CLK = CLK_FSB; // GCK cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; + cs/nOverlay1.EXP = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 13 | iobs/Once +MACROCELL | 6 | 9 | ram/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 2 | 13 | 2 | 7 | 0 | 15 | 2 | 2 | 2 | 6 | 2 | 11 | 2 | 17 | 0 | 14 | 0 | 8 | 0 | 0 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 -INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<20> | A_FSB<22> | A_FSB<21> | EXP26_.EXP | nRAMLWE_OBUF.EXP -INPUTMC | 6 | 2 | 13 | 5 | 3 | 2 | 11 | 2 | 5 | 2 | 12 | 2 | 14 -INPUTP | 5 | 117 | 123 | 147 | 153 | 134 -IMPORTS | 2 | 2 | 12 | 2 | 14 -EQ | 32 | - iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB3_13 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 -;Imported pterms FB3_15 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf; - iobs/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 9 | ram/Once -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 2 | 9 | 3 | 17 | 3 | 4 | 2 | 8 | 7 | 15 | 3 | 7 | 3 | 13 | 2 | 7 | 3 | 10 | 3 | 12 | 3 | 16 | 2 | 10 -INPUTS | 19 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | ram/RS_FSM_FFd1.EXP -INPUTMC | 16 | 2 | 9 | 5 | 3 | 7 | 7 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 10 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 1 | 17 | 6 | 0 | 4 | 15 | 2 | 8 -INPUTP | 3 | 117 | 123 | 153 -EXPORTS | 1 | 2 | 10 -IMPORTS | 1 | 2 | 8 -EQ | 18 | +OUTPUTMC | 10 | 6 | 9 | 6 | 10 | 6 | 16 | 6 | 7 | 6 | 4 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 15 +INPUTS | 16 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RAMDIS2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<21> | RA_7_OBUF.EXP +INPUTMC | 12 | 6 | 9 | 2 | 6 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 10 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 8 +INPUTP | 4 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 6 | 10 +IMPORTS | 1 | 6 | 8 +EQ | 26 | ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_9 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB3_9 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -105,17 +56,25 @@ EQ | 18 | !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf; ram/Once.CLK = CLK_FSB; // GCK - ram/Once.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> - # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr + ram/Once.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 10 | cnt/RefDone +MACROCELL | 1 | 9 | cnt/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 19 | 7 | 10 | 3 | 17 | 3 | 5 | 3 | 3 | 7 | 15 | 3 | 9 | 3 | 13 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 16 | 7 | 16 +OUTPUTMC | 19 | 1 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 13 | 6 | 15 | 6 | 17 INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 7 | 10 | 5 | 9 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +INPUTMC | 10 | 1 | 9 | 5 | 4 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 EQ | 5 | !cnt/RefDone.D = !cnt/RefDone & !RefAck # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & @@ -124,67 +83,100 @@ EQ | 5 | cnt/RefDone.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 7 | BERR_IOBS +MACROCELL | 3 | 9 | iobs/Once +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 3 | 9 | 4 | 14 | 2 | 2 | 2 | 16 | 1 | 17 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 7 | 3 | 8 | 3 | 10 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP28_.EXP | EXP29_.EXP +INPUTMC | 6 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 8 | 3 | 10 +INPUTP | 4 | 15 | 21 | 105 | 114 +IMPORTS | 2 | 3 | 8 | 3 | 10 +EQ | 24 | + !iobs/Once.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 +;Imported pterms FB4_9 + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB4_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once +;Imported pterms FB4_11 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB; + iobs/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 14 | BERR_IOBS ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 2 | 7 | 7 | 6 | 7 | 0 | 0 | 1 | 2 | 6 | 0 | 3 | 0 | 4 | 0 | 5 | 7 | 1 | 7 | 2 | 2 | 8 -INPUTS | 17 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd1 | iobs/IOReady.EXP -INPUTMC | 13 | 2 | 7 | 5 | 3 | 2 | 13 | 1 | 15 | 2 | 11 | 5 | 2 | 0 | 8 | 3 | 3 | 7 | 15 | 7 | 7 | 2 | 9 | 2 | 8 | 2 | 6 -INPUTP | 4 | 117 | 123 | 153 | 134 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 13 | +OUTPUTMC | 9 | 4 | 14 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 +INPUTMC | 7 | 4 | 14 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 +INPUTP | 1 | 21 +EQ | 8 | BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf # iobs/Once & BERR_IOBS & !IOBERR & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 # iobs/Once & !BERR_IOBS & IOBERR & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 -;Imported pterms FB3_7 # iobs/Once & !BERR_IOBS & IOBERR & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; BERR_IOBS.CLK = CLK_FSB; // GCK - BERR_IOBS.EXP = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 15 | IOBERR +MACROCELL | 4 | 17 | IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 2 | 7 | 1 | 15 | 2 | 6 | 1 | 14 | 1 | 16 -INPUTS | 13 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | nDoutOE_OBUF.EXP | nAS_IOB_OBUF.EXP -INPUTMC | 11 | 1 | 15 | 1 | 12 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 | 1 | 14 | 1 | 16 -INPUTP | 2 | 92 | 42 -IMPORTS | 2 | 1 | 14 | 1 | 16 -EQ | 19 | +OUTPUTMC | 5 | 4 | 14 | 4 | 17 | 1 | 17 | 4 | 16 | 4 | 0 +INPUTS | 17 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | EXP33_.EXP +INPUTMC | 15 | 4 | 17 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 16 +INPUTP | 2 | 28 | 42 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 +EQ | 24 | IOBERR.T = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & iobm/BERRrr +;Imported pterms FB5_17 # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & iobm/RESrr -;Imported pterms FB2_15 # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & iobm/BERRrr # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB2_17 # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & iobm/RESrr; IOBERR.CLK = CLK2X_IOB; // GCK + IOBERR.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 0 | 15 | IORW0 +MACROCELL | 2 | 2 | IORW0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 0 | 15 | 1 | 14 | 1 | 10 | 1 | 11 | 0 | 14 | 0 | 16 -INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP22_.EXP | IOREQ.EXP -INPUTMC | 9 | 0 | 15 | 2 | 2 | 2 | 11 | 2 | 5 | 0 | 8 | 2 | 13 | 5 | 3 | 0 | 14 | 0 | 16 -INPUTP | 3 | 123 | 105 | 117 -IMPORTS | 2 | 0 | 14 | 0 | 16 -EQ | 43 | +OUTPUTMC | 7 | 2 | 2 | 1 | 13 | 1 | 10 | 1 | 11 | 2 | 0 | 2 | 1 | 2 | 3 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP23_.EXP | iobs/IOACTr.EXP +INPUTMC | 9 | 2 | 2 | 2 | 16 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 9 | 2 | 6 | 2 | 1 | 2 | 3 +INPUTP | 3 | 105 | 98 | 21 +IMPORTS | 2 | 2 | 1 | 2 | 3 +EQ | 60 | IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 # !IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & @@ -195,86 +187,86 @@ EQ | 43 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_15 +;Imported pterms FB3_2 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB1_17 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & +;Imported pterms FB3_1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +;Imported pterms FB3_4 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; IORW0.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 16 | TimeoutA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 7 | 16 | 7 | 13 | 0 | 11 | 0 | 2 | 0 | 12 | 7 | 15 -INPUTS | 15 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 -INPUTMC | 14 | 7 | 16 | 5 | 3 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 -INPUTP | 1 | 117 -EXPORTS | 1 | 7 | 15 -EQ | 14 | - TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf - # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & - !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; - TimeoutA.CLK = CLK_FSB; // GCK - TimeoutA.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 9 | cs/nOverlay0 +MACROCELL | 6 | 3 | cs/nOverlay0 ATTRIBUTES | 4367104 | 0 -OUTPUTMC | 2 | 7 | 7 | 7 | 9 -INPUTS | 7 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 7 | 9 | 5 | 3 -INPUTP | 5 | 147 | 123 | 153 | 134 | 117 -EQ | 6 | - cs/nOverlay0.T = !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & +OUTPUTMC | 3 | 3 | 2 | 6 | 3 | 6 | 4 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 +INPUTMC | 6 | 6 | 3 | 2 | 6 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 114 | 21 +EXPORTS | 1 | 6 | 4 +EQ | 12 | + cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & !nAS_FSB - # !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & fsb/ASrf; cs/nOverlay0.CLK = CLK_FSB; // GCK !cs/nOverlay0.AR = nRES; // GSR + cs/nOverlay0.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES -MACROCELL | 5 | 17 | IOL0 +MACROCELL | 5 | 15 | IOL0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 10 INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 13 | 0 | 8 | 2 | 11 | 2 | 5 -INPUTP | 1 | 114 +INPUTMC | 4 | 5 | 7 | 2 | 10 | 2 | 8 | 2 | 11 +INPUTP | 1 | 37 EQ | 4 | IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; @@ -282,12 +274,12 @@ EQ | 4 | IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 15 | IOU0 +MACROCELL | 5 | 13 | IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 11 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 12 | 0 | 8 | 2 | 11 | 2 | 5 -INPUTP | 1 | 30 +INPUTMC | 4 | 5 | 6 | 2 | 10 | 2 | 8 | 2 | 11 +INPUTP | 1 | 82 EQ | 4 | IOU0.D = !nUDS_FSB & nADoutLE1 # iobs/IOU1 & !nADoutLE1; @@ -295,62 +287,93 @@ EQ | 4 | IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 13 | TimeoutB +MACROCELL | 1 | 15 | TimeoutA ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 7 | 13 | 0 | 9 | 0 | 5 | 0 | 1 | 0 | 4 | 0 | 3 | 7 | 1 | 7 | 2 | 7 | 17 -INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | TimeoutA | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 11 | 7 | 13 | 5 | 3 | 7 | 16 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 -INPUTP | 1 | 117 +OUTPUTMC | 8 | 1 | 15 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 9 | 1 | 15 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 +INPUTP | 1 | 21 +EQ | 8 | + TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf + # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> + # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & fsb/ASrf; + TimeoutA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 14 | TimeoutB +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 1 | 14 | 3 | 15 | 0 | 5 | 0 | 16 +INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 11 | 1 | 14 | 2 | 6 | 1 | 12 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTP | 1 | 21 EQ | 8 | TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf - # TimeoutA & !TimeoutB & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # TimeoutA & !TimeoutB & !cnt/RefCnt<0> & + # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & + !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & + !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; TimeoutB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 9 | fsb/BERR0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 9 | 0 | 5 | 0 | 4 | 7 | 1 | 7 | 2 | 7 | 17 | 0 | 8 -INPUTS | 11 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | fsb/BERR0r | TimeoutB | A_FSB<18> | nADoutLE1 | A_FSB<17> | cs/nOverlay1 | EXP20_.EXP -INPUTMC | 5 | 0 | 9 | 7 | 13 | 0 | 8 | 7 | 7 | 0 | 10 -INPUTP | 6 | 147 | 123 | 153 | 134 | 146 | 144 -EXPORTS | 1 | 0 | 8 -IMPORTS | 1 | 0 | 10 -EQ | 10 | - !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r -;Imported pterms FB1_11 - # nAS_FSB & !fsb/ASrf; - fsb/BERR0r.CLK = CLK_FSB; // GCK - fsb/BERR0r.EXP = !A_FSB<18> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & nADoutLE1 +MACROCELL | 1 | 12 | cnt/TimeoutBPre +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 2 | 1 | 14 | 1 | 12 +INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 1 | 12 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTP | 1 | 21 +EQ | 8 | + cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + cnt/TimeoutBPre.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 6 | fsb/BERR1r +MACROCELL | 3 | 15 | fsb/BERR0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 7 | 6 | 7 | 0 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 4 | 7 | 1 | 7 | 2 +OUTPUTMC | 9 | 3 | 15 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 3 | 16 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | nWE_FSB +INPUTMC | 3 | 3 | 15 | 1 | 14 | 2 | 6 +INPUTP | 6 | 105 | 15 | 109 | 114 | 21 | 98 +EXPORTS | 1 | 3 | 16 +EQ | 8 | + !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !fsb/BERR0r; + fsb/BERR0r.CLK = CLK_FSB; // GCK + fsb/BERR0r.EXP = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 8 | fsb/BERR1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 2 | 7 | 7 | 6 | 5 | 3 -INPUTP | 1 | 117 +INPUTMC | 3 | 4 | 14 | 1 | 8 | 2 | 6 +INPUTP | 1 | 21 EQ | 3 | !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r # nAS_FSB & !fsb/ASrf; fsb/BERR1r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 12 | fsb/Ready0r +MACROCELL | 6 | 6 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 12 | 0 | 1 | 0 | 13 | 0 | 3 +OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 7 | 7 | 7 | 12 | 3 | 13 | 5 | 3 -INPUTP | 4 | 123 | 153 | 134 | 117 +INPUTMC | 4 | 3 | 2 | 6 | 6 | 6 | 16 | 2 | 6 +INPUTP | 4 | 105 | 15 | 109 | 21 EQ | 6 | !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & @@ -360,142 +383,206 @@ EQ | 6 | fsb/Ready0r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 15 | fsb/Ready1r +MACROCELL | 0 | 6 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 2 | 15 | 0 | 2 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | nADoutLE1 -INPUTMC | 5 | 5 | 3 | 2 | 15 | 2 | 6 | 7 | 7 | 0 | 8 -INPUTP | 10 | 117 | 123 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 -EQ | 11 | +OUTPUTMC | 7 | 0 | 6 | 0 | 12 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 +INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nBERR_FSB_OBUF.EXP +INPUTMC | 5 | 2 | 6 | 0 | 6 | 1 | 17 | 3 | 2 | 0 | 5 +INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 +IMPORTS | 1 | 0 | 5 +EQ | 20 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB1_6 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1; fsb/Ready1r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB MACROCELL | 0 | 11 | fsb/Ready2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 11 | 0 | 2 | 0 | 12 -INPUTS | 21 | A_FSB<9> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cs/nOverlay1 | TimeoutA | nWE_FSB | fsb/Ready2r | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 7 | 7 | 7 | 16 | 0 | 11 | 5 | 3 -INPUTP | 17 | 96 | 147 | 136 | 146 | 144 | 143 | 23 | 21 | 123 | 153 | 134 | 22 | 103 | 18 | 109 | 105 | 117 -EQ | 18 | - !fsb/Ready2r.D = nAS_FSB & !fsb/ASrf - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r; +OUTPUTMC | 7 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | nOE_OBUF.EXP +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 10 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +IMPORTS | 1 | 0 | 10 +EQ | 35 | + !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB1_11 + # nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; fsb/Ready2r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 0 | fsb/VPA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 0 | 5 | 16 | 7 | 1 | 7 | 17 -INPUTS | 12 | fsb/VPA | nAS_FSB | fsb/ASrf | A_FSB<21> | BERR_IOBS | fsb/BERR1r | $OpTx$INV$223 | A_FSB<23> | A_FSB<20> | A_FSB<22> | nBERR_FSB_OBUF.EXP | cnt/RefCnt<7>.EXP -INPUTMC | 7 | 7 | 0 | 5 | 3 | 2 | 7 | 7 | 6 | 0 | 13 | 7 | 1 | 7 | 17 -INPUTP | 5 | 117 | 134 | 123 | 147 | 153 -IMPORTS | 2 | 7 | 1 | 7 | 17 -EQ | 30 | - fsb/VPA.T = fsb/VPA & nAS_FSB & !fsb/ASrf - # !A_FSB<20> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<23> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<22> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<21> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 -;Imported pterms FB8_2 - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r & fsb/VPA & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 -;Imported pterms FB8_18 - # !A_FSB<20> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<23> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<22> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<21> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223; +MACROCELL | 0 | 14 | fsb/VPA +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 0 | 14 | 5 | 14 | 0 | 12 | 0 | 13 | 0 | 15 +INPUTS | 9 | fsb/BERR1r | fsb/VPA | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | EXP19_.EXP | EXP20_.EXP +INPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 9 | 4 | 14 | 3 | 15 | 0 | 16 | 0 | 13 | 0 | 15 +INPUTP | 1 | 21 +IMPORTS | 2 | 0 | 13 | 0 | 15 +EQ | 65 | + fsb/VPA.D = BERR_IOBS & fsb/VPA & !nAS_FSB + # fsb/BERR0r & fsb/VPA & !nAS_FSB + # fsb/BERR1r & fsb/VPA & !nAS_FSB + # fsb/VPA & !nAS_FSB & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & !nAS_FSB & !$OpTx$FX_DC$602 +;Imported pterms FB1_14 + # BERR_IOBS & fsb/VPA & fsb/ASrf + # fsb/BERR0r & fsb/VPA & fsb/ASrf + # fsb/BERR1r & fsb/VPA & fsb/ASrf + # fsb/VPA & fsb/ASrf & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 +;Imported pterms FB1_13 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 +;Imported pterms FB1_16 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + $OpTx$FX_DC$606 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + $OpTx$FX_DC$606 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady +;Imported pterms FB1_17 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606; fsb/VPA.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 13 | iobs/IOL1 +MACROCELL | 5 | 7 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 17 +OUTPUTMC | 1 | 5 | 15 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 17 -INPUTP | 1 | 114 +INPUTMC | 1 | 3 | 5 +INPUTP | 1 | 37 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; iobs/IOL1.CLK = CLK_FSB; // GCK iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 2 | iobs/IORW1 +MACROCELL | 2 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 0 | 15 | 2 | 2 | 2 | 1 -INPUTS | 10 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP25_.EXP | iobm/ETACK.EXP -INPUTMC | 7 | 2 | 2 | 0 | 8 | 2 | 13 | 2 | 11 | 2 | 5 | 2 | 1 | 2 | 3 -INPUTP | 3 | 123 | 134 | 147 -IMPORTS | 2 | 2 | 1 | 2 | 3 -EQ | 19 | +OUTPUTMC | 3 | 2 | 2 | 2 | 16 | 2 | 15 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP25_.EXP | ram/BACTr.EXP +INPUTMC | 5 | 2 | 16 | 2 | 10 | 3 | 9 | 2 | 15 | 2 | 17 +INPUTP | 4 | 105 | 109 | 114 | 98 +IMPORTS | 2 | 2 | 15 | 2 | 17 +EQ | 21 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 - # !A_FSB<20> & !A_FSB<23> - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB3_2 + # !A_FSB<23> & !A_FSB<20> # nWE_FSB & iobs/IORW1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 +;Imported pterms FB3_16 # !nWE_FSB & !iobs/IORW1 # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_4 - # !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1; + cs/nOverlay1 +;Imported pterms FB3_18 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; iobs/IORW1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 6 | iobs/IOReady +MACROCELL | 1 | 17 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 2 | 15 | 2 | 6 | 0 | 2 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 12 | 2 | 7 -INPUTS | 9 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 | BERR_IOBS -INPUTMC | 8 | 2 | 6 | 5 | 3 | 2 | 13 | 1 | 15 | 2 | 11 | 5 | 2 | 0 | 8 | 2 | 7 -INPUTP | 1 | 117 -EXPORTS | 1 | 2 | 7 -EQ | 10 | +OUTPUTMC | 9 | 0 | 6 | 0 | 12 | 1 | 17 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 | 0 | 16 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 +INPUTMC | 7 | 1 | 17 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 +INPUTP | 1 | 21 +EQ | 8 | iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf # iobs/Once & IOBERR & iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 @@ -504,30 +591,29 @@ EQ | 10 | # iobs/Once & !IOBERR & !iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = CLK_FSB; // GCK - iobs/IOReady.EXP = iobs/Once & !BERR_IOBS & IOBERR & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 12 | iobs/IOU1 +MACROCELL | 5 | 6 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 15 +OUTPUTMC | 1 | 5 | 13 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 17 -INPUTP | 1 | 30 +INPUTMC | 1 | 3 | 5 +INPUTP | 1 | 82 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; iobs/IOU1.CLK = CLK_FSB; // GCK iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 17 | ram/RAMDIS2 +MACROCELL | 6 | 10 | ram/RAMDIS2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 17 | 2 | 4 | 2 | 14 | 3 | 16 -INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nRAMUWE_OBUF.EXP -INPUTMC | 12 | 3 | 17 | 5 | 3 | 2 | 9 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 | 3 | 16 -INPUTP | 3 | 117 | 123 | 153 -IMPORTS | 1 | 3 | 16 -EQ | 25 | +OUTPUTMC | 6 | 6 | 10 | 2 | 4 | 5 | 5 | 5 | 8 | 6 | 9 | 6 | 11 +INPUTS | 17 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<9> | ram/RASEL | ram/Once.EXP +INPUTMC | 13 | 6 | 10 | 2 | 6 | 6 | 9 | 1 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 3 | 0 | 6 | 9 +INPUTP | 4 | 21 | 105 | 15 | 19 +EXPORTS | 1 | 6 | 11 +IMPORTS | 1 | 6 | 9 +EQ | 26 | ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & @@ -539,11 +625,11 @@ EQ | 25 | !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB7_10 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf -;Imported pterms FB4_17 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & @@ -553,82 +639,109 @@ EQ | 25 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; ram/RAMDIS2.CLK = CLK_FSB; // GCK + ram/RAMDIS2.EXP = A_FSB<9> & ram/RASEL GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 2 | nDTACK_FSB_OBUF +MACROCELL | 0 | 1 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 4 | 0 | 2 | 0 | 1 | 0 | 3 | 0 | 4 -INPUTS | 25 | A_FSB<9> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cs/nOverlay1 | TimeoutA | nWE_FSB | fsb/Ready2r | nDTACK_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | EXP15_.EXP | EXP16_.EXP -INPUTMC | 9 | 7 | 7 | 7 | 16 | 0 | 11 | 0 | 2 | 2 | 15 | 2 | 6 | 0 | 8 | 0 | 1 | 0 | 3 -INPUTP | 16 | 96 | 147 | 136 | 146 | 144 | 143 | 23 | 21 | 123 | 153 | 134 | 22 | 103 | 18 | 109 | 105 -IMPORTS | 2 | 0 | 1 | 0 | 3 -EQ | 56 | - nDTACK_FSB.D = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<22> & - A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & - !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<22> & - A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & - !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_2 - # A_FSB<23> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB1_4 - # !A_FSB<20> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<21> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB1_5 - # BERR_IOBS & fsb/BERR0r & nDTACK_FSB - # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB - # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB +OUTPUTMC | 5 | 0 | 1 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 17 +INPUTS | 9 | fsb/BERR1r | nDTACK_FSB | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | nAS_FSB | fsb/ASrf | EXP14_.EXP | EXP15_.EXP +INPUTMC | 8 | 1 | 8 | 0 | 1 | 4 | 14 | 3 | 15 | 0 | 16 | 2 | 6 | 0 | 0 | 0 | 2 +INPUTP | 1 | 21 +IMPORTS | 2 | 0 | 0 | 0 | 2 +EQ | 82 | + nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nAS_FSB & !fsb/ASrf + # nDTACK_FSB & !$OpTx$FX_DC$602 +;Imported pterms FB1_1 # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB -;Imported pterms FB1_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady; + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_3 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_4 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602; nDTACK_FSB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 5 | ram/RASEL +MACROCELL | 3 | 0 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 5 | 8 | 1 | 13 | 3 | 0 | 7 | 5 | 5 | 1 | 5 | 5 | 3 | 7 | 7 | 8 | 6 | 1 | 6 | 5 | 6 | 8 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP30_.EXP | EXP31_.EXP -INPUTMC | 11 | 3 | 3 | 7 | 15 | 2 | 8 | 7 | 10 | 5 | 0 | 5 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 3 | 4 | 3 | 6 -INPUTP | 2 | 123 | 117 -IMPORTS | 2 | 3 | 4 | 3 | 6 -EQ | 51 | +OUTPUTMC | 12 | 5 | 1 | 6 | 14 | 4 | 1 | 3 | 7 | 4 | 7 | 3 | 11 | 6 | 1 | 6 | 5 | 6 | 8 | 6 | 11 | 3 | 2 | 6 | 10 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | RA_0_OBUF.EXP | EXP32_.EXP +INPUTMC | 11 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 1 | 3 | 17 +INPUTP | 2 | 105 | 21 +IMPORTS | 2 | 3 | 1 | 3 | 17 +EQ | 50 | ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -638,25 +751,7 @@ EQ | 51 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_5 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_4 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -;Imported pterms FB4_7 +;Imported pterms FB4_2 # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & @@ -669,46 +764,61 @@ EQ | 51 | # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_8 +;Imported pterms FB4_18 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_17 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RASEL.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 3 | ram/RS_FSM_FFd2 +MACROCELL | 6 | 12 | ram/RS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 9 | 3 | 9 | 3 | 10 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 -INPUTS | 12 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | A_FSB<22> | A_FSB<21> | cnt/RefCnt<5> | cnt/RefCnt<7> | cs/nOverlay1 | EXP29_.EXP -INPUTMC | 10 | 3 | 3 | 7 | 15 | 7 | 10 | 2 | 8 | 7 | 2 | 5 | 0 | 7 | 3 | 7 | 17 | 7 | 7 | 3 | 2 -INPUTP | 2 | 153 | 134 -EXPORTS | 1 | 3 | 4 -IMPORTS | 1 | 3 | 2 -EQ | 34 | +OUTPUTMC | 17 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 17 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | RA_8_OBUF.EXP | cnt/RefCnt<7>.EXP +INPUTMC | 10 | 6 | 12 | 6 | 4 | 1 | 9 | 6 | 7 | 6 | 15 | 2 | 17 | 6 | 13 | 6 | 0 | 6 | 11 | 6 | 13 +IMPORTS | 2 | 6 | 11 | 6 | 13 +EQ | 28 | !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & ram/BACTr -;Imported pterms FB4_3 # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & !cnt/RefCnt<7> +;Imported pterms FB7_12 # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> & !fsb/ASrf # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> & !fsb/ASrf # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_2 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_14 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & @@ -719,24 +829,17 @@ EQ | 34 | ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK - ram/RS_FSM_FFd2.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 8 | ram/RS_FSM_FFd1 +MACROCELL | 6 | 7 | ram/RS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 9 | 3 | 9 | 3 | 13 | 2 | 7 | 3 | 1 | 3 | 2 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | BERR_IOBS.EXP -INPUTMC | 7 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 3 | 2 | 7 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 2 | 9 -IMPORTS | 1 | 2 | 7 -EQ | 20 | - ram/RS_FSM_FFd1.T = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & +OUTPUTMC | 22 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 13 | 6 | 15 | 6 | 17 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> +INPUTMC | 6 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 +INPUTP | 4 | 105 | 15 | 21 | 109 +EQ | 13 | + ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -744,27 +847,19 @@ EQ | 20 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB3_8 - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf; ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK - ram/RS_FSM_FFd1.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 15 | ram/RS_FSM_FFd3 +MACROCELL | 6 | 4 | ram/RS_FSM_FFd3 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 3 | 9 | 3 | 10 | 2 | 7 | 3 | 1 | 3 | 2 | 3 | 6 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | nAoutOE_OBUF.EXP | TimeoutA.EXP -INPUTMC | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 9 | 7 | 2 | 7 | 17 | 7 | 10 | 7 | 3 | 7 | 14 | 7 | 16 -INPUTP | 1 | 123 -IMPORTS | 2 | 7 | 14 | 7 | 16 +OUTPUTMC | 15 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 17 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | cs/nOverlay0.EXP | RA_6_OBUF.EXP +INPUTMC | 10 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 9 | 6 | 15 | 6 | 13 | 1 | 9 | 6 | 0 | 6 | 3 | 6 | 5 +INPUTP | 1 | 105 +IMPORTS | 2 | 6 | 3 | 6 | 5 EQ | 27 | !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -776,16 +871,16 @@ EQ | 27 | !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> -;Imported pterms FB8_15 +;Imported pterms FB7_4 # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB8_17 +;Imported pterms FB7_6 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> @@ -795,58 +890,52 @@ EQ | 27 | ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 11 | iobs/PS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 13 | 2 | 7 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 2 | 2 | 6 | 2 | 11 | 2 | 5 | 2 | 17 | 5 | 10 | 0 | 14 | 0 | 8 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 -INPUTS | 17 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nWE_FSB | nVMA_IOB_OBUF.EXP -INPUTMC | 7 | 2 | 11 | 2 | 5 | 0 | 8 | 2 | 13 | 5 | 3 | 7 | 7 | 2 | 10 -INPUTP | 10 | 123 | 117 | 147 | 136 | 146 | 144 | 143 | 153 | 134 | 105 -IMPORTS | 1 | 2 | 10 -EQ | 26 | - iobs/PS_FSM_FFd2.T = !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf -;Imported pterms FB3_11 - # iobs/PS_FSM_FFd1 & iobs/IOACTr - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; +MACROCELL | 2 | 8 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 3 | 9 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 1 | 17 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 2 | 11 | 2 | 13 | 3 | 8 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | ALE0S.EXP | EXP24_.EXP +INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 7 | 2 | 9 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 31 | + !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + iobs/IOACTr + # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + !iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 +;Imported pterms FB3_8 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB3_10 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 5 | iobs/PS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 2 | 13 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 2 | 2 | 11 | 2 | 5 | 2 | 17 | 5 | 10 | 0 | 16 | 0 | 8 | 0 | 0 | 0 | 14 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 2 | 11 | 2 | 5 | 5 | 2 -EQ | 3 | - iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 13 | iobm/ES<0> +MACROCELL | 5 | 12 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 2 | 9 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 6 | 13 | 4 | 16 | 6 | 6 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +INPUTMC | 7 | 5 | 12 | 7 | 17 | 5 | 0 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -856,11 +945,11 @@ EQ | 6 | iobm/ES<0>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 12 | iobm/ES<1> +MACROCELL | 5 | 10 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 9 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 6 | 13 | 6 | 12 | 4 | 16 | 6 | 6 +INPUTMC | 4 | 5 | 12 | 5 | 10 | 7 | 17 | 5 | 0 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -868,11 +957,11 @@ EQ | 4 | iobm/ES<1>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd3 +MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 8 | 1 | 16 | 6 | 16 | 1 | 14 | 1 | 10 | 1 | 11 +OUTPUTMC | 11 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 16 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 9 | 1 | 12 | 1 | 3 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 +INPUTMC | 9 | 4 | 15 | 4 | 5 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 INPUTP | 1 | 42 EQ | 9 | !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 @@ -886,11 +975,11 @@ EQ | 9 | iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 17 | iobm/ES<2> +MACROCELL | 5 | 17 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 6 | 13 | 6 | 17 | 6 | 12 | 4 | 16 | 6 | 6 | 6 | 10 | 6 | 15 +INPUTMC | 7 | 5 | 12 | 5 | 17 | 5 | 10 | 7 | 17 | 5 | 0 | 5 | 9 | 5 | 16 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -900,11 +989,36 @@ EQ | 6 | iobm/ES<2>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 10 | iobm/ES<3> +MACROCELL | 2 | 11 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 16 | 3 | 9 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 3 | 8 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nADoutLE1_OBUF.EXP +INPUTMC | 4 | 2 | 8 | 2 | 10 | 3 | 2 | 2 | 10 +INPUTP | 6 | 105 | 15 | 109 | 117 | 153 | 98 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 10 +EQ | 14 | + iobs/PS_FSM_FFd1.D = ;Imported pterms FB3_11 + iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK + iobs/PS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 9 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 6 | 10 | 4 | 16 | 6 | 6 | 6 | 13 | 6 | 12 | 6 | 17 +INPUTMC | 6 | 5 | 9 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -912,11 +1026,11 @@ EQ | 4 | iobm/ES<3>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 15 | iobm/ES<4> +MACROCELL | 5 | 16 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 15 | 2 | 4 +OUTPUTMC | 5 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 16 | 2 | 13 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 6 | 15 | 4 | 16 | 6 | 6 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 +INPUTMC | 7 | 5 | 16 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -928,152 +1042,200 @@ EQ | 8 | iobm/ES<4>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 3 | iobm/IOS_FSM_FFd4 +MACROCELL | 4 | 5 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 1 | 12 | 1 | 17 | 1 | 8 | 1 | 16 | 6 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +OUTPUTMC | 10 | 4 | 15 | 4 | 0 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 1 | 2 +INPUTMC | 1 | 4 | 4 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 2 | iobm/IOS_FSM_FFd5 +MACROCELL | 4 | 4 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 3 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +OUTPUTMC | 9 | 4 | 5 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 INPUTS | 1 | iobm/IOS_FSM_FFd6 -INPUTMC | 1 | 1 | 1 +INPUTMC | 1 | 4 | 3 EQ | 2 | iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; iobm/IOS_FSM_FFd5.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 1 | iobm/IOS_FSM_FFd6 +MACROCELL | 4 | 3 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 2 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 -INPUTS | 4 | iobm/IOS_FSM_FFd7 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 3 | 1 | 0 | 6 | 4 | 1 | 7 -INPUTP | 1 | 42 -EXPORTS | 1 | 1 | 0 -EQ | 3 | +OUTPUTMC | 9 | 4 | 4 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 1 | iobm/IOS_FSM_FFd7 +INPUTMC | 1 | 4 | 2 +EQ | 2 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7; iobm/IOS_FSM_FFd6.CLK = CLK2X_IOB; // GCK - iobm/IOS_FSM_FFd6.EXP = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 0 | iobm/IOS_FSM_FFd7 +MACROCELL | 4 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 1 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 -INPUTS | 14 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd6.EXP -INPUTMC | 13 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 | 1 | 7 | 1 | 6 | 1 | 5 | 1 | 1 +OUTPUTMC | 9 | 4 | 3 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 3 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 +INPUTMC | 2 | 1 | 4 | 4 | 10 INPUTP | 1 | 42 -EXPORTS | 1 | 1 | 17 -IMPORTS | 1 | 1 | 1 -EQ | 18 | - iobm/IOS_FSM_FFd7.D = ;Imported pterms FB2_2 - !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; +EQ | 2 | + iobm/IOS_FSM_FFd7.D = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; iobm/IOS_FSM_FFd7.CLK = CLK2X_IOB; // GCK - iobm/IOS_FSM_FFd7.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/BERRrf & iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 2 | iobs/IOACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 7 | 2 | 6 | 2 | 10 | 2 | 5 | 0 | 17 -INPUTS | 1 | IOACT -INPUTMC | 1 | 1 | 17 -EQ | 2 | - iobs/IOACTr.D = IOACT; - iobs/IOACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 17 | cnt/RefCnt<0> +MACROCELL | 4 | 9 | cnt/RefCnt<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +OUTPUTMC | 11 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 INPUTS | 0 EQ | 2 | cnt/RefCnt<0>.T = Vcc; cnt/RefCnt<0>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 3 | cnt/RefCnt<5> +MACROCELL | 6 | 0 | cnt/RefCnt<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 2 | 7 | 15 | 7 | 2 | 7 | 17 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 3 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 -INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 5 | 4 | 17 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 -EQ | 3 | +OUTPUTMC | 19 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 14 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 17 +INPUTS | 9 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 +EXPORTS | 1 | 6 | 17 +EQ | 5 | cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<5>.CLK = CLK_FSB; // GCK + cnt/RefCnt<5>.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 2 | cnt/RefCnt<6> +MACROCELL | 6 | 15 | cnt/RefCnt<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 3 | 7 | 15 | 7 | 17 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 2 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 | 7 | 1 -INPUTS | 15 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | nAS_FSB | BERR_IOBS | fsb/BERR1r | TimeoutB | fsb/BERR0r | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> -INPUTMC | 10 | 4 | 17 | 7 | 3 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 2 | 7 | 7 | 6 | 7 | 13 | 0 | 9 -INPUTP | 5 | 117 | 147 | 123 | 153 | 134 -EXPORTS | 1 | 7 | 1 -EQ | 8 | - cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & +OUTPUTMC | 18 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 16 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | RA_9_OBUF.EXP +INPUTMC | 7 | 3 | 2 | 6 | 9 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 6 | 14 +INPUTP | 4 | 105 | 15 | 21 | 109 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 14 +EQ | 14 | + cnt/RefCnt<6>.T = ;Imported pterms FB7_15 + cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<6>.CLK = CLK_FSB; // GCK - cnt/RefCnt<6>.EXP = nAS_FSB - # !BERR_IOBS & !fsb/BERR1r - # !TimeoutB & !fsb/BERR0r - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r + cnt/RefCnt<6>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 3 | iobm/ETACK +MACROCELL | 2 | 3 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 2 | 2 -INPUTS | 9 | A_FSB<19> | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nRAS_OBUF.EXP -INPUTMC | 2 | 7 | 7 | 2 | 4 -INPUTP | 7 | 136 | 123 | 134 | 146 | 144 | 143 | 153 +OUTPUTMC | 6 | 4 | 14 | 1 | 17 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 2 +INPUTS | 18 | IOACT | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> +INPUTMC | 8 | 4 | 0 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 +INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 EXPORTS | 1 | 2 | 2 -IMPORTS | 1 | 2 | 4 -EQ | 10 | - iobm/ETACK.D = ;Imported pterms FB3_5 - !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & +EQ | 18 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = CLK_FSB; // GCK + iobs/IOACTr.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 7 | cnt/RefCnt<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 1 | cnt/RefCnt<0> +INPUTMC | 1 | 4 | 9 +EQ | 2 | + cnt/RefCnt<1>.T = cnt/RefCnt<0>; + cnt/RefCnt<1>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 6 | cnt/RefCnt<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> +INPUTMC | 2 | 4 | 9 | 1 | 7 +EQ | 2 | + cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; + cnt/RefCnt<2>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 5 | cnt/RefCnt<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 2 | 6 | 13 +INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> +INPUTMC | 3 | 4 | 9 | 1 | 7 | 1 | 6 +EQ | 2 | + cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; + cnt/RefCnt<3>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 2 | cnt/RefCnt<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 13 +INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> +INPUTMC | 4 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 +EQ | 3 | + cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3>; + cnt/RefCnt<4>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 13 | iobm/ETACK +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 2 | 12 +INPUTS | 16 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 9 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 8 | 2 | 10 | 3 | 2 +INPUTP | 7 | 105 | 109 | 123 | 155 | 147 | 136 | 15 +EXPORTS | 1 | 2 | 12 +EQ | 11 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; iobm/ETACK.CLK = CLK2X_IOB; // GCK - iobm/ETACK.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> + iobm/ETACK.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 4 | iobm/IOREQr +MACROCELL | 1 | 4 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 8 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 +OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 17 INPUTS | 1 | IOREQ -INPUTMC | 1 | 0 | 16 +INPUTMC | 1 | 2 | 12 EQ | 2 | iobm/IOREQr.D = IOREQ; !iobm/IOREQr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 7 | iobm/IOS_FSM_FFd8 +MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd8 ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 5 | 1 | 8 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 +OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 1 INPUTS | 4 | iobm/IOS_FSM_FFd8 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/IOREQr -INPUTMC | 3 | 1 | 7 | 1 | 4 | 6 | 4 +INPUTMC | 3 | 4 | 10 | 7 | 16 | 1 | 4 INPUTP | 1 | 42 EQ | 3 | !iobm/IOS_FSM_FFd8.D = !iobm/IOS_FSM_FFd8 & !iobm/IOS_FSM_FFd1 @@ -1081,25 +1243,58 @@ EQ | 3 | iobm/IOS_FSM_FFd8.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 0 | ram/BACTr +MACROCELL | 3 | 5 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 3 | 5 | 3 | 3 | 3 | 9 | 3 | 13 | 3 | 2 | 3 | 4 | 3 | 6 | 3 | 8 | 3 | 10 | 3 | 12 | 3 | 14 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 5 | 3 -INPUTP | 1 | 117 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = CLK_FSB; // GCK +OUTPUTMC | 3 | 5 | 7 | 5 | 6 | 2 | 10 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP26_.EXP | EXP27_.EXP +INPUTMC | 7 | 2 | 10 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 4 | 3 | 6 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 3 | 4 | 3 | 6 +EQ | 18 | + !iobs/Load1.D = iobs/Once + # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB4_5 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB4_7 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/Load1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 17 | IOACT +MACROCELL | 2 | 17 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 9 | 5 | 2 -INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd7.EXP -INPUTMC | 11 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 1 | 7 | 2 | 3 | 1 | 6 | 1 | 5 | 1 | 0 +OUTPUTMC | 11 | 3 | 0 | 6 | 12 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 17 | 6 | 15 | 6 | 17 | 2 | 16 +INPUTS | 8 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 1 | 2 | 6 +INPUTP | 7 | 21 | 105 | 109 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 2 | 16 +EQ | 6 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = CLK_FSB; // GCK + ram/BACTr.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 0 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 2 | 14 | 2 | 3 +INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | RA_1_OBUF.EXP | IOBERR.EXP +INPUTMC | 12 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 1 | 4 | 17 INPUTP | 1 | 42 -IMPORTS | 1 | 1 | 0 -EQ | 32 | +IMPORTS | 2 | 4 | 1 | 4 | 17 +EQ | 33 | !IOACT.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr @@ -1115,13 +1310,7 @@ EQ | 32 | # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & iobm/BERRrf & iobm/BERRrr -;Imported pterms FB2_1 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr +;Imported pterms FB5_2 # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & iobm/BERRrf & iobm/BERRrr @@ -1130,107 +1319,74 @@ EQ | 32 | iobm/DTACKrf & iobm/DTACKrr # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr +;Imported pterms FB5_18 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & iobm/RESrf & iobm/RESrr; IOACT.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 7 | cnt/RefCnt<1> +MACROCELL | 6 | 13 | cnt/RefCnt<7> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 -INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 4 | 17 -EQ | 2 | - cnt/RefCnt<1>.T = cnt/RefCnt<0>; - cnt/RefCnt<1>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 6 | cnt/RefCnt<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 4 | 7 | 4 | 7 | 17 -INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 4 | 17 | 5 | 7 -EQ | 2 | - cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; - cnt/RefCnt<2>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 4 | cnt/RefCnt<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 7 | 4 | 7 | 17 -INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 4 | 17 | 5 | 7 | 5 | 6 -EQ | 2 | - cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; - cnt/RefCnt<3>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 4 | cnt/RefCnt<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 7 | 17 -INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> -INPUTMC | 4 | 4 | 17 | 5 | 7 | 5 | 6 | 5 | 4 -EQ | 3 | - cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & - cnt/RefCnt<3>; - cnt/RefCnt<4>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 17 | cnt/RefCnt<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 2 | 7 | 15 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 3 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 | 7 | 0 -INPUTS | 15 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<20> | TimeoutB | fsb/BERR0r | fsb/VPA | $OpTx$INV$223 | A_FSB<23> | A_FSB<22> | A_FSB<21> -INPUTMC | 11 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 13 | 0 | 9 | 7 | 0 | 0 | 13 -INPUTP | 4 | 147 | 123 | 153 | 134 -EXPORTS | 1 | 7 | 0 -EQ | 11 | +OUTPUTMC | 16 | 1 | 9 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 11 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 11 +INPUTS | 18 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<7> +INPUTMC | 14 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 2 | 6 | 7 | 6 | 4 | 2 | 6 | 1 | 9 | 6 | 12 | 6 | 13 +INPUTP | 4 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 6 | 12 +EQ | 12 | cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<7>.CLK = CLK_FSB; // GCK - cnt/RefCnt<7>.EXP = !A_FSB<20> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<23> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<22> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<21> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 + cnt/RefCnt<7>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 16 | iobm/Er +MACROCELL | 7 | 17 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 6 | 6 +OUTPUTMC | 6 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 5 | 0 INPUTS | 1 | E_IOB -INPUTP | 1 | 72 +INPUTP | 1 | 77 EQ | 2 | iobm/Er.D = E_IOB; !iobm/Er.CLK = CLK_IOB; // GCK GLOBALS | 1 | 2 | CLK_IOB -MACROCELL | 5 | 9 | RefAck +MACROCELL | 5 | 4 | RefAck ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 10 | 2 | 4 +OUTPUTMC | 2 | 1 | 9 | 2 | 4 INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 3 | 3 | 2 | 8 +INPUTMC | 2 | 6 | 12 | 6 | 7 EQ | 2 | RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; RefAck.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 6 | iobm/Er2 +MACROCELL | 5 | 0 | iobm/Er2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +OUTPUTMC | 5 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 4 | 16 +INPUTMC | 1 | 7 | 17 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd2 +MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 4 | 1 | 14 +OUTPUTMC | 2 | 7 | 16 | 1 | 13 INPUTS | 9 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 8 | 1 | 12 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 +INPUTMC | 8 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 INPUTP | 1 | 42 EQ | 8 | iobm/IOS_FSM_FFd2.D = iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK @@ -1243,58 +1399,31 @@ EQ | 8 | iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 0 | iobm/VPArf +MACROCELL | 1 | 1 | iobm/VPArf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 9 +OUTPUTMC | 1 | 2 | 14 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 44 +INPUTP | 1 | 96 EQ | 2 | iobm/VPArf.D = !nVPA_IOB; !iobm/VPArf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 15 | iobm/VPArr +MACROCELL | 1 | 0 | iobm/VPArr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 9 +OUTPUTMC | 1 | 2 | 14 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 44 +INPUTP | 1 | 96 EQ | 2 | iobm/VPArr.D = !nVPA_IOB; iobm/VPArr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 17 | iobs/Load1 +MACROCELL | 4 | 12 | ALE0M ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 5 | 12 -INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<20> | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP24_.EXP | EXP27_.EXP -INPUTMC | 7 | 0 | 8 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 | 2 | 0 | 2 | 16 -INPUTP | 3 | 147 | 123 | 117 -IMPORTS | 2 | 2 | 0 | 2 | 16 -EQ | 17 | - !iobs/Load1.D = iobs/Once - # !nADoutLE1 - # !A_FSB<20> & !A_FSB<23> - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_1 - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 -;Imported pterms FB3_17 - # !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB; - iobs/Load1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 8 | ALE0M -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 6 | 14 +OUTPUTMC | 1 | 7 | 8 INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 7 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 1 | 7 +INPUTMC | 7 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 EQ | 7 | !ALE0M.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & @@ -1305,132 +1434,127 @@ EQ | 7 | ALE0M.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 10 | ALE0S +MACROCELL | 2 | 7 | ALE0S ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 6 | 14 -INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 2 | 11 | 2 | 5 -EQ | 2 | +OUTPUTMC | 2 | 7 | 8 | 2 | 8 +INPUTS | 9 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 117 | 98 +EXPORTS | 1 | 2 | 8 +EQ | 10 | ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; ALE0S.CLK = CLK_FSB; // GCK + ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 16 | IOREQ +MACROCELL | 2 | 12 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 6 | 4 | 0 | 15 -INPUTS | 17 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | fsb/ASrf | A_FSB<21> | EXP23_.EXP -INPUTMC | 8 | 2 | 5 | 0 | 8 | 7 | 7 | 2 | 13 | 0 | 15 | 2 | 11 | 5 | 3 | 0 | 17 -INPUTP | 9 | 147 | 136 | 146 | 144 | 143 | 153 | 105 | 117 | 134 -EXPORTS | 1 | 0 | 15 -IMPORTS | 1 | 0 | 17 -EQ | 42 | - IOREQ.D = !iobs/PS_FSM_FFd1 & !nADoutLE1 -;Imported pterms FB1_18 - # iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd2 & !iobs/IOACTr - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & - fsb/ASrf - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd1 -;Imported pterms FB1_1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf; +OUTPUTMC | 1 | 1 | 4 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd1.EXP | iobm/ETACK.EXP +INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 11 | 2 | 13 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 2 | 11 | 2 | 13 +EQ | 28 | + !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd1 & iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB3_12 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_14 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; IOREQ.CLK = CLK_FSB; // GCK - IOREQ.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 3 | fsb/ASrf +MACROCELL | 2 | 6 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 45 | 7 | 7 | 2 | 13 | 2 | 9 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 7 | 13 | 0 | 5 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 2 | 6 | 3 | 17 | 0 | 0 | 3 | 5 | 3 | 2 | 2 | 8 | 7 | 14 | 2 | 11 | 5 | 0 | 2 | 17 | 0 | 14 | 3 | 9 | 3 | 13 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 12 | 3 | 14 | 3 | 16 | 7 | 1 +OUTPUTMC | 44 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 10 | 0 | 13 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 12 | 0 | 15 | 0 | 16 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 INPUTS | 1 | nAS_FSB -INPUTP | 1 | 117 +INPUTP | 1 | 21 EQ | 2 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 6 | iobm/BERRrf +MACROCELL | 4 | 8 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 0 | 1 | 14 +OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 92 +INPUTP | 1 | 28 EQ | 2 | iobm/BERRrf.D = !nBERR_IOB; !iobm/BERRrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 5 | iobm/BERRrr +MACROCELL | 4 | 6 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 0 | 1 | 14 +OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 92 +INPUTP | 1 | 28 EQ | 2 | iobm/BERRrr.D = !nBERR_IOB; iobm/BERRrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 9 | iobm/DTACKrf +MACROCELL | 5 | 3 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 14 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 77 +INPUTP | 1 | 88 EQ | 2 | iobm/DTACKrf.D = !nDTACK_IOB; !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 7 | iobm/DTACKrr +MACROCELL | 5 | 2 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 14 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 77 +INPUTP | 1 | 88 EQ | 2 | iobm/DTACKrr.D = !nDTACK_IOB; iobm/DTACKrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 4 | iobm/IOS_FSM_FFd1 +MACROCELL | 7 | 16 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 7 +OUTPUTMC | 1 | 4 | 10 INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 1 | 9 +INPUTMC | 1 | 4 | 13 EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 3 | iobm/RESrf +MACROCELL | 1 | 3 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 16 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1438,9 +1562,9 @@ EQ | 2 | !iobm/RESrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 2 | iobm/RESrr +MACROCELL | 1 | 2 | iobm/RESrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 16 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1448,13 +1572,23 @@ EQ | 2 | iobm/RESrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 3 | 9 | ram/RAMDIS1 +MACROCELL | 2 | 5 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 4 | 2 | 14 | 3 | 16 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP32_.EXP | EXP33_.EXP -INPUTMC | 8 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 10 | 5 | 0 | 5 | 3 | 3 | 8 | 3 | 10 -INPUTP | 2 | 123 | 117 -IMPORTS | 2 | 3 | 8 | 3 | 10 +OUTPUTMC | 1 | 2 | 10 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 +INPUTMC | 3 | 2 | 8 | 2 | 11 | 2 | 10 +EQ | 2 | + iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; + iobs/Clear1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 13 | ram/RAMDIS1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 4 | 5 | 5 | 5 | 8 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP30_.EXP | EXP31_.EXP +INPUTMC | 8 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 2 | 17 | 2 | 6 | 3 | 12 | 3 | 14 +INPUTP | 2 | 105 | 21 +IMPORTS | 2 | 3 | 12 | 3 | 14 EQ | 38 | ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1464,7 +1598,7 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_9 +;Imported pterms FB4_13 # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & @@ -1475,7 +1609,14 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_11 +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB4_15 # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & @@ -1485,24 +1626,17 @@ EQ | 38 | # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; ram/RAMDIS1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 13 | ram/RAMReady +MACROCELL | 6 | 16 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 12 | 0 | 1 | 0 | 13 | 0 | 3 -INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | EXP34_.EXP | EXP35_.EXP -INPUTMC | 8 | 7 | 7 | 7 | 10 | 2 | 8 | 5 | 0 | 2 | 9 | 5 | 3 | 3 | 12 | 3 | 14 -INPUTP | 4 | 134 | 117 | 123 | 153 -IMPORTS | 2 | 3 | 12 | 3 | 14 +OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | cnt/RefCnt<6>.EXP | EXP34_.EXP +INPUTMC | 8 | 3 | 2 | 1 | 9 | 6 | 7 | 2 | 17 | 6 | 9 | 2 | 6 | 6 | 15 | 6 | 17 +INPUTP | 4 | 109 | 21 | 105 | 15 +IMPORTS | 2 | 6 | 15 | 6 | 17 EQ | 33 | !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -1514,7 +1648,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 -;Imported pterms FB4_13 +;Imported pterms FB7_16 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -1525,7 +1659,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB4_15 +;Imported pterms FB7_18 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1533,7 +1667,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_16 +;Imported pterms FB7_1 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RAMReady.CLK = CLK_FSB; // GCK @@ -1541,60 +1675,48 @@ GLOBALS | 1 | 2 | CLK_FSB MACROCELL | 1 | 16 | nAS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 1 | 15 -INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | nBERR_IOB | IOBERR | CLK_IOB | iobm/RESrf | iobm/RESrr -INPUTMC | 8 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 1 | 15 | 6 | 3 | 6 | 2 -INPUTP | 2 | 92 | 42 -EXPORTS | 1 | 1 | 15 -EQ | 5 | +INPUTS | 5 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 5 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 +EQ | 3 | nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; !nAS_IOB.CLK = CLK2X_IOB; // GCK - nAS_IOB_OBUF.EXP = !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 8 | nCAS_OBUF +MACROCELL | 5 | 1 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 1 | ram/RASEL -INPUTMC | 1 | 3 | 5 +INPUTMC | 1 | 3 | 0 EQ | 2 | nCAS.D = !ram/RASEL; !nCAS.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 16 | nDinLE_OBUF +MACROCELL | 7 | 14 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 -INPUTMC | 2 | 1 | 12 | 1 | 3 +INPUTMC | 2 | 4 | 15 | 4 | 5 EQ | 2 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; !nDinLE.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 14 | nDoutOE_OBUF +MACROCELL | 1 | 13 | nDoutOE_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 1 | 15 -INPUTS | 14 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 | nBERR_IOB | IOBERR | CLK_IOB | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr -INPUTMC | 12 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 1 | 9 | 1 | 15 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 -INPUTP | 2 | 92 | 42 -EXPORTS | 1 | 1 | 15 -EQ | 9 | +INPUTS | 7 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 +INPUTMC | 7 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 13 +EQ | 5 | nDoutOE.D = !IORW0 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd2; nDoutOE.CLK = CLK2X_IOB; // GCK - nDoutOE_OBUF.EXP = !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 10 | nLDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 7 | IOL0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 17 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 +INPUTMC | 7 | 5 | 15 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 EQ | 6 | nLDS_IOB.D = !IOL0 # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & @@ -1607,7 +1729,7 @@ GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 11 | nUDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 7 | IOU0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 15 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 +INPUTMC | 7 | 5 | 13 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 EQ | 6 | nUDS_IOB.D = !IOU0 # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & @@ -1617,727 +1739,19 @@ EQ | 6 | !nUDS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 13 | RA_0_OBUF +MACROCELL | 3 | 1 | RA_0_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 109 | 26 -EQ | 2 | - RA<0> = A_FSB<10> & !ram/RASEL +OUTPUTMC | 1 | 3 | 0 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | cs/nOverlay1.EXP +INPUTMC | 11 | 3 | 2 | 1 | 9 | 6 | 12 | 6 | 7 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 4 | 3 | 2 +INPUTP | 2 | 15 | 21 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 2 +EQ | 15 | + RA<0> = ;Imported pterms FB4_3 + A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<1>; - -MACROCELL | 3 | 1 | RA_1_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 2 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP28_.EXP -INPUTMC | 10 | 7 | 7 | 2 | 8 | 7 | 15 | 5 | 3 | 7 | 10 | 3 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 3 | 0 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 3 | 2 -IMPORTS | 1 | 3 | 0 -EQ | 14 | - RA<1> = ;Imported pterms FB4_1 - A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 7 | 5 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 103 | 127 -EQ | 2 | - RA<2> = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3>; - -MACROCELL | 5 | 1 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 21 | 88 -EQ | 2 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - -MACROCELL | 5 | 5 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 22 | 37 -EQ | 2 | - RA<4> = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - -MACROCELL | 3 | 7 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 6 -INPUTS | 16 | A_FSB<15> | ram/RASEL | A_FSB<6> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 10 | 3 | 5 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 5 | 3 | 7 | 10 | 7 | 3 | 7 | 2 | 7 | 17 -INPUTP | 6 | 23 | 29 | 123 | 153 | 134 | 117 -EXPORTS | 1 | 3 | 6 -EQ | 11 | - RA<5> = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - RA_5_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 7 | 8 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 143 | 155 -EQ | 2 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - -MACROCELL | 6 | 1 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 144 | 82 -EQ | 2 | - RA<7> = A_FSB<17> & !ram/RASEL - # ram/RASEL & A_FSB<8>; - -MACROCELL | 6 | 5 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 146 | 96 -EQ | 2 | - RA<8> = A_FSB<9> & ram/RASEL - # A_FSB<18> & !ram/RASEL; - -MACROCELL | 6 | 8 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 136 | 147 -EQ | 2 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - -MACROCELL | 5 | 11 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 105 | 117 -EQ | 1 | - !nOE = nWE_FSB & !nAS_FSB; - -MACROCELL | 5 | 14 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 105 | 117 -EQ | 1 | - !nROMWE = !nWE_FSB & !nAS_FSB; - -MACROCELL | 5 | 16 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 7 | 0 -INPUTP | 1 | 117 -EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; - -MACROCELL | 6 | 14 | nADoutLE0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 1 | 8 | 5 | 10 -EQ | 1 | - nADoutLE0 = !ALE0M & !ALE0S; - -MACROCELL | 3 | 11 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 10 -INPUTS | 12 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<20> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 -INPUTMC | 6 | 7 | 10 | 2 | 8 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 -INPUTP | 6 | 123 | 105 | 117 | 147 | 153 | 134 -EXPORTS | 1 | 3 | 10 -EQ | 9 | - nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & nWE_FSB & - !nAS_FSB; - nDinOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 2 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 3 -INPUTS | 14 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 10 | 5 | 9 | 7 | 7 | 3 | 17 | 3 | 9 | 2 | 10 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 2 | 3 -EQ | 7 | - !nRAS = RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - nRAS_OBUF.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4> - -MACROCELL | 6 | 11 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 136 -EQ | 1 | - RA<11> = A_FSB<19>; - -MACROCELL | 7 | 11 | A_FSB_21_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<21> -INPUTP | 1 | 134 -EQ | 1 | - RA<10> = A_FSB<21>; - -MACROCELL | 0 | 8 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 17 | 2 | 12 | 2 | 7 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 15 | 2 | 2 | 2 | 6 | 0 | 2 | 2 | 11 | 2 | 17 | 0 | 16 | 0 | 8 | 0 | 12 | 0 | 7 | 0 | 9 | 0 | 14 -INPUTS | 10 | iobs/Once | nADoutLE1 | A_FSB<20> | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP19_.EXP | fsb/BERR0r.EXP -INPUTMC | 7 | 2 | 13 | 0 | 8 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 7 | 0 | 9 -INPUTP | 3 | 147 | 123 | 117 -IMPORTS | 2 | 0 | 7 | 0 | 9 -EQ | 18 | - nADoutLE1.D = iobs/Once & nADoutLE1 - # !A_FSB<20> & !A_FSB<23> & nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_8 - # !A_FSB<19> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & nADoutLE1 -;Imported pterms FB1_10 - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & nADoutLE1; - nADoutLE1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 1 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 13 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | fsb/BERR0r | fsb/VPA | $OpTx$INV$223 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf | TimeoutB | cnt/RefCnt<6>.EXP -INPUTMC | 8 | 0 | 9 | 7 | 0 | 0 | 13 | 2 | 7 | 7 | 6 | 5 | 3 | 7 | 13 | 7 | 2 -INPUTP | 5 | 147 | 123 | 153 | 134 | 117 -EXPORTS | 1 | 7 | 0 -IMPORTS | 1 | 7 | 2 -EQ | 16 | - nBERR_FSB = ;Imported pterms FB8_3 - nAS_FSB - # !BERR_IOBS & !fsb/BERR1r - # !TimeoutB & !fsb/BERR0r - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r; - nBERR_FSB_OBUF.EXP = A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r & fsb/VPA & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 - -MACROCELL | 2 | 14 | nRAMLWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 17 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf -INPUTMC | 7 | 3 | 17 | 3 | 9 | 7 | 7 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 -INPUTP | 10 | 105 | 114 | 117 | 147 | 136 | 146 | 144 | 143 | 153 | 134 -EXPORTS | 1 | 2 | 13 -EQ | 6 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMLWE_OBUF.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf - -MACROCELL | 3 | 16 | nRAMUWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 18 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 12 | 3 | 17 | 3 | 9 | 7 | 7 | 2 | 9 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 3 | 7 | 2 | 7 | 17 | 5 | 3 -INPUTP | 6 | 105 | 30 | 117 | 123 | 153 | 134 -EXPORTS | 1 | 3 | 17 -EQ | 10 | - !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMUWE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - -MACROCELL | 4 | 1 | nROMCS_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 5 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 -INPUTMC | 1 | 7 | 7 -INPUTP | 4 | 147 | 123 | 153 | 134 -EQ | 3 | - !nROMCS = !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> - # !A_FSB<20> & !A_FSB<23> & !A_FSB<21> & - !cs/nOverlay1; - -MACROCELL | 7 | 14 | nAoutOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 15 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf -INPUTMC | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 7 | 5 | 3 -INPUTP | 3 | 153 | 134 | 117 -EXPORTS | 1 | 7 | 15 -EQ | 9 | - nAoutOE = Gnd; - nAoutOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - -MACROCELL | 0 | 13 | $OpTx$INV$223 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 3 | 7 | 0 | 7 | 1 | 7 | 17 -INPUTS | 15 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP -INPUTMC | 6 | 2 | 15 | 2 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 0 | 12 -INPUTP | 9 | 123 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 -IMPORTS | 1 | 0 | 12 -EQ | 30 | - $OpTx$INV$223 = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB1_13 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r; - -MACROCELL | 0 | 0 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 17 -INPUTS | 13 | A_FSB<20> | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | nAS_FSB -INPUTMC | 4 | 2 | 13 | 2 | 5 | 5 | 3 | 7 | 7 -INPUTP | 9 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 | 117 -EXPORTS | 1 | 0 | 17 -EQ | 14 | - EXP14_.EXP = A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 0 | 1 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 2 -INPUTS | 18 | A_FSB<23> | BERR_IOBS | TimeoutB | nDTACK_FSB | fsb/BERR1r | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady -INPUTMC | 9 | 2 | 7 | 7 | 13 | 0 | 2 | 7 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 2 | 15 | 2 | 6 -INPUTP | 9 | 123 | 153 | 134 | 147 | 136 | 146 | 144 | 143 | 105 -EXPORTS | 1 | 0 | 2 -EQ | 8 | - EXP15_.EXP = A_FSB<23> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - -MACROCELL | 0 | 3 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 2 -INPUTS | 14 | A_FSB<20> | TimeoutB | fsb/BERR1r | nDTACK_FSB | A_FSB<22> | BERR_IOBS | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP17_.EXP -INPUTMC | 10 | 7 | 13 | 7 | 6 | 0 | 2 | 2 | 7 | 2 | 15 | 2 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 0 | 4 -INPUTP | 4 | 147 | 153 | 134 | 123 -EXPORTS | 1 | 0 | 2 -IMPORTS | 1 | 0 | 4 -EQ | 24 | - EXP16_.EXP = !A_FSB<20> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<21> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB1_5 - # BERR_IOBS & fsb/BERR0r & nDTACK_FSB - # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB - # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB -;Imported pterms FB1_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady - -MACROCELL | 0 | 4 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 3 -INPUTS | 11 | BERR_IOBS | fsb/BERR0r | nDTACK_FSB | fsb/BERR1r | A_FSB<20> | TimeoutB | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<21> | EXP18_.EXP -INPUTMC | 8 | 2 | 7 | 0 | 9 | 0 | 2 | 7 | 6 | 7 | 13 | 2 | 15 | 2 | 6 | 0 | 5 -INPUTP | 3 | 147 | 123 | 134 -EXPORTS | 1 | 0 | 3 -IMPORTS | 1 | 0 | 5 -EQ | 16 | - EXP17_.EXP = BERR_IOBS & fsb/BERR0r & nDTACK_FSB - # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB - # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB -;Imported pterms FB1_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady - -MACROCELL | 0 | 5 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 4 -INPUTS | 12 | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | BERR_IOBS | fsb/BERR1r | fsb/Ready1r | iobs/IOReady | TimeoutB | fsb/BERR0r -INPUTMC | 7 | 5 | 3 | 2 | 7 | 7 | 6 | 2 | 15 | 2 | 6 | 7 | 13 | 0 | 9 -INPUTP | 5 | 117 | 147 | 123 | 153 | 134 -EXPORTS | 1 | 0 | 4 -EQ | 9 | - EXP18_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady - -MACROCELL | 0 | 7 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 8 -INPUTS | 8 | A_FSB<19> | A_FSB<23> | A_FSB<21> | nADoutLE1 | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nWE_FSB -INPUTMC | 2 | 0 | 8 | 7 | 7 -INPUTP | 6 | 136 | 123 | 134 | 143 | 153 | 105 -EXPORTS | 1 | 0 | 8 -EQ | 6 | - EXP19_.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & nADoutLE1 - -MACROCELL | 0 | 10 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 9 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 5 | 3 -INPUTP | 1 | 117 -EXPORTS | 1 | 0 | 9 -EQ | 1 | - EXP20_.EXP = nAS_FSB & !fsb/ASrf - -MACROCELL | 0 | 12 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 13 -INPUTS | 22 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | TimeoutA | fsb/Ready2r -INPUTMC | 6 | 7 | 7 | 2 | 15 | 2 | 6 | 0 | 8 | 7 | 16 | 0 | 11 -INPUTP | 16 | 147 | 136 | 146 | 144 | 143 | 153 | 134 | 105 | 96 | 23 | 21 | 123 | 22 | 103 | 18 | 109 -EXPORTS | 1 | 0 | 13 -EQ | 19 | - EXP21_.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - -MACROCELL | 0 | 14 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 15 -INPUTS | 12 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<20> | A_FSB<22> | A_FSB<21> | nAS_FSB -INPUTMC | 6 | 2 | 13 | 0 | 15 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 8 -INPUTP | 6 | 123 | 105 | 147 | 153 | 134 | 117 -EXPORTS | 1 | 0 | 15 -EQ | 14 | - EXP22_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - -MACROCELL | 0 | 17 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 16 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<22> | A_FSB<21> | EXP14_.EXP -INPUTMC | 6 | 2 | 11 | 2 | 5 | 5 | 2 | 2 | 13 | 5 | 3 | 0 | 0 -INPUTP | 5 | 123 | 117 | 147 | 153 | 134 -EXPORTS | 1 | 0 | 16 -IMPORTS | 1 | 0 | 0 -EQ | 23 | - EXP23_.EXP = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd2 & !iobs/IOACTr - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & - fsb/ASrf - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd1 -;Imported pterms FB1_1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 2 | 0 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 6 | A_FSB<18> | A_FSB<23> | A_FSB<21> | A_FSB<17> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 7 | 7 -INPUTP | 5 | 146 | 123 | 134 | 144 | 153 -EXPORTS | 1 | 2 | 17 -EQ | 4 | - EXP24_.EXP = !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 2 | 1 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 8 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 -INPUTMC | 3 | 2 | 2 | 5 | 3 | 7 | 7 -INPUTP | 5 | 105 | 117 | 123 | 153 | 134 -EXPORTS | 1 | 2 | 2 -EQ | 5 | - EXP25_.EXP = nWE_FSB & iobs/IORW1 - # !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - -MACROCELL | 2 | 12 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 16 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | iobs/Once | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | A_FSB<21> | nADoutLE1 -INPUTMC | 6 | 7 | 7 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 8 -INPUTP | 10 | 147 | 136 | 146 | 144 | 143 | 153 | 105 | 117 | 123 | 134 -EXPORTS | 1 | 2 | 13 -EQ | 16 | - EXP26_.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 - -MACROCELL | 2 | 16 | EXP27_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 7 | A_FSB<19> | A_FSB<23> | A_FSB<21> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nWE_FSB -INPUTMC | 1 | 7 | 7 -INPUTP | 6 | 136 | 123 | 134 | 143 | 153 | 105 -EXPORTS | 1 | 2 | 17 -EQ | 5 | - EXP27_.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB - -MACROCELL | 3 | 0 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 18 | 28 -EXPORTS | 1 | 3 | 1 -EQ | 2 | - EXP28_.EXP = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2> - -MACROCELL | 3 | 2 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 3 -INPUTS | 9 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | cnt/RefCnt<7> | nAS_FSB | fsb/ASrf | cnt/RefCnt<6> | RA_1_OBUF.EXP -INPUTMC | 8 | 2 | 8 | 7 | 15 | 7 | 3 | 5 | 0 | 7 | 17 | 5 | 3 | 7 | 2 | 3 | 1 -INPUTP | 1 | 117 -EXPORTS | 1 | 3 | 3 -IMPORTS | 1 | 3 | 1 -EQ | 22 | - EXP29_.EXP = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & - !cnt/RefCnt<7> - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_2 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 3 | 4 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | ram/RS_FSM_FFd2.EXP -INPUTMC | 8 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 5 | 3 | 7 | 10 | 5 | 0 | 3 | 3 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 3 | 5 -IMPORTS | 1 | 3 | 3 -EQ | 17 | - EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_4 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 3 | 6 | EXP31_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | RA_5_OBUF.EXP -INPUTMC | 11 | 7 | 7 | 7 | 10 | 3 | 3 | 2 | 8 | 5 | 0 | 5 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 15 | 3 | 7 -INPUTP | 2 | 153 | 117 -EXPORTS | 1 | 3 | 5 -IMPORTS | 1 | 3 | 7 -EQ | 22 | - EXP31_.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + RA_0_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -2349,26 +1763,873 @@ EQ | 22 | # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_8 + +MACROCELL | 4 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 15 | A_FSB<11> | ram/RASEL | A_FSB<2> | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 12 | 3 | 0 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 10 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 +INPUTP | 3 | 140 | 24 | 42 +EXPORTS | 1 | 4 | 0 +EQ | 11 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/BERRrf & iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr + +MACROCELL | 3 | 7 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 8 +INPUTS | 10 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 3 | 3 | 0 | 3 | 9 | 3 | 2 +INPUTP | 7 | 146 | 127 | 147 | 136 | 105 | 109 | 15 +EXPORTS | 1 | 3 | 8 +EQ | 6 | + RA<2> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + RA_2_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 4 | 7 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 3 | 0 +INPUTP | 2 | 136 | 92 +EQ | 2 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + +MACROCELL | 3 | 11 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 12 +INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 +INPUTMC | 7 | 3 | 0 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 +INPUTP | 4 | 147 | 23 | 15 | 109 +EXPORTS | 1 | 3 | 12 +EQ | 8 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + RA_4_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 6 | 1 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 3 | 0 +INPUTP | 2 | 134 | 29 +EQ | 2 | + RA<5> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + +MACROCELL | 6 | 5 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 4 +INPUTS | 14 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 10 | 3 | 0 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 2 | 6 +INPUTP | 4 | 153 | 30 | 15 | 21 +EXPORTS | 1 | 6 | 4 +EQ | 10 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + +MACROCELL | 6 | 8 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 9 +INPUTS | 13 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB +INPUTMC | 7 | 3 | 0 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 +INPUTP | 6 | 155 | 103 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 6 | 9 +EQ | 10 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + RA_7_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf + +MACROCELL | 6 | 11 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 12 +INPUTS | 13 | A_FSB<18> | ram/RASEL | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2.EXP +INPUTMC | 9 | 3 | 0 | 6 | 7 | 6 | 4 | 6 | 0 | 2 | 6 | 6 | 15 | 6 | 13 | 3 | 2 | 6 | 10 +INPUTP | 4 | 123 | 21 | 105 | 15 +EXPORTS | 1 | 6 | 12 +IMPORTS | 1 | 6 | 10 +EQ | 11 | + RA<8> = A_FSB<18> & !ram/RASEL +;Imported pterms FB7_11 + # A_FSB<9> & ram/RASEL; + RA_8_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + +MACROCELL | 6 | 14 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 15 +INPUTS | 9 | A_FSB<19> | ram/RASEL | A_FSB<20> | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 7 | 3 | 0 | 4 | 9 | 6 | 0 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 +INPUTP | 2 | 117 | 114 +EXPORTS | 1 | 6 | 15 +EQ | 4 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + RA_9_OBUF.EXP = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & + cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4> + +MACROCELL | 0 | 5 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 6 +INPUTS | 20 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> +INPUTMC | 8 | 4 | 14 | 1 | 14 | 3 | 15 | 1 | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 2 | 10 +INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 +EXPORTS | 1 | 0 | 6 +EQ | 12 | + nBERR_FSB = nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 0 | 10 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 11 +INPUTS | 21 | nWE_FSB | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | TimeoutA | fsb/Ready2r +INPUTMC | 4 | 2 | 6 | 3 | 2 | 1 | 15 | 0 | 11 +INPUTP | 17 | 98 | 21 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 0 | 11 +EQ | 14 | + !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 5 | 11 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 98 | 21 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 5 | 14 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 0 | 14 +INPUTP | 1 | 21 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 7 | 8 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 4 | 12 | 2 | 7 +EQ | 1 | + nADoutLE0 = !ALE0M & !ALE0S; + +MACROCELL | 3 | 16 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 17 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/BERR0r.EXP +INPUTMC | 10 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 15 +INPUTP | 4 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 3 | 17 +IMPORTS | 1 | 3 | 15 +EQ | 19 | + nDinOE = ;Imported pterms FB4_16 + A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 8 | EXP32_ +MACROCELL | 2 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> +INPUTMC | 4 | 5 | 4 | 3 | 2 | 6 | 10 | 3 | 13 +INPUTP | 4 | 105 | 15 | 21 | 109 +EQ | 5 | + !nRAS = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 117 +EQ | 1 | + RA<11> = A_FSB<19>; + +MACROCELL | 7 | 5 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<21> +INPUTP | 1 | 109 +EQ | 1 | + RA<10> = A_FSB<21>; + +MACROCELL | 2 | 10 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 22 | 3 | 8 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 0 | 5 | 2 | 16 | 1 | 17 | 0 | 2 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 5 | 2 | 10 | 0 | 4 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 +INPUTS | 6 | iobs/Clear1 | nADoutLE1 | iobs/Load1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 6 | 2 | 5 | 2 | 10 | 3 | 5 | 2 | 8 | 2 | 11 | 2 | 3 +EXPORTS | 1 | 2 | 11 +EQ | 5 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = CLK_FSB; // GCK + nADoutLE1_OBUF.EXP = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 5 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 6 | 10 | 3 | 13 +INPUTP | 3 | 98 | 37 | 21 +EQ | 2 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 5 | 8 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 6 | 10 | 3 | 13 +INPUTP | 3 | 98 | 82 | 21 +EQ | 2 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 4 | 11 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 +INPUTMC | 1 | 3 | 2 +INPUTP | 4 | 105 | 15 | 109 | 114 +EQ | 3 | + !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1; + +MACROCELL | 7 | 11 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + nAoutOE = Gnd; + +MACROCELL | 0 | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 21 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<9> | EXP17_.EXP +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 8 +INPUTP | 17 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 19 +IMPORTS | 1 | 0 | 8 +EQ | 33 | + fsb/VPA__or00001/fsb/VPA__or00001_D2 = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB1_9 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; + +MACROCELL | 0 | 16 | $OpTx$FX_DC$602 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 7 | 0 | 14 | 0 | 1 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 0 | 15 +INPUTS | 25 | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | fsb/ASrf | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | $OpTx$FX_DC$606 +INPUTMC | 9 | 1 | 14 | 4 | 14 | 3 | 15 | 1 | 8 | 1 | 17 | 2 | 6 | 0 | 9 | 0 | 16 | 0 | 3 +INPUTP | 16 | 105 | 15 | 109 | 114 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 0 | 15 +EQ | 14 | + $OpTx$FX_DC$602 = !TimeoutB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20>; + $OpTx$FX_DC$602.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 3 | $OpTx$FX_DC$606 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 16 | 0 | 2 +INPUTS | 28 | A_FSB<9> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | $OpTx$FX_DC$602 | iobs/IOReady | EXP16_.EXP +INPUTMC | 11 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 16 | 1 | 17 | 0 | 4 +INPUTP | 17 | 19 | 15 | 109 | 114 | 136 | 117 | 123 | 155 | 153 | 98 | 134 | 147 | 146 | 140 | 143 | 105 | 103 +EXPORTS | 1 | 0 | 2 +IMPORTS | 1 | 0 | 4 +EQ | 27 | + $OpTx$FX_DC$606 = A_FSB<22> & !A_FSB<21> & A_FSB<20> + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB +;Imported pterms FB1_5 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1; + $OpTx$FX_DC$606.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602 + +MACROCELL | 0 | 0 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 17 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP +INPUTMC | 7 | 0 | 6 | 1 | 17 | 0 | 1 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 17 +INPUTP | 10 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 +EXPORTS | 1 | 0 | 1 +IMPORTS | 1 | 0 | 17 +EQ | 37 | + EXP14_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 0 | 2 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | $OpTx$FX_DC$606.EXP +INPUTMC | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 0 | 1 | 2 | 10 | 1 | 15 | 0 | 11 | 0 | 3 +INPUTP | 17 | 136 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 147 | 109 | 19 | 134 | 146 | 140 | 143 | 105 | 103 +EXPORTS | 1 | 0 | 1 +IMPORTS | 1 | 0 | 3 +EQ | 37 | + EXP15_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_4 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602 + +MACROCELL | 0 | 4 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 3 +INPUTS | 12 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<21> | nADoutLE1 | A_FSB<13> +INPUTMC | 2 | 3 | 2 | 2 | 10 +INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 109 | 136 +EXPORTS | 1 | 0 | 3 +EQ | 8 | + EXP16_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + +MACROCELL | 0 | 8 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 9 +INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> +INPUTMC | 3 | 3 | 2 | 1 | 15 | 0 | 11 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +EXPORTS | 1 | 0 | 9 +EQ | 12 | + EXP17_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 0 | 12 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 13 +INPUTS | 30 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | iobs/IOReady | $OpTx$FX_DC$606 +INPUTMC | 13 | 3 | 2 | 6 | 6 | 0 | 14 | 2 | 6 | 6 | 16 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 9 | 0 | 16 | 1 | 17 | 0 | 3 +INPUTP | 17 | 105 | 15 | 109 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 114 | 117 | 123 | 155 | 153 | 21 +EXPORTS | 1 | 0 | 13 +EQ | 26 | + EXP18_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 13 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 8 | BERR_IOBS | fsb/VPA | fsb/ASrf | fsb/BERR0r | fsb/BERR1r | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | EXP18_.EXP +INPUTMC | 8 | 4 | 14 | 0 | 14 | 2 | 6 | 3 | 15 | 1 | 8 | 0 | 9 | 0 | 16 | 0 | 12 +EXPORTS | 1 | 0 | 14 +IMPORTS | 1 | 0 | 12 +EQ | 33 | + EXP19_.EXP = BERR_IOBS & fsb/VPA & fsb/ASrf + # fsb/BERR0r & fsb/VPA & fsb/ASrf + # fsb/BERR1r & fsb/VPA & fsb/ASrf + # fsb/VPA & fsb/ASrf & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 +;Imported pterms FB1_13 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 15 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 13 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | $OpTx$FX_DC$606 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | $OpTx$FX_DC$602.EXP +INPUTMC | 9 | 0 | 6 | 0 | 14 | 1 | 17 | 0 | 3 | 2 | 6 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 16 +INPUTP | 4 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 0 | 14 +IMPORTS | 1 | 0 | 16 +EQ | 23 | + EXP20_.EXP = !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + $OpTx$FX_DC$606 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + $OpTx$FX_DC$606 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady +;Imported pterms FB1_17 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 17 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 0 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +EXPORTS | 1 | 0 | 0 +EQ | 25 | + EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 2 | 0 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> +INPUTMC | 7 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 +INPUTP | 11 | 147 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 +EXPORTS | 1 | 2 | 1 +EQ | 16 | + EXP22_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 1 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | EXP22_.EXP +INPUTMC | 7 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 6 | 2 | 10 | 2 | 0 +INPUTP | 6 | 105 | 98 | 15 | 109 | 114 | 21 +EXPORTS | 1 | 2 | 2 +IMPORTS | 1 | 2 | 0 +EQ | 31 | + EXP23_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB3_1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 9 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 +INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 +EXPORTS | 1 | 2 | 8 +EQ | 10 | + EXP24_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + +MACROCELL | 2 | 15 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nVMA_IOB_OBUF.EXP +INPUTMC | 6 | 2 | 16 | 2 | 6 | 2 | 8 | 2 | 11 | 3 | 2 | 2 | 14 +INPUTP | 5 | 98 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 14 +EQ | 9 | + EXP25_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 4 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 1 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 117 | 98 +EXPORTS | 1 | 3 | 5 +EQ | 4 | + EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + +MACROCELL | 3 | 6 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 3 | 2 +INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 +EXPORTS | 1 | 3 | 5 +EQ | 6 | + EXP27_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 8 | EXP28_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 9 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 -INPUTMC | 5 | 7 | 10 | 2 | 8 | 5 | 0 | 5 | 3 | 7 | 7 -INPUTP | 3 | 153 | 134 | 117 +INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | RA_2_OBUF.EXP +INPUTMC | 6 | 3 | 9 | 2 | 11 | 2 | 8 | 2 | 10 | 3 | 2 | 3 | 7 +INPUTP | 3 | 105 | 15 | 109 EXPORTS | 1 | 3 | 9 -EQ | 10 | - EXP32_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & +IMPORTS | 1 | 3 | 7 +EQ | 11 | + EXP28_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB4_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 3 | 10 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB +INPUTMC | 1 | 3 | 9 +INPUTP | 7 | 105 | 109 | 117 | 123 | 155 | 153 | 98 +EXPORTS | 1 | 3 | 9 +EQ | 5 | + EXP29_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB + +MACROCELL | 3 | 12 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 13 +INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | RA_4_OBUF.EXP +INPUTMC | 6 | 1 | 9 | 6 | 7 | 2 | 17 | 2 | 6 | 3 | 2 | 3 | 11 +INPUTP | 3 | 15 | 109 | 21 +EXPORTS | 1 | 3 | 13 +IMPORTS | 1 | 3 | 11 +EQ | 17 | + EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf @@ -2378,17 +2639,23 @@ EQ | 10 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 10 | EXP33_ +MACROCELL | 3 | 14 | EXP31_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 9 -INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | nDinOE_OBUF.EXP -INPUTMC | 12 | 7 | 10 | 2 | 8 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 | 5 | 0 | 5 | 3 | 2 | 9 | 3 | 3 | 7 | 15 | 3 | 11 -INPUTP | 3 | 123 | 153 | 117 -EXPORTS | 1 | 3 | 9 -IMPORTS | 1 | 3 | 11 -EQ | 17 | - EXP33_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & +OUTPUTMC | 1 | 3 | 13 +INPUTS | 14 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB +INPUTMC | 11 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 2 | 17 | 2 | 6 | 6 | 9 | 6 | 12 | 6 | 4 +INPUTP | 3 | 105 | 15 | 21 +EXPORTS | 1 | 3 | 13 +EQ | 10 | + EXP31_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf @@ -2398,127 +2665,146 @@ EQ | 17 | cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 12 | EXP34_ +MACROCELL | 3 | 17 | EXP32_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> -INPUTMC | 6 | 7 | 7 | 2 | 9 | 2 | 8 | 5 | 3 | 7 | 10 | 5 | 0 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 3 | 13 -EQ | 10 | - EXP34_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd1 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | nDinOE_OBUF.EXP +INPUTMC | 8 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 3 | 16 +INPUTP | 4 | 105 | 15 | 21 | 109 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 16 +EQ | 26 | + EXP32_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd1 & fsb/ASrf + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_17 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> -MACROCELL | 3 | 14 | EXP35_ +MACROCELL | 4 | 16 | EXP33_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP36_.EXP -INPUTMC | 7 | 3 | 3 | 7 | 15 | 2 | 8 | 7 | 10 | 5 | 0 | 5 | 3 | 3 | 15 -INPUTP | 2 | 123 | 117 -EXPORTS | 1 | 3 | 13 -IMPORTS | 1 | 3 | 15 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 10 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr +INPUTMC | 8 | 4 | 17 | 4 | 15 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 8 | 4 | 6 +INPUTP | 2 | 28 | 42 +EXPORTS | 1 | 4 | 17 EQ | 10 | - EXP35_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + EXP33_.EXP = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + +MACROCELL | 6 | 17 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 16 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5>.EXP +INPUTMC | 7 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 +INPUTP | 2 | 105 | 21 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 0 +EQ | 10 | + EXP34_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 # A_FSB<23> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_16 +;Imported pterms FB7_1 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 15 | EXP36_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 14 -INPUTS | 4 | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 4 | 7 | 10 | 7 | 3 | 7 | 2 | 7 | 17 -EXPORTS | 1 | 3 | 14 -EQ | 2 | - EXP36_.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -PIN | A_FSB<9> | 64 | 0 | N/A | 96 | 4 | 0 | 11 | 0 | 2 | 6 | 5 | 0 | 12 -PIN | A_FSB<20> | 64 | 0 | N/A | 147 | 30 | 2 | 13 | 0 | 14 | 7 | 9 | 0 | 9 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 0 | 2 | 2 | 11 | 2 | 17 | 0 | 12 | 6 | 8 | 3 | 11 | 0 | 8 | 2 | 14 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 136 | 17 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 0 | 16 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 1 | 6 | 8 | 6 | 11 | 0 | 7 | 0 | 13 | 0 | 0 | 2 | 3 | 2 | 10 | 2 | 14 -PIN | A_FSB<18> | 64 | 0 | N/A | 146 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 2 | 0 | 0 | 2 | 2 | 11 | 2 | 14 | 0 | 9 | 6 | 5 | 0 | 1 | 0 | 13 | 0 | 0 | 0 | 16 | 2 | 3 | 2 | 10 -PIN | A_FSB<17> | 64 | 0 | N/A | 144 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 2 | 0 | 0 | 2 | 2 | 11 | 2 | 14 | 0 | 9 | 6 | 1 | 0 | 1 | 0 | 13 | 0 | 0 | 0 | 16 | 2 | 3 | 2 | 10 -PIN | A_FSB<16> | 64 | 0 | N/A | 143 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 0 | 16 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 1 | 7 | 8 | 0 | 7 | 0 | 13 | 0 | 0 | 2 | 3 | 2 | 10 | 2 | 14 -PIN | A_FSB<15> | 64 | 0 | N/A | 23 | 4 | 0 | 11 | 0 | 2 | 3 | 7 | 0 | 12 -PIN | A_FSB<13> | 64 | 0 | N/A | 21 | 4 | 0 | 11 | 0 | 2 | 5 | 1 | 0 | 12 -PIN | A_FSB<23> | 64 | 0 | N/A | 123 | 48 | 2 | 13 | 2 | 9 | 0 | 15 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 3 | 17 | 0 | 2 | 3 | 5 | 3 | 1 | 2 | 8 | 7 | 15 | 2 | 11 | 2 | 17 | 0 | 14 | 3 | 9 | 3 | 13 | 3 | 11 | 2 | 4 | 0 | 8 | 3 | 16 | 4 | 1 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 12 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 12 | 2 | 16 | 3 | 4 | 3 | 7 | 3 | 10 | 3 | 12 | 3 | 14 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 153 | 47 | 2 | 13 | 2 | 9 | 0 | 14 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 3 | 17 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 12 | 3 | 8 | 3 | 13 | 3 | 11 | 2 | 4 | 0 | 7 | 3 | 16 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 7 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 3 | 3 | 6 | 3 | 7 | 3 | 10 | 3 | 12 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 134 | 46 | 2 | 13 | 2 | 7 | 0 | 14 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 3 | 16 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 12 | 3 | 8 | 3 | 13 | 3 | 11 | 2 | 4 | 7 | 11 | 0 | 7 | 3 | 12 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 3 | 3 | 7 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<14> | 64 | 0 | N/A | 22 | 4 | 0 | 11 | 0 | 2 | 5 | 5 | 0 | 12 -PIN | A_FSB<12> | 64 | 0 | N/A | 103 | 4 | 0 | 11 | 0 | 2 | 7 | 5 | 0 | 12 -PIN | A_FSB<11> | 64 | 0 | N/A | 18 | 4 | 0 | 11 | 0 | 2 | 3 | 0 | 0 | 12 -PIN | A_FSB<10> | 64 | 0 | N/A | 109 | 4 | 0 | 11 | 0 | 2 | 1 | 13 | 0 | 12 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 10 | 1 | 15 | 6 | 13 | 6 | 12 | 1 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 2 | 3 | 1 | 7 | 1 | 17 | 6 | 6 | 1 | 9 | 4 | 15 | 1 | 8 | 1 | 5 | 6 | 7 | 1 | 4 | 6 | 2 | 1 | 14 | 6 | 4 | 6 | 0 | 1 | 6 | 6 | 9 | 6 | 3 | 1 | 16 | 6 | 16 | 1 | 10 | 1 | 11 -PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 48 | 7 | 7 | 2 | 13 | 2 | 9 | 7 | 10 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 5 | 17 | 5 | 15 | 7 | 13 | 0 | 9 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 5 | 13 | 2 | 2 | 2 | 6 | 5 | 12 | 3 | 17 | 0 | 2 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 11 | 2 | 5 | 5 | 2 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 0 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 | 5 | 9 | 2 | 17 | 5 | 10 | 0 | 16 | 3 | 9 | 3 | 13 | 0 | 8 | 5 | 3 | 5 | 8 -PIN | nAS_FSB | 64 | 0 | N/A | 117 | 52 | 7 | 7 | 2 | 13 | 2 | 9 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 7 | 13 | 0 | 5 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 2 | 6 | 3 | 17 | 0 | 0 | 3 | 5 | 3 | 2 | 2 | 8 | 7 | 14 | 2 | 11 | 5 | 0 | 2 | 17 | 0 | 14 | 5 | 3 | 3 | 9 | 3 | 13 | 5 | 11 | 5 | 14 | 5 | 16 | 3 | 11 | 2 | 4 | 0 | 8 | 3 | 14 | 2 | 14 | 3 | 16 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 12 | 7 | 1 | 7 | 2 -PIN | nWE_FSB | 64 | 0 | N/A | 105 | 21 | 2 | 12 | 0 | 15 | 2 | 15 | 0 | 11 | 2 | 1 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 14 | 5 | 11 | 5 | 14 | 3 | 11 | 0 | 7 | 2 | 14 | 3 | 16 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 12 | 0 | 16 | 2 | 10 -PIN | nBERR_IOB | 64 | 0 | N/A | 92 | 5 | 1 | 15 | 1 | 6 | 1 | 5 | 1 | 14 | 1 | 16 -PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 10 | 1 | 15 | 1 | 12 | 1 | 9 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 | 1 | 14 | 1 | 16 | 4 | 16 -PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 6 | 3 | 6 | 2 | 7 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 114 | 3 | 5 | 17 | 5 | 13 | 2 | 14 -PIN | nUDS_FSB | 64 | 0 | N/A | 30 | 3 | 5 | 15 | 5 | 12 | 3 | 16 -PIN | E_IOB | 64 | 0 | N/A | 72 | 1 | 4 | 16 -PIN | nVPA_IOB | 64 | 0 | N/A | 44 | 2 | 6 | 0 | 4 | 15 -PIN | nDTACK_IOB | 64 | 0 | N/A | 77 | 2 | 6 | 9 | 6 | 7 -PIN | A_FSB<1> | 64 | 0 | N/A | 26 | 1 | 1 | 13 -PIN | A_FSB<2> | 64 | 0 | N/A | 28 | 1 | 3 | 0 -PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 7 | 5 -PIN | A_FSB<4> | 64 | 0 | N/A | 88 | 1 | 5 | 1 -PIN | A_FSB<5> | 64 | 0 | N/A | 37 | 1 | 5 | 5 -PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 3 | 7 -PIN | A_FSB<7> | 64 | 0 | N/A | 155 | 1 | 7 | 8 -PIN | A_FSB<8> | 64 | 0 | N/A | 82 | 1 | 6 | 1 -PIN | nVMA_IOB | 536871040 | 0 | N/A | 47 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 19 +PIN | A_FSB<9> | 64 | 0 | N/A | 19 | 9 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 10 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 16 | 0 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 103 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 8 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 134 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 147 | 21 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 0 | 2 | 3 | 2 | 13 | 2 | 9 | 3 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 +PIN | A_FSB<13> | 64 | 0 | N/A | 136 | 20 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 3 | 2 | 3 | 2 | 13 | 2 | 9 | 4 | 7 | 0 | 9 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 +PIN | A_FSB<12> | 64 | 0 | N/A | 146 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 3 | 7 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 140 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 4 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 0 | 17 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 3 | 2 +PIN | A_FSB<23> | 64 | 0 | N/A | 105 | 52 | 6 | 9 | 3 | 9 | 2 | 2 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 10 | 0 | 0 | 3 | 0 | 6 | 11 | 6 | 7 | 6 | 4 | 2 | 8 | 3 | 5 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 15 | 48 | 6 | 9 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 11 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 +PIN | A_FSB<21> | 64 | 0 | N/A | 109 | 45 | 6 | 8 | 3 | 8 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 9 | 0 | 0 | 2 | 17 | 3 | 16 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 11 | 2 | 4 | 7 | 5 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 9 | 2 | 13 | 2 | 14 | 2 | 15 | 3 | 6 | 3 | 7 | 3 | 10 | 6 | 13 | 6 | 15 +PIN | A_FSB<20> | 64 | 0 | N/A | 114 | 25 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 0 | 0 | 2 | 8 | 3 | 5 | 2 | 12 | 6 | 14 | 0 | 5 | 2 | 3 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 17 | 2 | 0 +PIN | A_FSB<19> | 64 | 0 | N/A | 117 | 22 | 2 | 17 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 3 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 6 | 14 | 7 | 1 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<18> | 64 | 0 | N/A | 123 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<17> | 64 | 0 | N/A | 155 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<16> | 64 | 0 | N/A | 153 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 9 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 11 | 6 | 5 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 14 | 4 | 17 | 5 | 12 | 5 | 10 | 4 | 15 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 2 | 13 | 4 | 10 | 4 | 0 | 5 | 0 | 4 | 13 | 1 | 0 | 4 | 12 | 4 | 6 | 5 | 2 | 7 | 16 | 1 | 2 | 1 | 13 | 1 | 4 | 1 | 1 | 4 | 8 | 5 | 3 | 1 | 3 | 1 | 16 | 7 | 14 | 1 | 10 | 1 | 11 +PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 3 | 2 | 6 | 9 | 1 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 5 | 15 | 5 | 13 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 14 | 5 | 7 | 2 | 16 | 1 | 17 | 5 | 6 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 8 | 2 | 11 | 4 | 9 | 6 | 0 | 6 | 15 | 2 | 3 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 5 | 2 | 17 | 6 | 13 | 5 | 4 | 2 | 7 | 2 | 12 | 2 | 5 | 3 | 13 | 6 | 16 | 2 | 10 | 2 | 6 | 5 | 1 +PIN | nAS_FSB | 64 | 0 | N/A | 21 | 50 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 5 | 8 | 0 | 14 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 2 | 6 | 3 | 13 | 6 | 16 | 0 | 5 | 0 | 10 | 5 | 11 | 5 | 14 | 3 | 14 | 2 | 4 | 5 | 5 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +PIN | nWE_FSB | 64 | 0 | N/A | 98 | 25 | 2 | 15 | 2 | 2 | 0 | 6 | 0 | 11 | 2 | 16 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 0 | 10 | 5 | 11 | 3 | 15 | 5 | 5 | 5 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 10 +PIN | nBERR_IOB | 64 | 0 | N/A | 28 | 4 | 4 | 17 | 4 | 8 | 4 | 6 | 4 | 16 +PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 9 | 4 | 17 | 4 | 15 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 | 7 | 17 +PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 3 | 1 | 2 | 6 | 3 +PIN | nLDS_FSB | 64 | 0 | N/A | 37 | 3 | 5 | 15 | 5 | 7 | 5 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 82 | 3 | 5 | 13 | 5 | 6 | 5 | 8 +PIN | E_IOB | 64 | 0 | N/A | 77 | 1 | 7 | 17 +PIN | nVPA_IOB | 64 | 0 | N/A | 96 | 2 | 1 | 1 | 1 | 0 +PIN | nDTACK_IOB | 64 | 0 | N/A | 88 | 2 | 5 | 3 | 5 | 2 +PIN | A_FSB<1> | 64 | 0 | N/A | 144 | 1 | 3 | 2 +PIN | A_FSB<2> | 64 | 0 | N/A | 24 | 1 | 4 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 3 | 7 +PIN | A_FSB<4> | 64 | 0 | N/A | 92 | 1 | 4 | 7 +PIN | A_FSB<5> | 64 | 0 | N/A | 23 | 1 | 3 | 11 +PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 6 | 1 +PIN | A_FSB<7> | 64 | 0 | N/A | 30 | 1 | 6 | 5 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 56 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 18 PIN | nAS_IOB | 536871040 | 0 | N/A | 17 -PIN | nCAS | 536871040 | 0 | N/A | 130 -PIN | nDinLE | 536871040 | 0 | N/A | 98 -PIN | nDoutOE | 536871040 | 0 | N/A | 15 +PIN | nCAS | 536871040 | 0 | N/A | 118 +PIN | nDinLE | 536871040 | 0 | N/A | 116 +PIN | nDoutOE | 536871040 | 0 | N/A | 13 PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 -PIN | RA<0> | 536871040 | 0 | N/A | 13 -PIN | RA<1> | 536871040 | 0 | N/A | 141 -PIN | RA<2> | 536871040 | 0 | N/A | 104 -PIN | RA<3> | 536871040 | 0 | N/A | 118 -PIN | RA<4> | 536871040 | 0 | N/A | 125 -PIN | RA<5> | 536871040 | 0 | N/A | 145 -PIN | RA<6> | 536871040 | 0 | N/A | 107 -PIN | RA<7> | 536871040 | 0 | N/A | 79 -PIN | RA<8> | 536871040 | 0 | N/A | 86 -PIN | RA<9> | 536871040 | 0 | N/A | 90 -PIN | nOE | 536871040 | 0 | N/A | 135 -PIN | nROMWE | 536871040 | 0 | N/A | 139 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 140 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 97 -PIN | nDinOE | 536871040 | 0 | N/A | 149 +PIN | RA<0> | 536871040 | 0 | N/A | 141 +PIN | RA<1> | 536871040 | 0 | N/A | 58 +PIN | RA<2> | 536871040 | 0 | N/A | 145 +PIN | RA<3> | 536871040 | 0 | N/A | 62 +PIN | RA<4> | 536871040 | 0 | N/A | 149 +PIN | RA<5> | 536871040 | 0 | N/A | 79 +PIN | RA<6> | 536871040 | 0 | N/A | 86 +PIN | RA<7> | 536871040 | 0 | N/A | 90 +PIN | RA<8> | 536871040 | 0 | N/A | 95 +PIN | RA<9> | 536871040 | 0 | N/A | 97 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 22 +PIN | nOE | 536871040 | 0 | N/A | 26 +PIN | nROMWE | 536871040 | 0 | N/A | 135 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 139 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 107 +PIN | nDinOE | 536871040 | 0 | N/A | 157 PIN | nRAS | 536871040 | 0 | N/A | 36 -PIN | RA<11> | 536871040 | 0 | N/A | 95 -PIN | RA<10> | 536871040 | 0 | N/A | 112 -PIN | nADoutLE1 | 536871040 | 0 | N/A | 24 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 102 -PIN | nRAMLWE | 536871040 | 0 | N/A | 56 -PIN | nRAMUWE | 536871040 | 0 | N/A | 157 -PIN | nROMCS | 536871040 | 0 | N/A | 58 -PIN | nAoutOE | 536871040 | 0 | N/A | 116 +PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<10> | 536871040 | 0 | N/A | 104 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 47 +PIN | nRAMLWE | 536871040 | 0 | N/A | 125 +PIN | nRAMUWE | 536871040 | 0 | N/A | 130 +PIN | nROMCS | 536871040 | 0 | N/A | 68 +PIN | nAoutOE | 536871040 | 0 | N/A | 112 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga index f338a87..d0fe8bb 100644 --- a/cpld/XC95144XL/MXSE.nga +++ b/cpld/XC95144XL/MXSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4f:c=#Gy^s(D@Y$300*A773-E{Xu*FNW&166(C98:0(B~[x%KMR!45;'N9=85+OqV{ LHQ,;88"Ij6*@pUz'MKP#:;9%]50(33?-77890:<=>51123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=81296=672;2;<$??;)1345<489:1?=>?)338,667839;<=4<012*$-5289089=>53423-46< :?;<7=:0180156.l2"?<=4;018745/682"?9=>54423>1378 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<4<:980>?<42237?75=AGZ^X7OPFR@?66<66;198?5=539137=50;195?5<339017=3>;1?5?5:039667=2;;1>8?5:539627=20;1>5<592:436>06:2<8>68;2:466>01:2<<>6871:51?2653>;97:<=;611?2253><97:9=;6:1?2?631804=<48008<74<0<804;<48608<<7<1;12;;128?56539:27=>?;12585NLD2N3>GKM9G%H55NLD2N*A7?3HFN<@ K2e9BH@6J&M8T\YQ?d:COA5K)L;U[XR?8;@NF4H(V12KGI=C!RDE6?DJB8Z=0MAK?S/F;?DJB8Z$O=55NLD2P*A403HFN<^ ^9:COA5U)ZLM?7LBJ129BJA?25;b>/RB@<=FWOYI0<<1d:C\BVD;9;4%\LJ6;@]EWG:6;7n0MRH\B=30:+VFL01JSK]M<06=`>GXNZH7=90!P@F:?DYA[K6:93j4A^DPF9726'ZJH45N_GQA8409l2KTJ^L317<-TDB>3HUM_O2>7?f8EZ@TJ5;<2#^ND89B[CUE4825h6OPFR@?5=8)XHN27LQISC>2=;b/RB@==FWOYI0<0l;@]EWG:66'ZJH45N_GQA8769l2KTJ^L321<-TDB>3HUM_O2=1?f8EZ@TJ58:2#^ND89B[CUE4;85h6OPFR@?678)XHNi7LQISC>17?7912KTJ^L322GXNZH7?3 _AE:8EZ@TJ5>5o6OPFR@?0;(WIM20MRH\B=7=g>GXNZH793 _AE:8EZ@TJ5<5o6OPFR@?2;(WIM20MRH\B=5=g>GXNZH7;3 _AE:8EZ@TJ525o6OPFR@?<;(WIM20MRH\B=;=g>GXNZH753 _AEf8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSA9;1JSK]M_0;\MGSA,K_M<<:4A^DPFZ7>W@H^J)LZF1,G50=FWOYIS<7PICWE GSA8'N:=85N_GQA[4?XAK_M(O[I0/F151=FWOYIS<7PICWE GSA8'[h7LQISC]2[LDRNm1JSK]M_32\MGSAl2KTJ^LP20]JFP@6:2KTJ^LP20]JFP@#J\L;=95N_GQA[77XAK_M(O[I0/F21>GXNZHT>GXNZHT>GXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\6LJRS]JKGU)MQZT\YQ?139AAWTXAFHX"HV__QV\54cDR[VCEJB<4CD18GIM>3JF@>UQFOCf8GIM5PVCDN#^ND69@HNYA[Kh0OAEPFR@-TDB03JF@SDAMb:AOOZOHJ'ZJHn5LLJ]JKGYNJ\L27NABMHVWAA1Bbdhsj7HV_15]-A]Va3LR[=9Q!EYR\TQY7n2OS\<:P.DZS[URX9o1NT]?;_/G[TZVSW;l0IU^>4^,F\UYW\V9m7HV_15]-A]VXX]U?=o5JXQ37[+C_XV~xe`|jn`of`Zhb|Vk0IU^>5^,F\U`5^,F\UYW\V>:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[Tc=BPY;=S#KWP^RW[5`6^,F\UYW\V?:n6KWP04\*@^WW}ybakaalgg[kcsWh1NT]?8_/G[Tc=BPY;7^,F\UYW\V?:n6KWP05\*@^WW}ybakaalgg[kcsWh1NT]?7_/G[Tc=BPY;3S#KWP^RW[5`C_X83T"HV__QV\4c=BPY;2S#KWP^RW[4`9^,F\UYs{`gyicobee]maqYf3LR[>=Q!EYR2f>C_X;:T"HV__uqjiwciidooSck{_`9F\U46W'OS\k5JXQ02[+C_XVZ_S=h4EYR15Z(BPYU[XR?i;DZS64Y)MQZT\YQ=f:G[T77X&LR[S]ZP3g9F\U46W'OS\R^[_53a?@^W:8U%IU^Ptrknv`hfelnTbhzPa:G[T74X&LR[j6KWP30\*@^WWY^T;Q!EYR\TQY7n2OS\?8P.DZS[URX9o1NT]<9_/G[TZVSW;l0IU^=6^,F\UYW\V9m7HV_27]-A]VXX]U?=o5JXQ05[+C_XV~xe`|jn`of`Zhb|Vk0IU^=7^,F\U`:Q!EYR\TQY6n2OS\?9P.DZS[URX:o1NT]<8_/G[TZVSW:l0IU^=7^,F\UYW\V>:n6KWP35\*@^WW}ybakaalgg[kcsWh1NT]<7_/G[Tc=BPY83S#KWP^RW[5`W'OS\R^[_1d8A]V51V$NT]Q_T^3e?@^W:0U%IU^PPU]1b>C_X;3T"HV__QV\7c=BPY82S#KWP^RW[1`C_X::T"HV__QV\7c=BPY9;S#KWP^RW[1`>P.DZS[qune{oem`kk_ogw[d=BPY9:S#KWPg9F\U56W'OS\R^[_1d8A]V49V$NT]Q_T^3e?@^W;8U%IU^PPU]1b>C_X:;T"HV__QV\7c=BPY9:S#KWP^RW[1`?P.DZS[qune{oem`kk_ogw[d=BPY99S#KWPg9F\U55W'OS\R^[_1d8A]V4:V$NT]Q_T^3e?@^W;;U%IU^PPU]1b>C_X:8T"HV__QV\7c=BPY99S#KWP^RW[17e3LR[??Q!EYR\pvojzldjahjPndv\e>C_X:9T"HV_f:G[T65X&LR[S]ZP0g9F\U54W'OS\R^[_0d8A]V4;V$NT]Q_T^0e?@^W;:U%IU^PPU]0b>C_X:9T"HV__QV\0c=BPY98S#KWP^RW[07e3LR[?>Q!EYR\pvojzldjahjPndv\e>C_X:>T"HV_f:G[T62X&LR[S]ZP0g9F\U53W'OS\R^[_0d8A]V4C_X:>T"HV__QV\04dC_X:?T"HV__QV\14db:G[T60X&LR[Sy}fmsgmehccWgoS85J_HMA=>CXAFH%\LJn;D]JKGYNJ\L<7HjheWco=>@DDB'BCR?6;GAOO(OHW:30JNBD-HM\0==AKEABCR>7;GAOOLIX:;1MJ55ISS/JKZ343Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M8n7DAMESP-@7YW\V:n7DAMESP-@7YW\V;n7DAMESP-@7YW\V8n7DAMESP-@7YW\V9n7DAMESP-@7YW\V>n7DAMESP-@7YW\V?n7DAMESP-@7YW\V<37DAMESP-Ud=NGKOY^#\JG058MJDBZ[UdclrdcwaaYg{U?7DAC069JKI6)KL<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>6:KLH5(V02CD@= ]EF78MJTBY>1BC_K^.E:8MJTBY'N:46G@RDS-@7bOHZL[%IU^j;HMQAT(BPYU[XR>j;HMQAT(BPYU[XR?j;HMQAT(BPYU[XRa:KLV@W)MQZTx~gbrdlbi`bXfl~T;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KT_N@VBg=UIV;TCO[I.Ea8VDY6WFH^J#J>c:PB[4YHJ\L%H?j4R@]2[JDRN'OS\<<4R@]2[JDRN'OS\R^[_131?WGX9VEIYK JXQ]SPZ76:2XJSTFW;UDNXHm;SC\6ZIE]O$Oo6\N_3]LFP@)L8i0^LQ=_N@VB+B5981YMRb:PB[7YHJ\L%]45]A^1\KGSAj2XJS>Q@BTD-@f=UIV9TCO[I.E3`?WGX;VEIYK K2038VDY4WFH^J#J=_QV\447;SC\0ZIE]O$O>R^[_0`8VDY3WFH^J#_6;SC\1ZIE]Oh0^LQ:_N@VB+Bd3[KT9RAMUG,G5f=UIV?TCO[I.E025>TFW>2:PB[0YHJ\L%IU^PPU]257=UIV?TCO[I.DZS[URX:8o0^LQ:_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ:_N@VB+W>3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3a?WGX>VEIYK ^9:PB[2YHJ\Li7_OP7^MAQC(Ck2XJS:Q@BTD-@4eTFW1UDNXH!Db9QEZ>XGK_M"I?l;SC\WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_SRnele~xLo4ThofkprF&Mh0XdcjotvB*A7e3]cfib{{A/F155=SadodyyO!D3]SPZ6682^bahazt@,G6ZVSW8;;7YgbenwwE+B5WY^T>n5[ilglqqG)MQZ:=6ZfmdmvpD(BPYU[XR>>1:Vji`ir|H$NT]Q_T^32`>Rnele~xL JXQ]wwlkumgkfiiQaeu]b?QojmfM#_l;UknajssI'XNK<74ThofkprFW}yban|jaugg[}iuW11_e`k`uu@b?QojmfN#Jm;UknajssJ'N:n6ZfmdmvpG(C:8:0XdcjotvA*A4XX]U;==5[ilglqqD)L;U[XR?>0:Vji`ir|K$O>R^[_3c8Plkbg|~I"\m4ThofkprE&[OL=45[ilglqqDX|zcfokntdf\|jtXk2_XI_QYIRKAH@?<^@O\SYW_E29Ugf3<_`nd?m4XB;6512_D$;;!Y_>01"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT13QniSDjm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd37Ujg_Uknaa=_laU_e`kLhdah`>^c`V^bahJjl`{24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf=1QI_Ak;blw+WcaKg~7<3h4cov,V``Df}6;2#J>0:amp*TbnJd0=0!D033?fhs'[omOcz30?,G6c=df}%YikMat=2=*T763jd#_kiCov?4;(UMN;n7n`{/SgeGkr;87UdclrdcwaaYg{Uo7n`{/SgeGkr;97l0ocz Rdd@jq:66'N:<6mat.PfbFhs484%H1:+B682iex"\jfBlw878)L8;;7n`{/SgeGkr;:7$O>k5lnu-QacEi|585"\?>;blw+WcaKg~7>3 ]EF3f?fhs'[omOcz32?]wwlkdzlkiiQwos]g?fhs'[omOcz33?d8gkr(ZllHby2<>/F24>ei|&XnjN`{<2<-@4773jd#_kiCov?7;(C:o1hby!]egAmp959&X;:7n`{/SgeGkr;;7$YIJ?j;blw+WcaKg~7?3Q{sho`v`gsmmUscQk;blw+WcaKg~783h4cov,V``Df}6?2#J>0:amp*TbnJd090!D033?fhs'[omOcz34?,G6c=df}%YikMat=6=*T763jd#_kiCov?0;(UMN;n7n`{/SgeGkr;<7UdclrdcwaaYg{Uo7n`{/SgeGkr;=7l0ocz Rdd@jq:26'N:<6mat.PfbFhs4<4%H5:+B682iex"\jfBlw838)L8;;7n`{/SgeGkr;>7$O>/G[TZVSW9;=7n`{/SgeGkr;>7$NT]Q_T^322>ei|&XnjN`{<7<-A]VXX]U9=;5lnu-QacEi|5<5"HV__QV\7745:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:16'[:=6mat.PfbFhs4?4%^HI>e:amp*TbnJd0;0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0:0i;blw+WcaKg~7;3 K119`jq)UmoIex191.E324>ei|&XnjN`{<6<-@7763jd#_kiCov?3;(BPY;=7n`{/SgeGkr;?7$NT]Q_T^222>ei|&XnjN`{<6<-A]VXX]U:=;5lnu-QacEi|5=5"HV__QV\6404:+C_XVZ_S><=;blw+WcaKg~7;3 JXQ]wwlkumgkfiiQaeu]e?fhs'[omOcz37?,R54=df}%YikMat=5=*WC@9l1hby!]egAmp919W}yban|jaugg[}iuWk1hby!]egFlj`bj;bq,jJpbzekr<#Ji;bq,jJpbzekr<#J>f:ap+kIqm{fju= K2068gv)iGoy`lw?.E0\TQY79=1h"`@vdpoe|6)L;U[XR?j;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F1a>et'gE}ibny0,R55=d{&dDzh|cax3-V@A33lnli55isc-BWw`f3oyi#L]}f/Fa?cue'HYyj#J>b:dpf*GTzo$O>l5isc-BWw`)Yj1mo!NSsd-V@Af3oyi#OK]R1p`?cue'KOY^=|!De9ewg)EM[X;~#J>d:dpf*DBZ[:y"I<>2:dpf*DBZ[:y"IR^[_0a8bvd(JLXY= ^e:dpf*DBZ[;y"_KHb:dpf*Tbims;~i5isc-Qadb~8{$Oi6h|b.Pfea7z'N:i6h|b.Pfea7z'N9=>5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY69:1mo!]e`fz4w(C:VZ_S?j4fr`,V`gcq9x%]k5isc-Qadb~8{$YIJl4fr`,V`gcq8xo7k}m/Sgb`|7u&Mo0j~l Rdcg}4t)L8o0j~l Rdcg}4t)L;;87k}m/Sgb`|7u&M8T\YQ?129ewg)Umhnr= K2^RW[4743oyi#_kndx3q*A4XX]U9=>5isc-Qadb~9{$O>R^[_230?cue'[ojht?}.E0\TQY3l2lxn"\jae{2v+Wa3oyi#_kndx3q*WC@j2lxn"\jae{1va=a{k%Yiljv2s,Ga>`tj&Xnmiw=r/F2a>`tj&Xnmiw=r/F156=a{k%Yiljv2s,G6ZVSW9;87k}m/Sgb`|4u&M8T\YQ>129ewg)Umhnr> K2^RW[7743oyi#_kndx0q*A4XX]U8=>5isc-Qadb~:{$O>R^[_5f8bvd(Zlkou?|!Qg9ewg)Umhnr> ]EF58bvd(^YK27k}m/WRB*Agi;gqa+SVF&M8T\YQ>f:dpf*PWI'N9S]ZP2g9ewg)QXH$O>R^[_2d8bvd(^YK%H?Q_T^6e?cue'_ZJ"I`tj&\[M#J=_QV\2<=a{k%]\L ^b:dpf*PWI'XNK<64fr`,RUGX|zcfokntdf\|jtXj2cdn`!MESPqba=ngkg$NH\]rg,Ga>ohjd%II_\}f/F2a>ohjd%II_\}f/F1`>ohjd%II_\}f/Se?liee&HN^_|i.SGDf>ohjd%II_\}re9jkgk(JLXY~ Ke:klfh)EM[Xy~#J>e:klfh)EM[Xy~#J=d:klfh)EM[Xy~#_i;hmai*DBZ[xy"_KHc:klfh)C\HI@~kk4in`n+ARFKBxm"Ih4in`n+ARFKBxm"I?i;hmai*BSIJAyj#J=e:klfh)C\HI@~k ^119jkgk(L]KHGh!RDE`?liee&N_MNE}rd9jkgk(L]KHG|!Dg9jkgk(L]KHG|!D0d8mjdj'M^JOF|}.E0f?liee&N_MNE}r/S24>ohjd%OXLMDrs,QABgohjd%N_1>1.E0\TQY69;1bcoc ER>3:+B5WY^T>n5foco,AV:76'[n7damm.GP858)ZLM:n6g`bl-FW969W}yban|jaugg[}iuWh1bcoc ER>2:f=ngkg$I^2>>/Fg?liee&OX0<0!D0f8mjdj'LY7=3 K2008mjdj'LY7=3 K2^RW[5753`eia"K\<0<-@7YW\V;:>6g`bl-FW979&M8T\YQ=c:klfh)B[5;5"\k4in`n+@U;97$YIJo4in`n+@U;:7i0eblb/DQ?6;(Cl2cdn`!JS=0=*A7c3`eia"K\<3<-@7753`eia"K\<3<-@7YW\V::>6g`bl-FW949&M8T\YQ>139jkgk(MZ692#J=_QV\6440:+Bc3`eia"K\<2<-@4b2:klfh)B[595"Iohjd%N_1=1.Pg8mjdj'LY7?3 ]EF3a?liee&OX0>0Ptrkngwcf|lnTtb|Pa:klfh)B[5>5o6g`bl-FW929&Mn0eblb/DQ?0;(C9m1bcoc ER>7:+B59;1bcoc ER>7:+B5WY^T<<<4in`n+@U;<7$O>R^[_031?liee&OX090!D3]SPZ46:2cdn`!JS=6=*A4XX]U8o6g`bl-FW929&Xo0eblb/DQ?0;(UMN;i7damm.GP818X|zcfokntdf\|jtXi2cdn`!JT@AHg>ohjd%NXLMD.Ef8mjdj'L^JOF K1e9jkgk(M]KHG#J=e:klfh)B\HI@"HV_129jkgk(M]KHG#KWP^RW[5743`eia"K[ABI-A]VXX]U:=>5foco,AQGDC'OS\R^[_330?liee&O_MNE!EYR\TQY49:1bcoc EUC@O+C_XVZ_S9?i;hmai*CSIJA%IU^Ptrknv`hfelnTbhzPc:klfh)B\HI@"\k4in`n+@RFKB$YIJ94in`n+@t>3`eia"K}.Ec8mjdj'Lx%H5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;>7damm.KLWZ@TEVLMh; JXQ05?liee&CD_RH\M^DE`3(BPYUdc}eocnaaYim}U:?6g`bl-JKVYA[DUMJi8!Q078mjdj'@EXSK]B_GDg2+TBO8;0eblb/HMP[CUJWOLo;<=4in`n+LITWOYFSKHk7/F20>ohjd%BC^QISL]EBa1)L8;?7damm.KLWZ@TEVLMh: K2078mjdj'@EXSK]B_GDg3+C_X8k0eblb/HMP[CUJWOLo;#KWP^RW[57f3`eia"G@S^DPIZ@Al>$NT]Q_T^32e>ohjd%BC^QISL]EBa1)MQZT\YQ=1`9jkgk(AFYTJ^CPFGf4*@^WWY^T?ohjd%BC^QISL]EBa1)Y8?0eblb/HMP[CUJWOLo;#\JG038mjdj'@EXSK]B_GDg<45n5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"G@ABVq`>ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BCX?!D0a8mjdt'@E^=#J=b:klfv)NG\;%]i5focq,MJS6&[OLm6g`br-Okdb6k2cdn~!Co`f2*Abohjz%Gclj>.E0\TQY49;1bco} Lncg5+B5WY^T8<<4in`p+Iifl8$O>R^[_431?lie{&Fdmi?!D3]SPZ0d3`ei"B`ae3-U`=ngky$@bok1/PFC<=ngky$Ccmjb:klfv)Hfjo%Hn5focq,Kkeb&M;h7dams.Mmg`(C:8;0ebl|/Nl`a+B5WY^T<5focq,TVYA[DUMJi?!D33;?lie{&ZXSK]B_GDg5+B5WY^T<<64in`p+UUXNZGTJKj>.E0\TQY69;1bco} PR]EWHYANm;%]<:4in`p+UUXNZGTJKj>.SGD55=ngky$\^QISL]EBa46:2cdn~!_S^DPIZ@Al;$O=>5focq,TVYA[DUMJiohjz%[_RH\M^DE`7(C:VZ_S8:klfv)W[VLXARHId3,G6ZVSW<;97dams.RP[CUJWOLo>#_>4:klfv)W[VLXARHId3,QAB463`ei"^\_GQN[C@c:V~xe`m}e`vf`Z~hzV30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98<0bLJ`uuNF5ZIE]O$O>R^[_335?kGCg|~GI_N@VB+B5WY^T9<84n@FlqqJB9VEIYK K2^RW[3773gKOcxzCE0]LFP@)Y880bLJ`uuNF5ZIE]O$YIJ94n@Q\BVDe3gKXSK]M.QCGg>hF[VLXNRGMUG58jDUXAFHi7cO\_HMA*UGCk2dJ_RG@B^MAQCc0:lBWZOHJVEIYK ]EF58jDir|FOi7cO`uuMF*UGCm2dJcxz@E^MAQC"Vm2dJcxz@E^MAQC(Cn2dJcxz@E^MAQC(C9o1eMb{{OD]LFP@)L;;;7cO`uuMF[JDRN'OS\<;4n@mvpJCXGK_M"HV__QV\443;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7753gHN^_QISC]LFP@)MQZ:;6`MESP\BVDXGK_M"HV__QV\4417:lAAWTXNZHTCO[I.DZS[URX:8=0bOK]R^DPFZIE]O$NT]Q_T^123>hEM[XTJ^LPOCWE*@^WWY^T8?=4nCGQVZ@TJVEIYK JXQ]wwlkumgkfiiQaeu]24>hEM[XTJ^LPOCWE*T?hDIZUDNXH!Qe9mGDUXGK_M"_KHa:lGPDELWOYIi6`KT@AH[CUE&YKOj6`KT@AH[CUEWFH^J7:lGPDELWOYISBLZF/F1[URX:8=0bIZNCJ]EWGYHJ\L%H?Q_T^123>hC\HI@SK]M_N@VB+B5WY^T8<94nEVBGNYA[KUDNXH!D3]SPZ36?2dOXLMD_GQA[JDRN'N9S]ZP6038jARFKBUM_OQ@BTD-U450bIgaOD]LFP@)MQZT\YQ=219m@lhHMVEIYK JXQ]wwlkumgkfiiQaeu]g?kBnfFOTCO[I.P58jAir|FOi7cJ`uuMF*UGCk2dOcxz@E^MAQCc>4:lGkprHMVEIYK K2^RW[4773gNdyyAJ_N@VB+C_X8?0bIaztNG\KGSA&LR[S]ZP0078jAir|FOTCO[I.DZS[URX9;;0bIaztNG\KGSA&LR[Sy}fmsgmehccWgoSh5aDnwwK@YHJ\L%]<>4nEmvpJCXGK_M"_KH8:lO@VYA[Ki0bAJ\_GQA*UGCl2dGH^QISC]JFP@?3gFO_RG@Bb9mHAUXAFH%\LJk;oNGWZOHJVEIYKh4nMFP[LIEWFH^J#J>0:lO@VYNGKUDNXH!D033?kJC[VCDNRAMUG,G643k5aLEQ\MJDXGK_M"\?>;oNGWZOHJVEIYK ]EF18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*Ad1e^LCZWD`8jWGJ]^O%\LJl;oPBIPQBWFH^Jh5aR@OVS@YHJ\L%Hk5aR@OVS@YHJ\L%H0:lQEHSPMVEIYK JXQ36?kTFE\]NSBLZF/G[TZVSW9;>7c\NMTUF[JDRN'OS\R^[_002?kTFE\]NSBLZF/G[TZrtadxnblcjd^lfpZc;oPBWZIE]O$O>R^[_032?kTF[VEIYK K2^RW[7b<;4nTFP[LIEWFH^J#J=_QV\443hQEHUBCO _AEf8jSKFW@EISBLZFg9mRHGXAFHTCO[I$Pd8jSKFW@EISBLZF/F24>hQEHUBCOQ@BTD-@4773g\FMRG@B^MAQC(C:8;0b[CN_HMA[JDRN'OS\<84nWOB[LIEWFH^J#KWP^RW[5713g\FMRG@B^MAQC(BPYU[XR?>6:lUIDYNGKUDNXH!EYR\TQY59?1eZ@OPIN@\KGSA&LR[S]ZP3048jSKFW@EISBLZF/G[TZVSW=897cXBA^KLFZIE]O$NT]Q{shoqakgjmmUeiyQi;oTNEZOHJVEIYK ^109mRHGXAFHTCO[I.SGD5`=i^DKTEBLPOCWE[qunejxnmykk_ymq[==i^YKTJ^Ll;oTSEZ@TJ'ZJHi5aVQC\BVDXGK_Mj6`YP@]EWGYHJ\L%H<>4nWRB[CUEWFH^J#J>119mRUGXNZHTCO[I.E0e?kPWIVLXNRAMUG,R<>hQXHUBCOm4nWRB[LIE&YKOh6`YP@]JKGYNJ\L<7cYJ_GQAf>hPMVLXN#^NDb9mS@YA[KUBNXH;;ocna2=imnookh94pnqf`bc>3{kf#OOLTs`8vdk(JHI_~#Jl;scn+GGD\{$O=n5}al-AEFRu&M8i7ob/CC@Pw(Vl2xja"LNCUp-V@A?3{kf#B`le`9qeh)Hfjo%Ho5}al-Ljfc)L8h0~lc Ooaf*A4682xja"Aacd,G6ZVSW9;;7ob/Nl`a+B5WY^T=<>4r`o,Kkeb&M8T\YQ=119qeh)Hfjo%H?Q_T^1`?wgj'Fdhi#KWP038vdk(Ggin"HV__QV\447tfe&EeohQ{sho`v`gsmmUscQm;scn+WGJL@Y:h6|nm.PBIAOT9'Nn7ob/SCN@LU6&M;n7ob/SCN@LU6&M8:?6|nm.PBIAOT9'N9S]ZP0018vdk(ZHGOE^?!D3]SPZ76;2xja"\NMEKP5+B5WY^T><=4r`o,VDKCAZ;%H?Q_T^127>tfe&XJAIG\1/F1[URX<890~lc R@OGMV7)L;U[XR;>3:pbi*TFEMCX=#J=_QV\2a=uid%YM@JFS0,Rb>tfe&XJAIG\1/PFCg=uid%YM@JFS3f8vdk(ZHGOE^tfe&XJAIG\2/F1[URX;890~lc R@OGMV4)L;U[XR:>3:pbi*TFEMCX>#J=_QV\1a=uid%YM@JFS3,Rb>tfe&XJAIG\2/PFC4etfe&XJA_kndxg8vdk(ZHGYiljv.Ed8vdk(ZHGYiljv.E3e?wgj'[KF^hoky/F151=uid%YM@\jae{-@7YW\V::86|nm.PBIWcflp$O>R^[_037?wgj'[KF^hoky/F1[URX:8>0~lc R@OQadb~&M8T\YQ<159qeh)UIDXnmiw!D3]SPZ26<2xja"\NMSgb`|(C:VZ_S8?;;scn+WGJZlkou#J=_QV\2`=uid%YM@\jae{-U46tfe&XXSK]B_GDg547.E023>tfe&XXSK]B_GDg5+B5WY^T<<94r`o,VVYA[DUMJi?!D3]SPZ76?2xja"\\_GQN[C@c9'N9S]ZP2058vdk(ZZUM_@QIFe3-@7YW\V9:?6|nm.PP[CUJWOLo=#KWP0:8vdk(ZZUM_@QIFe3-A]VXX]U;=55}al-QWZ@TEVLMh< JXQ]SPZ75<2xja"\\_GQN[C@c9'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj>.P30?wgj'[YTJ^CPFGf2*WC@:91ym`!]S^DPIZ@Al8UdclrdcwaaYg{Um7ob/SQ\BVKXNOn9=<5}al-QWZ@TEVLMh? K139qeh)U[VLXARHId3,G5441ym`!]S^DPIZ@Al;$O>R^[_230?wgj'[YTJ^CPFGf1*@^W911ym`!]S^DPIZ@Al;$NT]Q_T^22<>tfe&XXSK]B_GDg6+C_XVZ_S<<;;scn+WUXNZGTJKj=.DZS[qune{oem`kk_ogw[47tfe&XXSK]B_GDg7+B6:2xja"\\_GQN[C@c;'N:=?5}al-QWZ@TEVLMh> K2058vdk(ZZUM_@QIFe1-@7YW\V::;6|nm.PP[CUJWOLo?#J=_QV\5417:pbi*TTWOYFSKHk3/F1[URX;8=0~lc RR]EWHYANm9%H?Q_T^623>tfe&XXSK]B_GDg7+B5WY^T9<94r`o,VVYA[DUMJi=!D3]SPZ0692xja"\\_GQN[C@c;'[:?6|nm.PP[CUJWOLo?#\JG328vdk(ZZUM_@QIFe1\pvojk{ojxhjPxnp\1>pfd|ovLM~827`8DE~6n<0M6?4>{Rfg>7?42k0:?98:5182=7c?sg82h7?4n3;f>3=#:0<1>4:4}Rf`>7?42k0:?98:5182=7c?3m;m97>51;3xWab=:091n7?<47764?7>:l20zY9>:182>4<318qXhi4=928a>453>;t3e3?61d62=3:wE<7e:&130<6n=1Qh?4={3c95ch6no0n76g78;29 76721o0b7>5$323>=cfg85?>o0m3:1(?>?:9g8j4`a2>10e:m50;&145d=h6no0;76g7c;29 76721o0b5$323>=cfg8a?>o0<3:1(?>?:9g8j4`a2j10e:<50;&1455<5<h1<75f54c94?=n!47832n7c?if;35?>o>93:1(?>?:9g8j4`a28?07d7<:18'656=0l1e=kh51598m<3=83.9<=47e:l2bc<6;21b5:4?:%034?>b3g;mj7?=;:k:=?6=,;:;65k4n0de>47<3`3i6=4+2129<`=i9ol1==54i8f94?"58903i6`>fg8e?>i2<;0;66a;b483>>i30<0;66a;ae83>>i3k10;66a;dd83>>i3mo0;66a:3683>>i58:0;6)h6no0i76a=0`83>!47838;;6`>fg8b?>i58j0;6)h6no0276a=0d83>!47838;;6`>fg8;?>i5990;6)h6no0<76a=1383>!47838;;6`>fg85?>i59=0;6)h6no0>76a=1783>!47838;;6`>fg87?>i5910;6)h6no0876a=1`83>!47838;;6`>fg81?>i3nh0;66a:2e83>>i2=:0;66a;6b83>>i3l90;66a:1483>>i5810;6)h6no0;76a=0483>!47838;;6`>fg82?>i3?:0;66a;9b83>>i2880;66a:0`83>>i2:=0;66a;8g83>>df83:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>6d<,8ln6>l4;|``5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?13:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0d<,8ln68l4;|`a5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?=3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>04<,8ln68<4;|`be?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?;3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0g<,8ln68o4;|`b=?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?93:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0><,8ln6864;|`bN50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0n3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>00<,8ln6884;|`b3?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0l3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>74<,8ln6?<4;|`b2?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd013:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>76<,8ln6?>4;|`b0?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd>83:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>1d<,8ln69l4;|`a=?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?l3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0b<,8ln68j4;|`aN50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?j3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>06<,8ln68>4;|`a6?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0j3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>77<,8ln6??4;|`b1?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0?3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>16<,8ln69>4;|`b7?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0=3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>4b<,8ln6N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0;3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>12<,8ln69:4;|`b5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xdc>3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>7><,8ln6?64;|`2<6<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?773-?==7??;|~y>{e91:1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5119'137=991vqps4}cf;>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5289'5cc=:010qo?72;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:028 00628:0qpsr;|`23c<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7E<67:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:<6*:60824>{zut1vn96<:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81845+1gg90<=387>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96424<729q/>4651ga8L7>b3`;mo7>5$3;;>4`d3-;m>7:=;%3ea?2532wi98h50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>4:&624<6<2wvqp5rb5ca>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5389'5cc=;010qo:nc;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`ge?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`2<4<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7E<67:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:<6*:60824>{zut1vn8<=:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81:=5+1gg925=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96428483>7<729q/>465d09K6=c5$3;;>4`e3A82;6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6>2.>:<4>6:~yx=zj=oo6=4>:183!4>03;mo6F=8d9j5ce=83.9554>fb9'5c4=<>1/=kk54698yg2bm3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 00528>0(88>:068yx{z3th>?84?:083>5}#:021=km4H3:f?l7ak3:1(?77:0d`?!7a:38<7)?ie;04?>{e=:<1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:86*:60820>{zut1vn<9l:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81??5+1gg977=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96424<729q/>4651ga8L7>b3`;mo7>5$3;;>4`d3-;m>7i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>4:&624<6<2wvqp5rb5a5>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5329'5cc=;:10qo:l7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`;3?6=93:1=9oi0(07plm0;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`:6?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:0?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:2?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:e?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:g?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:a?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`606<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;0e?!7am38m76sm55094?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f1d1290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd30?0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj=kn6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th?o44?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:39n7)?ie;1f?>{e;0:>6*:60826>{zut1vn9ji:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o819:5+1gg912=oi7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7=l;%3ea?5d32wi8hh50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb41;>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5449'5cc=<<10qo;<7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`141<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;6e?!7am3>m76sm21194?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f76e290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd58m0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336;:a65e=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj;:m6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=

7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th9=<4?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:3>h7)?ie;6`?>{e:8:1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn??<:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o81945+1gg91<=7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7:<;%3ea?2432wi><:50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb334>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5359'5cc=;=10qo<>6;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`15<<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;15?!7am39=76sm20:94?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f77e290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd3nk0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336;:a0cg=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj<8n6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4==:1/=kk55298yg35l3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th>994?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:3??7)?ie;77?>{e=<91<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn98k:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o81?i5+1gg97a==o7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7;l;%3ea?3d32wi8i>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb435>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<52c9'5cc=:k10qo;>5;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`14<<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;1b?!7am39j76sm21:94?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f761290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd3?=0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj=3o6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=;o1/=kk53g98yg2>k3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:39;7)?ie;13?>{e=9;1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn8>m:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o819k5+1gg91c=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7=>;%3ea?5632wi9?:50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb5;3>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5499'5cc=<110qo:7f;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`600<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg33<3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<>26=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi98<50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?ei6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=51;294~"5110:j45G29g8k4`e290/>4651g`8?xd24?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e===1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f02?29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f02f29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<>i6=47:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`60f<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e==n1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8m4g1290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e2<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5199'137=911vqps4i0:b>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7?7;%755?7?3twvq6g>8c83>!4>03;3;6F=969U6{Wg3>7}#:981=5l4V05f>7}#=?81=<5+573954=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;f=#=?;1o6sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81o6*:608`?x{zu2c:4h4?:%0:{M0:g?7|^l:1>v*=0382<`=Q9>o1>v*:638`?!3193i0qpsr;h3;b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb46f>5<693:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>1:&624<692wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=981/9;?5109~yx{=:0c0?S70m38p(88=:038 00628;0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5f1`794?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=<519`8R41b2;q/9;<5109'137=981vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f02a290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9j;4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>4590o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<=31<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9;4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo::5;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi89o50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9:m:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=?h7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{?i7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{?j7>54;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi88>50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9;=:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=991/9;?5119~yx{<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5119'137=991vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi89m50;:94?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`634<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg30?3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5159'137=9=1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<==6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd2>h0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=?h1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f00c29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f00b290?6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627o0;644?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:058 00628=0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a126=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9;m50;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>;?4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?7;%755?7?3twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:m>4?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb450>5<693:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3g7>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6`d=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0f1?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:l<1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f7c?29086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1a<<7200;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5169'137=9>1vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7c029086=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{ekh0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63820>"2>80:86sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wiok4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zjj91<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zjj>1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~ff0=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:ag2<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~ff>=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thh57>58;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:0Z<9j:3y'134=991/9;?5119~yx{n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:9641i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?ef`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vnnl50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?7;%755?7?3twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&62447=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m;4?:%0:=:0c4?S70m38p(88=:b9'137=k2wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cag>5<4290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3a1?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f4e529086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>4:&624<6<2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9j;1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg7e>3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6<4?:1y'6<>=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gg=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gd=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2ff<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:=:0;7?S70m38p(88=:028 00628:0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=oj50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gc=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=oh50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5g>=8321<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9mk1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:i:4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?j6;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi=il50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81==5+573955=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2a6<7200;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96415Y16g96~"2>;0:<6*:60824>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964150z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570952=#=?;1=:5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528:0(88>:028yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5ab=8321<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:><1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9;i4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<73;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9=1/9;?5159~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3:1>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl=7683>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e47=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7m4$442>f=zutw0e<6m:18'6<>=91=0Z?7n:0yO64>e3_;f=#=?;1o6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:>i1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5f1`794?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l950;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k<7[?8e;0x 00528=0(88>:058yx{z3`;3m7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 0052j1/9;?5c:~yx=n91i1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519a8R41b2;q/9;<5c:&6248e83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60m1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7e:T23`<5s-?=>7m4$442>f=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>:750;694?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a62c=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:964>o6i<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:>l1<7750;2x 7??2>:0D?6j;h3;7d81!31:3;37);91;3;?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:6382<>"2>80:46sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:li1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9j84?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qof`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528:0(88>:028yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<682.>:<4>0:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;46<,<<:6<>4}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>k?50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:=:0c1?S70m38p(88=:058 00628=0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:ll1<7=50;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8i86=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=nl50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d9827>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=n850;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj8i36=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3`=?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:o:4?:283>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=n:50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f4c?29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9o:1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54>3:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd6mh0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9lh1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f4cc29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?je;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9l31<7=50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3:7>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6=b=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?;;%755?733twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f7>229086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e3:1=7>50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`1<=<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7>>290:=7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:058 00628=0qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>7:&624<6?2wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o60h0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+570952=#=?;1=:5r}|8m4>e290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<6?2.>:<4>7:~yx=n9h?1<7*=9982<2=O:0=0Z?7n:0yO64g23_;41<,<<:6<94}|~?l7f>3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e3=Q9>o1>v*:63823>"2>80:;6sr}|9j5d1=83.9554>869U6{Wg3>7}#:981=l94V05f>7}#=?81=:5+573952=zutw0e<6n:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:4o4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=?4;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb22a>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a747=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c131?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;9<1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f66?29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f66>29086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`04d<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb224>5<3290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::h6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::o6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::n6=49:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>>i:187>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f67529096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;831<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg56;3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?<:50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:;=6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c123?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb23b>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a774=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c12f?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;8i1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f67b29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=>f;292?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb203>5<0290;w)<68;53?M4?m2c:454?:%0:=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>?k:187>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f64429096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;;n1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg55<3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi??;50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:8<6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c11N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:826=49:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;46<,<<:6<>4}|~?l7f:3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7??;%755?773twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<682.>:<4>0:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:058 00628=0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>7:&624<6?2wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c11f?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<682.>:<4>0:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5119'137=991vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528:0(88>:028yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<682.>:<4>0:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5119'137=991vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<682.>:<4>0:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63823>"2>80:;6sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:058 00628=0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e3:1:7>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi??k50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`075<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm32094?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:986=4=1;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7??;%755?773twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<682.>:<4>0:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5119'137=991vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528:0(88>:028yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:<6*:60824>{zut1b=l850;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k=7[?8e;0x 00528:0(88>:028yx{z3`;j;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:<6*:60824>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+570955=#=?;1==5r}|8m4>d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<>4$442>46o60l0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4>0:&624<682wvqp5f19d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96<94$442>41;0:<6*:60824>{zut1b=4?50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283:7[?8e;0x 00528=0(88>:058yx{z3`;2>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61;1]=:k52z&627<6?2.>:<4>7:~yx=n9091<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51818R41b2;q/9;<5c:&6249483>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61<1]=:k52z&627>3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?66:T23`<5s-?=>7m4$442>f=zutw0e<78:18'6<>=91=0Z?7n:0yO64?03_;f=#=?;1o6sr}|9j5<>=83.9554>869U6{Wg3>7}#:981=464V05f>7}#=?81o6*:608`?x{zu2c:544?:%0:{M0:g?7|^l:1>v*=0382=<=Q9>o1>v*:638`?!3193i0qpsr;h3:e?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;a?S70m38p(88=:b9'137=k2wvqp5f18a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90i0Z<9j:3y'134=k2.>:<4l;|~y>o61m0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99e9U52c=:r.>:?4l;%755?em2\:;h4={%756?e<,<<:6n5r}|8m4g7290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l?50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d7<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8?94?:3394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528:0(88>:028yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:<6*:60824>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570955=#=?;1==5r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964$442>46;0:<6*:60824>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+570955=#=?;1==5r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1b=5m50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 00528:0(88>:028yx{z3`;3h7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60m1]=:k52z&627<682.>:<4>0:~yx=n91o1<7*=9982<2=O:0=0Z?7n:0yO64>b3_;46<,<<:6<>4}|~?l7?n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63823>"2>80:;6sr}|9j5<6=83.9554>869K6<1<^;3j6=:0;3?S70m38p(88=:028 00628:0qpsr;h3:5?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9909U52c=:r.>:?4>7:&624<6?2wvqp5f18094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7=;W34a?4|,<<96<94$442>41;2\:;h4={%756?e<,<<:6n5r}|8m4?2290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7:;W34a?4|,<<96n5+5739g>{zut1b=4850;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<0<^8=n6?u+5709g>"2>80h7psr}:k2=2<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5:5Y16g96~"2>;0h7);91;a8yx{z3`;247>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;:>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528327[?8e;0x 0052j1/9;?5c:~yx=n90k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518c8R41b2;q/9;<5c:&6249c83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61k1]=:k52z&627k3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6c:T23`<5s-?=>7m4$442>f=zutw0e<7k:18'6<>=91=0Z?7n:0yO64?c3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4k4V05f>7}#=?81o6*:608`?x{zu2c:m=4?:%0:{M0:g?7|^l:1>v*=0382e5=Q9>o1>v*:638`?!3193i0qpsr;h3b5?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb216>5<593:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=991/9;?5119~yx{=:0c0?S70m38p(88=:028 00628:0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m84?:%0:{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:028 00628:0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>0:&624<682wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=991/9;?5119~yx{=:0:a?S70m38p(88=:028 00628:0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63824>"2>80:<6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81==5+573955=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;41<,<<:6<94}|~?l7>83:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=5=Q9>o1>v*:63824>"2>80:<6sr}|9j5<7=83.9554>869K6<1<^;3j6=:0;2?S70m38p(88=:058 00628=0qpsr;h3:6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9939U52c=:r.>:?4>7:&624<6?2wvqp5f18194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9090Z<9j:3y'134=k2.>:<4l;|~y>o61<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9949U52c=:r.>:?4l;%755?e>2\:;h4={%756?e<,<<:6n5r}|8m4?0290/>4651958R7?f28qG>4m51zTf4?4|,;:96<78;W34a?4|,<<96n5+5739g>{zut1b=4650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<><^8=n6?u+5709g>"2>80h7psr}:k2=<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:545Y16g96~"2>;0h7);91;a8yx{z3`;2m7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283i7[?8e;0x 0052j1/9;?5c:~yx=n90i1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518a8R41b2;q/9;<5c:&6249e83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61m1]=:k52z&627m3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6e:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g73_;f=#=?;1o6sr}|9j5d7=83.9554>869U6{Wg3>7}#:981=l?4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`073<72;;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g23_;46<,<<:6<>4}|~?l7f>3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e3=Q9>o1>v*:63824>"2>80:<6sr}|9j5d1=83.9554>869K6<1<^;3j6=:0c4?S70m38p(88=:028 00628:0qpsr;h3;e?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4o4?:%0:o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+570955=#=?;1==5r}|8m4>b290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:;6*:60823>{zut1b=4>50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283;7[?8e;0x 00528:0(88>:028yx{z3`;2=7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6181]=:k52z&627<6?2.>:<4>7:~yx=n9081<7*=9982<2=O:0=0Z?7n:0yO64?53_;41<,<<:6<94}|~?l7>;3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?63:T23`<5s-?=>7m4$442>f=zutw0e<7::18'6<>=91=0Z?7n:0yO64?23_;f=#=?;1o6sr}|9j5<0=83.9554>869U6{Wg3>7}#:981=484V05f>7}#=?81o6*:608`?x{zu2c:5:4?:%0:{M0:g?7|^l:1>v*=0382=2=Q9>o1>v*:638`?!3193i0qpsr;h3:7d81!31:3i0(88>:b9~yx{=:0;:?S70m38p(88=:b9'137=k2wvqp5f18c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90k0Z<9j:3y'134=k2.>:<4l;|~y>o61k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99c9U52c=:r.>:?4l;%755?ek2\:;h4={%756?e<,<<:6n5r}|8m4?c290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7k;W34a?4|,<<96n5+5739g>{zut1b=4k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2e5<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m=5Y16g96~"2>;0h7);91;a8yx{z3`;j=7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:9:6=49:183!4>03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;e?S70m38p(88=:b9'137=k2wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c172?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=95+573951=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f622290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`07d<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a76d=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb21g>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb21f>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb21e>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`005<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964150z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:>96=49:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?9=50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5c:&6249g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g43_;f=#=?;1o6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`756<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg2613:1:7>50z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f17229086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e3:1=7>50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`752<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb537>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f17f29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<;=1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;37?!3193;?7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?>;4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=;i6=4<:183!4>038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi84H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=0;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=1;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=2;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8?=50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{987>54;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:594?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb53g>5N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052j1/9;?5c:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5c:&624a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f14?29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<::1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th??94?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:<3;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi8?750;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn95<7s-8247<6f:J1<`=h9oh1<7*=9982bg=9o7>54;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8?j50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=e;294>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<;l1<7650;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn95<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>?50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:6382<>"2>80:46sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>;50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf91347}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo:<7;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi8>750;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{8:7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;75;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb4;2>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1<6=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c7;2?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6<2<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm59;94?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c7;f?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?8;%755?703twvq6g>a783>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7m4$442>f=zutw0e<6m:18'6<>=91=0Z?7n:0yO64>e3_;f=#=?;1o6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=1i1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o6i<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>7:&624<6?2wvqp5f1`494?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h<0Z<9j:3y'134=9>1/9;?5169~yx{o60h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98`9U52c=:r.>:?4l;%755?ed290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<2o6=4>1;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<>4$442>46n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528=0(88>:058yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=<519a8R41b2;q/9;<5169'137=9>1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;7e;2954<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528=0(88>:058yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=<519a8R41b2;q/9;<5169'137=9>1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;78;293?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?n:4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:l4;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb5a0>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;b983>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9ll:180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9lk:1825?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:<6*:60824>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570955=#=?;1==5r}|8m4g4290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:<6*:60824>{zut1b=l;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+570952=#=?;1=:5r}|8m4g1290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e2<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7?8;%755?703twvq6g>8c83>!4>03;3;6F=969U6{Wg3>7}#:981=5l4V05f>7}#=?81=:5+573952=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;41<,<<:6<94}|~?l7?l3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7d:T23`<5s-?=>7m4$442>f=zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7f`<72;;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g23_;46<,<<:6<>4}|~?l7f>3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7??;%755?773twvq6g>a683>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i>1]=:k52z&627<682.>:<4>0:~yx=n91k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519c8R41b2;q/9;<5119'137=991vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 00528:0(88>:028yx{z3`;3o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:<6*:60824>{zut1b=5k50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 00528=0(88>:058yx{z3`;3j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627<682.>:<4>0:~yx=n90:1<7*=9982<2=O:0=0Z?7n:0yO64?73_;41<,<<:6<94}|~?l7>93:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=4=Q9>o1>v*:63823>"2>80:;6sr}|9j5<4=83.9554>869U6{Wg3>7}#:981=4<4V05f>7}#=?81=:5+573952=zutw0e<7<:18'6<>=91=0Z?7n:0yO64?43_;f=#=?;1o6sr}|9j5<3=83.9554>869U6{Wg3>7}#:981=4;4V05f>7}#=?81o6*:608`?x{zu2c:5;4?:%0:{M0:g?7|^l:1>v*=0382=3=Q9>o1>v*:638`?!3193i0qpsr;h3:3?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;;?S70m38p(88=:b9'137=k2wvqp5f18;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9030Z<9j:3y'134=k2.>:<4l;|~y>o61h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99`9U52c=:r.>:?4l;%755?ej2\:;h4={%756?e<,<<:6n5r}|8m4?d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7l;W34a?4|,<<96n5+5739g>{zut1b=4j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5h5Y16g96~"2>;0h7);91;a8yx{z3`;j<7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c2>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k:7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7??;%755?773twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<682.>:<4>0:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5119'137=991vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528:0(88>:028yx{z3`;j97>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:<6*:60824>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+570955=#=?;1==5r}|8m4>f290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=<519`8R41b2;q/9;<5119'137=991vqps4i0:`>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7c:T23`<5s-?=>7??;%755?773twvq6g>8e83>!4>03;3;6F=969U6{Wg3>7}#:981=5j4V05f>7}#=?81==5+573955=zutw0e<6j:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:4k4?:%0:82\:;h4={%756?703-?==7?8;|~y>o6180;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<7<^8=n6?u+570952=#=?;1=:5r}|8m4?5290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7=;W34a?4|,<<96<94$442>41;2\:;h4={%756?e<,<<:6n5r}|8m4?2290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7:;W34a?4|,<<96n5+5739g>{zut1b=4850;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<0<^8=n6?u+5709g>"2>80h7psr}:k2=2<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5:5Y16g96~"2>;0h7);91;a8yx{z3`;247>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;:>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528327[?8e;0x 0052j1/9;?5c:~yx=n90k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518c8R41b2;q/9;<5c:&6249c83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61k1]=:k52z&627k3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6c:T23`<5s-?=>7m4$442>f=zutw0e<7k:18'6<>=91=0Z?7n:0yO64?c3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4k4V05f>7}#=?81o6*:608`?x{zu2c:m=4?:%0:{M0:g?7|^l:1>v*=0382e5=Q9>o1>v*:638`?!3193i0qpsr;h3b5?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5a3>5<593:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=991/9;?5119~yx{=:0c0?S70m38p(88=:028 00628:0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m84?:%0:{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:028 00628:0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>0:&624<682wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=991/9;?5119~yx{=:0:a?S70m38p(88=:028 00628:0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63824>"2>80:<6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81==5+573955=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;41<,<<:6<94}|~?l7>83:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=5=Q9>o1>v*:63824>"2>80:<6sr}|9j5<7=83.9554>869K6<1<^;3j6=:0;2?S70m38p(88=:058 00628=0qpsr;h3:6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9939U52c=:r.>:?4>7:&624<6?2wvqp5f18194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9090Z<9j:3y'134=9>1/9;?5169~yx{6=4+28:95=1<^;3j6=:0;6?S70m38p(88=:b9'137=k2wvqp5f18494?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90<0Z<9j:3y'134=k2.>:<4l;|~y>o61>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9969U52c=:r.>:?4l;%755?e02\:;h4={%756?e<,<<:6n5r}|8m4?>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<76;W34a?4|,<<96n5+5739g>{zut1b=4o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=g<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5o5Y16g96~"2>;0h7);91;a8yx{z3`;2o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283o7[?8e;0x 0052j1/9;?5c:~yx=n90o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518g8R41b2;q/9;<5c:&624a183>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i91]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n1:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1e62909=7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g43_;46<,<<:6<>4}|~?l7f<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7??;%755?773twvq6g>a483>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<682.>:<4>0:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;46<,<<:6<>4}|~?l7f?3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:63824>"2>80:<6sr}|9j5=g=83.9554>869U6{Wg3>7}#:981=5o4V05f>7}#=?81==5+573955=zutw0e<6m:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4n4?:%0:o60l0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+570955=#=?;1==5r}|8m4>a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96<94$442>41;0:<6*:60824>{zut1b=4?50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283:7[?8e;0x 00528=0(88>:058yx{z3`;2>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61;1]=:k52z&627<6?2.>:<4>7:~yx=n9091<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51818R41b2;q/9;<5169'137=9>1vqps4i0;6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283>7[?8e;0x 0052j1/9;?5c:~yx=n90<1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51848R41b2;q/9;<5c:&6249683>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61>1]=:k52z&62703:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?68:T23`<5s-?=>7m4$442>f=zutw0e<76:18'6<>=91=0Z?7n:0yO64?>3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4o4V05f>7}#=?81o6*:608`?x{zu2c:5o4?:%0:{M0:g?7|^l:1>v*=0382=g=Q9>o1>v*:638`?!3193i0qpsr;h3:g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;g?S70m38p(88=:b9'137=k2wvqp5f18g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90o0Z<9j:3y'134=k2.>:<4l;|~y>o6i90;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a19U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9ln:18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4;e>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1dd=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c7b4?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6e4<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm5`194?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4c;>5<0290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8o6:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8o=:18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4`a>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1f5=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=ki1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;md;295?6=8r.9554=9g9K6=cnk4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>o=4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1f7=83>1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>o?4?:483>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e<<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1b=l;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8m::18:>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=911/9;?5199~yx{<2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>3:1?7>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8ln:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6<2.>:<4>4:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo;nd;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi9o>50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9o?50;;94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m84?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4`0>5<693:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:<6*:60824>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528:0(88>:028yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;41<,<<:6<94}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63823>"2>80:;6sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:058 00628=0qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>7:&624<6?2wvqp5f1`594?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h=0Z<9j:3y'134=k2.>:<4l;|~y>o60h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98`9U52c=:r.>:?4l;%755?ed290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=k?1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l850;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k=7[?8e;0x 0052820(88>:0:8yx{z3`;j;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 0052j1/9;?5c:~yx=n91h1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519`8R41b2;q/9;<5c:&6248b83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7d:T23`<5s-?=>7m4$442>f=zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6f2<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1fg=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=jh1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;lc;295?6=8r.9554=9g9K6=coh4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>ok4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>h=4?:483>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g53_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;k2;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4f0>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1a2=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9k4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:9b;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9=1/9;?5159~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb54b>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;6183>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn98;:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=<>6=49:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;46<,<<:6<>4}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8;850;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{=;7>51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=991/9;?5119~yx{=:0c1?S70m38p(88=:028 00628:0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>0:&624<682wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=991/9;?5119~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?703-?==7?8;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+570952=#=?;1=:5r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=<519c8R41b2;q/9;<5169'137=9>1vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 00528=0(88>:058yx{z3`;3o7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627<682.>:<4>0:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f10?290:=7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81==5+573955=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63824>"2>80:<6sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:058 00628=0qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>7:&624<6?2wvqp5f1`594?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>7:&624<6?2wvqp5f19a94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<>4$442>46b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?:?4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<<=1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9h4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=?36=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{>57>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3=k0;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9n4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`02=<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<:4$442>4250z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,7}Qll09w);90;f7?!4al3?=>6s+5e:95<=zut1b>:=50;&1==<5?:1]>4o52zN1=f<6s_;m=77143_;4><,<<:6<64}|O67}#=?:1>:=4$3dg>0053t.>h54>8:~y>o5>j0;6)<68;05g>P51h09wA<6c;3xR4`62;q/>=<527a8R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5>j1/>kj55708y!3c03;37psr;n3ef?6=,;336t$3;;>7?23A83i6g>8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd4>:0;694?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0c0?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{57;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;?31<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8;<4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj:038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi?;j50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=9f;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627j0;694?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1`f?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`0g0<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2a5>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`0g2<72<0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=l8;291?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:i26=4::183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7f2=83<1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>9l:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb257>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=86;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?:950;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`03<<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>9k:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;?7);91;37?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`0<0<72?0;6=u+28:95=2<@;2n7)?n8;3b?l7??3:1(?77:0:4?S4>i3;p@?7l:0yU5c7=:r.9869U52c=:r.>:?4l;%755?e=l:1]>4o51zN1=f<6s_;m=7a5<^8=n6?u+5709g>"2>80h7psr}:kg0?6=,;336i:4V3;b>7}K:0i1=vX>f081!47:3n?7[?8e;0x 0052l1/9;?5e:~yI4>j3>pZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:639~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55738y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=l:1/>kj55708y!3c03n0q[:=4k4:&1ba<2>;1v(8j7:0;8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;=87){#=m21=55r}|9j63e=83.9554=6b9U6{W3e5?4|,;:96?8l;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+572963e<,;lo688=;|&6`=<602wvq6a>fc83>!4>03;mn65rb25f>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c14b?6=93:1=9oh07pl<8083>1<729q/>465719K6=c=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2:1>5<2290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;41<,<<:6<94}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1;4?6=<3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>7>:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6<2.>:<4>4:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo=78;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi?5l50;694?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=7c;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>6k:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2:f>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{55;294~"5110:jl5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0h7);91;a8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:ih6=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?i?50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d98g?xP58809w[jj:3y'136=l=1/>kj55708y!3c03;27psr;h047?6=,;336?9<;W0:e?4|D;3h65Y16g96~"2>;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;047>"5nm0>:?5r$4f;>4>=:?i0Z?7n:3yO64?52zTga?4|,<<;6?8l;%0e`?31:2w/9i65199~yx=h9oh1<7*=9982bg=53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8oh4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e;jl1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?i<50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:j<:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=k4;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1gg?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6ba290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`0`<<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7ag=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb2fa>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6bc290?6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>kl:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?h<50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:o86=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:o>6=46:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?h850;;94?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e<<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1fN50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5199'137=911vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?7;%755?7?3twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6c>29026=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;lk1<7850;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:?d;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb531>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;0d83>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3c3>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6d0=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?;;%755?733twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f7g629086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`1e6<7280;6=u+28:95c?<@;2n7b?ib;29 7??28li76sm2b194?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1g<<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<:4$442>4250z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,7}Qll09w);90;f7?!4al3?=>6s+5e:95<=zut1b>:=50;&1==<5?:1]>4o52zN1=f<6s_;m=77143_;4><,<<:6<64}|O67}#=?:1>:=4$3dg>0053t.>h54>8:~y>o5>j0;6)<68;05g>P51h09wA<6c;3xR4`62;q/>=<527a8R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5>j1/>kj55708y!3c03;37psr;n3ef?6=,;336t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7e2290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c0`2?6=>3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3ab>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6fc=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e:jh1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;ih6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4dl3:1;7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c0g4?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052820(88>:0:8yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>i=50;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th85?4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=66;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb2;f>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl<9283>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247::180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>78:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7<>=83>1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8544?:583>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1:e?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:3i6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>l?:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?lj50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:kn6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>kk:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo=je;297?6=8r.9554=949K6=c>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7``=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb2d2>5<4290;w)<68;53?M4?m2c:454?:%0:1/9;?5169~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e1<729q/>465719K6=co6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>4150z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=?>1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;95;295?6=8r.9554=9g9K6=c:;4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5d?=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f4gf29086=4?{%0:=2B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:mo4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e9hn1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9ho1<7950;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?nf;293?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96415Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5g6=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?m1;29=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9k81<7750;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:mn4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:h=1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9mi4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi>l650;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?o6:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=:0da?S70m38p(88=:b9'137=k2wvqp5rb3`7>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6g5=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0bb?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1f5<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm2c394?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6g3=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e:k<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;h<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4e03:187>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1ff<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg4d:3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5159'137=9=1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;i:6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd5jm0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:ko1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f7da290>6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9h:4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=:0da?S70m38p(88=:b9'137=k2wvqp5rb3g0>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6`4=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0g=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1`<<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm2ec94?>=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:;6*:60823>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570952=#=?;1=:5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41o6i:0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3f`>5<1290;w)<68;53?M4?m2c:454?:%0:o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6ab=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?k?:186>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?4h50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:o?:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=n1;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c046?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb34e>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo<80;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e;h<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:k<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg5f03:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>m?:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?o750;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:hi6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>4150z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?oj50;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1aa?6==3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;kk1<7;50;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{;:7>56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e<981<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=:86=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg27<3:1?7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a051=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f16?29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`74d<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb51`>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a01>=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c60`?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<:o1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f12729086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=>:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f12229086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`703<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>h50;:94?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c4;>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl92;297?6=8r.9554=949K6=c>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a26<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm6483>2<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f30=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi:h4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj?k1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj?h1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f3e=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2`<<72?0;6=u+28:95=2<@;2n7)?n8;3b?l7??3:1(?77:0:4?S4>i3;p@?7l:0yU5c7=:r.9869U52c=:r.>:?4l;%755?e=l:1]>4o51zN1=f<6s_;m=7a5<^8=n6?u+5709g>"2>80h7psr}:kg0?6=,;336i:4V3;b>7}K:0i1=vX>f081!47:3n?7[?8e;0x 0052l1/9;?5e:~yI4>j3>pZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:639~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55738y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=l:1/>kj55708y!3c03n0q[:=4k4:&1ba<2>;1v(8j7:0;8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;=87){#=m21=55r}|9j63e=83.9554=6b9U6{W3e5?4|,;:96?8l;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+572963e<,;lo688=;|&6`=<602wvq6a>fc83>!4>03;mn65rb0ag>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3`a?6=93:1=9oh07pl>d183>6<729q/>465719K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?ed083>6<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?k3;2954<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m;4?:%0:o60h0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+57095==#=?;1=55r}|8m4>e290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2;0:46*:6082<>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+57095==#=?;1=55r}|8m4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:h94?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:=6*:60825>{zut1b=l:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052820(88>:0:8yx{z3`;j97>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<602.>:<4>8:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;4><,<<:6<64}|~?l7f?3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n7:T23`<5s-?=>7?7;%755?7?3twvq6g>8`83>!4>03;3;6F=969U6{Wg3>7}#:981=5o4V05f>7}#=?81=55+57395==zutw0e<6m:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4n4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81=55+57395==zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2`0<728;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:038 00628;0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;:7);91;32?x{zu2c:m>4?:%0:=:0c7?S70m38p(88=:038 00628;0qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:=6*:60825>{zut1b=5o50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 0052820(88>:0:8yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=<519a8R41b2;q/9;<5109'137=981vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052820(88>:0:8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3g2?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528;0(88>:038yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5109'137=981vqps4i0c6>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?7;%755?7?3twvq6g>a783>!4>03;3;6F=969U6{Wg3>7}#:981=l84V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4l4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869U6{Wg3>7}#:981=5l4V05f>7}#=?81=55+57395==zutw0e<6l:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81=55+57395==zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=i950;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9jh4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=?3;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi>kh50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>>?:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;==1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th88n4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj:>36=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd44?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f62c29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;<81<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg53m3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c167?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f63?290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`011<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a703=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb275>5<4290;w)<68;53?M4?m2c:454?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c16a?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb27a>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=:c;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?o?50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:l=:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=m3;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1ee?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2d4>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=i8;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?kl50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:hl:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=id;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<=36=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi95:50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d98g?xP58809w[jj:3y'136=l=1/>kj55708y!3c03;27psr;h047?6=,;336?9<;W0:e?4|D;3h65Y16g96~"2>;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;047>"5nm0>:?5r$4f;>4>=:?i0Z?7n:3yO64?52zTga?4|,<<;6?8l;%0e`?31:2w/9i65199~yx=h9oh1<7*=9982bg=53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>;l4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e=>i1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=>n1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=>o1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb45e>5<4290;w)<68;53?M4?m2c:454?:%0:1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{54;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4:2>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1=4=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c74f?6=03:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c1?S70m38p(88=:b9'137=k2wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=k2.>:<4l;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4l;%755?e:3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<3n6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd21:0;6>4?:1y'6<>=:0?0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn87;:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{57;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964113:1;7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi94o50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c7:f?6=?3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6=f<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m?4?:%0:1/9;?5169~yx{o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn87::18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5:4>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0=d=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f1>?29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`7=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?4n4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:46*:6082<>{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9oi:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo:m0;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi8o<50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{hm7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9mi:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5a`>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:ld;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8h>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6ff?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5g1>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:j4;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8h;50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6f7?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7a2<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:444?:%0:=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1c?290<6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=55+57395==zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;0h7);91;a8yx{z3th?j44?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=l96=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{m?7>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3n<0;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1`1290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{m;7>56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6e0?6=<3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8:>:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{?l4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9>l50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj<9o6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;41<,<<:6<94}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9>h50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a16e=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;d8 0062o1vqps4}c02`?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`15`<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm23294?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;8:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn??i:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<=3;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb304>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a67c=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:;?1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f74129086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f74?290<6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c01e?6=03:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<602.>:<4>8:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;4><,<<:6<64}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>?l50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb30`>5<>290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9j5d3=83.9554>869U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f74c290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9>k4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<<5;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:g9'137=n2wvqp5rb313>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c005?6=93:1=9oh07pl=3283>6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e::>1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>><50;194?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?=9:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638e?!3193l0qpsr;|`172<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a66>=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb31b>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<<9;297?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c077?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f75b29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`104<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>9<50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb367>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a61g=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th98;4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e:=21<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb36:>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f72029086=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<9647;0:46*:6082<>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<602.>:<4>8:~yx=n9h?1<7*=9982<2=O:0=0Z?7n:0yO64g23_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<;c;29=?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb36g>5<>290;w)<68;53?M4?m2c:454?:%0:o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a61c=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>8>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;d8 0062o1vqps4}c065?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`117<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm24694?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;?>6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?;<:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<:7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb37g>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:a60>=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f73>290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c06f?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th99n4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`121<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?`<,<<:6k5r}|8yg42n3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62790;6<4?:1y'6<>=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:?91<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb342>5<4290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c051?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f70e29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=n2.>:<4i;|~y>{e:?<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;<<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4113:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`12=<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ce=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{mh7>53;294~"51109585G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>467>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<986=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81j6*:608e?x{zu2wi9>>50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c705?6=93:1=9oh07pl:3383>6<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8;::181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638e?!3193l0qpsr;|`613<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:183!4>0382j6F=8d9l5cd=83.9554>fc98yg3203:1?7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c646?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f10a29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`734<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5f1>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0a>=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{o?7>53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?h94?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0;7?S70m38p(88=:028 00628:0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{o;7>56;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63824>"2>80:<6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7`0<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0a?=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ag=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:964>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<729q/>465719K6=c;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ae=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9<950;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c714?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f07?29086=4?{%0:=2B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>=44?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e=8h1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi94H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8?k:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570955=#=?;1==5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5169'137=9>1vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e2<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cce>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:aef<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:aea<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76smad83>6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`a7?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fg1=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<51o1C>5k4o0da>5<#:021=kl4;|`a2?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>467>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj?l1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?4<4?:783>5}#:021=5:4H3:f?!7f03;i7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj===6=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{<;7>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3?00;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?;n4?:583>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c64`?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj==n6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c64=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c1?S70m38p(88=:b9'137=k2wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=k2.>:<4l;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4l;%755?em3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=k96=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8l750;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4i;%755?`6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624:180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9o<:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570954=#=?;1=<5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6b0?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=5o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:n6;2954<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4l;%755?e7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<:<6=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9=750;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4i;%755?`6<729q/>4652878L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f062290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c732?6=>3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=981/9;?5109~yx{=:0c1?S70m38p(88=:038 00628;0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>1:&624<692wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=981/9;?5109~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2;0:46*:6082<>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+57095==#=?;1=55r}|8m4>d290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`655<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg36<3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052o1/9;?5f:~yx=zj<:o6=4<:183!4>038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi9=h50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a147=83;:6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=5o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+57095==#=?;1=55r}|8m4>e290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96<64$442>4>o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+57095==#=?;1=55r}|8m4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>=?4?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g43_;4><,<<:6<64}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:0:8 0062820qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>8:&624<602wvqp5f1`594?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>8:&624<602wvqp5f19a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91i0Z<9j:3y'134=911/9;?5199~yx{o60l0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8?<:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;=6;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb40`>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:a171=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd2:h0;684?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9?l50;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>4150z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{297>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn97m:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5f:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5;0>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:64;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:67;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi84650;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>8:&624<602wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?et$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62729096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=n2.>:<4i;|~y>{e83:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627n2B94h5`1g`94?"5110:jo54}c194?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f0<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb783>3<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c594?1=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528:0(88>:028yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi47>51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=991/9;?5119~yx{=:0c1?S70m38p(88=:028 00628:0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m94?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?773-?==7??;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+570952=#=?;1=:5r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2;0h7);91;a8yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7<72>0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+5709g>"2>80h7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0h7);91;a8yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cg1>5<0290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:5k4?:%0:o6i:0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{em:0;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:038 00628;0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<9647d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zjl>1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8m4g1290/>4651958R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=5o50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528;0(88>:038yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f`3=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528;0(88>:038yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5199'137=911vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{em?0;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g33_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:0:8 0062820qpsr;h3b3?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4l4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:038 00628;0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thn47>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vnh750;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cgb>5<2290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;4><,<<:6<64}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>8:&624<602wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbda94?>=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f`b=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570954=#=?;1=<5r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=55+57395==zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7b:T23`<5s-?=>7m4$442>f=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;f=#=?;1o6sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81o6*:608`?x{zu2c:4h4?:%0:{M0:g?7|^l:1>v*=0382<`=Q9>o1>v*:638`?!3193i0qpsr;h3;b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbdg94?0=83:p(?77:0db?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4l;%755?e8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{en90;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528?0(88>:078yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;36?!3193;>7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thm=7>55;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fc4=83?1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:ab1<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:=:0c0?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{6=48:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fc0=83=1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbg594?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`eN50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570950=#=?;1=85r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<;4$442>43290?6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cdb>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:6382<>"2>80:46sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qohm:186>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{enj0;684?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;4><,<<:6<64}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wijh4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{eno0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`244<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo??2;29=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:=6*:60825>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8:86=47:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a552=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:038 00628;0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e0483>3<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5c:&6249g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f46129096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e99=1<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9921<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9931<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<>n:186>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c33f?6==3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<>l:185>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`24a<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg77m3:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e0g83>7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c324?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528;0(88>:038yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5109'137=981vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<692.>:<4>1:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;4><,<<:6<64}|~?l7?i3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:0:8 0062820qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e<4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570954=#=?;1=<5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:9647;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>1:&624<692wvqp5f1`794?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h?0Z<9j:3y'134=981/9;?5109~yx{2\:;h4={%756?763-?==7?>;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>1:&624<692wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=981/9;?5109~yx{=:0:a?S70m38p(88=:038 00628;0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81=<5+573954=zutw0e<6i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5=4?:%0:92\:;h4={%756?7?3-?==7?7;|~y>o61;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<4<^8=n6?u+57095==#=?;1=55r}|8m4?4290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7<;W34a?4|,<<96n5+5739g>{zut1b=4;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<3<^8=n6?u+5709g>"2>80h7psr}:k2=3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5;5Y16g96~"2>;0h7);91;a8yx{z3`;2;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528337[?8e;0x 0052j1/9;?5c:~yx=n9031<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518;8R41b2;q/9;<5c:&6249`83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61h1]=:k52z&627j3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6b:T23`<5s-?=>7m4$442>f=zutw0e<7l:18'6<>=91=0Z?7n:0yO64?d3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4j4V05f>7}#=?81o6*:608`?x{zu2c:5h4?:%0:{M0:g?7|^l:1>v*=0382=`=Q9>o1>v*:638`?!3193i0qpsr;h3b4?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c2?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9881<7<>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{=:0c7?S70m38p(88=:038 00628;0qpsr;h3b1?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:m;4?:%0:=:0c4?S70m38p(88=:038 00628;0qpsr;h3;e?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4o4?:%0:;|~y>o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+570954=#=?;1=<5r}|8m4>b290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=<519d8R41b2;q/9;<5199'137=911vqps4i0;3>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?60:T23`<5s-?=>7?>;%755?763twvq6g>9083>!4>03;3;6F=969U6{Wg3>7}#:981=4?4V05f>7}#=?81=55+57395==zutw0e<7=:18'6<>=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:5>4?:%0:{M0:g?7|^l:1>v*=0382=6=Q9>o1>v*:638`?!3193i0qpsr;h3:1?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;5?S70m38p(88=:b9'137=k2wvqp5f18594?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90=0Z<9j:3y'134=k2.>:<4l;|~y>o6110;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9999U52c=:r.>:?4l;%755?e12\:;h4={%756?e<,<<:6n5r}|8m4?f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7n;W34a?4|,<<96n5+5739g>{zut1b=4l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=f<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5n5Y16g96~"2>;0h7);91;a8yx{z3`;2h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283n7[?8e;0x 0052j1/9;?5c:~yx=n9h:1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`28R41b2;q/9;<5c:&624a083>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i81]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?>3;2964<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6g>a583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<692.>:<4>1:~yx=n9h?1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?>;%755?763twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?7b:T23`<5s-?=>7?>;%755?763twvq6g>8b83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627<692.>:<4>1:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5109'137=981vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 00528;0(88>:038yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=5<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51828R41b2;q/9;<5109'137=981vqps4i0;2>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?61:T23`<5s-?=>7?7;%755?7?3twvq6g>9383>!4>03;3;6F=969U6{Wg3>7}#:981=4<4V05f>7}#=?81=55+57395==zutw0e<7<:18'6<>=91=0Z?7n:0yO64?43_;f=#=?;1o6sr}|9j5<3=83.9554>869U6{Wg3>7}#:981=4;4V05f>7}#=?81o6*:608`?x{zu2c:5;4?:%0:{M0:g?7|^l:1>v*=0382=3=Q9>o1>v*:638`?!3193i0qpsr;h3:3?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;;?S70m38p(88=:b9'137=k2wvqp5f18;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9030Z<9j:3y'134=k2.>:<4l;|~y>o61h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99`9U52c=:r.>:?4l;%755?ej2\:;h4={%756?e<,<<:6n5r}|8m4?d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7l;W34a?4|,<<96n5+5739g>{zut1b=4j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5h5Y16g96~"2>;0h7);91;a8yx{z3`;j<7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c2>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k:7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<692.>:<4>1:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528;0(88>:038yx{z3`;j97>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`48R41b2;q/9;<5109'137=981vqps4i0c4>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n7:T23`<5s-?=>7?>;%755?763twvq6g>8`83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60h1]=:k52z&627<692.>:<4>1:~yx=n91h1<7*=9982<2=O:0=0Z?7n:0yO64>e3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=b=83.9554>869K6<1<^;3j6=:0:g?S70m38p(88=:038 00628;0qpsr;h3;a?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4>1:&624<692wvqp5f19d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91l0Z<9j:3y'134=911/9;?5199~yx{82\:;h4={%756?763-?==7?>;|~y>o6180;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<7<^8=n6?u+57095==#=?;1=55r}|8m4?5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5>5Y16g96~"2>;0h7);91;a8yx{z3`;297>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;5>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283=7[?8e;0x 0052j1/9;?5c:~yx=n90=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51858R41b2;q/9;<5c:&6249983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6111]=:k52z&62713:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?69:T23`<5s-?=>7m4$442>f=zutw0e<7n:18'6<>=91=0Z?7n:0yO64?f3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4l4V05f>7}#=?81o6*:608`?x{zu2c:5n4?:%0:{M0:g?7|^l:1>v*=0382=f=Q9>o1>v*:638`?!3193i0qpsr;h3:`?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;f?S70m38p(88=:b9'137=k2wvqp5f1`294?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h:0Z<9j:3y'134=k2.>:<4l;|~y>o6i80;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a09U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=<850;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e1683><<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:9647o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:038 00628;0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5f1`794?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h?0Z<9j:3y'134=981/9;?5109~yx{=:0c5?S70m38p(88=:0:8 0062820qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c32=?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:;|~y>o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:96472\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;36?!3193;>7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:96*:60821>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a54`=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>?4?:483>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>>4?:683>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a573=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?=7;290?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f44?290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9;k1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>o4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a57e=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9;n1<7=50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c31b?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?<0;292?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a567=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:038 00628;0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96<64$442>4>;0:=6*:60825>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<692.>:<4>1:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?7;%755?7?3twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m:4?:%0:{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:6382<>"2>80:46sr}|9j5=g=83.9554>869K6<1<^;3j6=:0:b?S70m38p(88=:0:8 0062820qpsr;h3;f?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>1:&624<692wvqp5f19a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91i0Z<9j:3y'134=k2.>:<4l;|~y>o60m0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98e9U52c=:r.>:?4l;%755?ea290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a562=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528;0(88>:038yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<692.>:<4>1:~yx=n9h?1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81=55+57395==zutw0e<6k:18'6<>=91=0Z?7n:0yO64>c3_;f=#=?;1o6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81o6*:608`?x{zu2c:4k4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=981/9;?5109~yx{=:0c1?S70m38p(88=:038 00628;0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>1:&624<692wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9647;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958R7?f28qG>4m51zTf4?4|,;:964>o60k0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+570954=#=?;1=<5r}|8m4>d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<64$442>4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:?;4?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528;0(88>:038yx{z3`;j97>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<602.>:<4>8:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;4><,<<:6<64}|~?l7f?3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:63825>"2>80:=6sr}|9j5=g=83.9554>869K6<1<^;3j6=:0:b?S70m38p(88=:0:8 0062820qpsr;h3;f?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4n4?:%0:=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=>650;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3883>1<729q/>465719K6=c;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<964750z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<=m:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj89h6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f45c290?6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<=i:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8>:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<:=:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?;3;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb067>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63821>"2>80:96sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=85+573950=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f422290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=55+57395==zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0;e?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{55;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:0:8 0062820qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4683>3<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c373:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42>290=6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9=k1<7950;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42e29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9=i1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;>7);91;36?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63821>"2>80:96sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=9j50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=911/9;?5199~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+57095==#=?;1=55r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:8h4?:983>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42a29036=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a506=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?:1;29=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:0:8 0062820qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9<81<7950;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f43429096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9<>1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;>7);91;36?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63821>"2>80:96sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=8;50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb075>5;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f43029036=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a50>=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5199'137=911vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c36=?6=13:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:46*:6082<>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`21g<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg72k3:1;7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`21`<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e5g83>2<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;?50;494?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c356?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f40429086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6=2.>:<4>5:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5149'137=9<1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj803=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::84?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;850;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?7;%755?7?3twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g43_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?97;293?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5199'137=911vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::54?:983>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::44?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9?k1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::o4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;m50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9?n1<7950;2x 7??2>:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:6382<>"2>80:46sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6d83>2<729q/>465719K6=c;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f417290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;<4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?82;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5149'137=9<1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528?0(88>:078yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c347?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;94?:283>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a523=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<99:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647;0:46*:6082<>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?88;293?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;44?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?8a;291?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;4><,<<:6<64}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?7;%755?7?3twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=z{0l1<7=t=`295ce:0da?854;3;3463<3582<==:;:?1=564=215>4>?34>ii7?78:?7fc<601168n>519:891e6282370<92;3;<>;6980:455210095=><58;86<67;<320?7?02wx454?:2y><<<6nj1U4552b082<2=z{k;1<7:n{<`2>4`e34??n7?78:?60f<6011699j519:8902b282370::2;3;<>;3=:0:45522g295=><5;l:6<67;<13e?7?0278>44>899>77g=91201>4>>349?<7?78:?767<601168oj519:891db282270:mf;3;=>;3k90:44524b395=?<5=<<6<67;<65899>6ae=912019:;:0:;?87c;3;3463>d582<==:9m?1=564=0f5>4>?348=57?78:?7`2<601168:m519:891g4282370:n4;3;<>;3i<0:45524`495=><5=k<6<67;<73>l4>899>17d=9120184>899>7?78:?f7?7?027n87?78:?f1?7?027n:7?78:?f`?7?027m=7?78:?e0?7?027mn7?78:?244<60116==<519:89464282370??4;3;<>;6990:455210395=?<58;96<66;<327?7?127:=94>889>54>=912011c82<==:9;91=564=011>4>?34;8?7?78:?271<60116=>;519:8945128237p}74;297~;?=3;mo6P74:?be?7??2wxml4?:3`x9dg=9oh018:k:0::?833m3;3563<2`82<<=:;;h1=574=210>4?3349887?64:?070<61=16?>85186891dc282270:me;3:0>;3jo0:59524b295<2<5=i:6<7;;<653?7?127?:54>889>7<1=91201d482<<=:9m<1=574=34b>4>?34kn6<67;4>>34?;47?79:?;>4>>34o86<66;4>>34o>6<66;4>>34oo6<66;<333?7?027:==4>889>547=90>011582=1=:9:81=574=010>4>>34;887?79:?270<60016=>8519;8945>28237p}72;297~;?;3;mo6P72:?b=?7??2wxm44?:3;x9d?=9oh018:k:0;7?833m3;2863<0b82<==:;;k1=4:4=20a>4?33498?7?6f:?071<61o16?>;518d89651283m70:md;3:0>;3jl0:5k524cd95<`<5=i;6<7i;<6`5?7>n27?::4>959>03>=90>01>77:0:;?87c;3;2863>d582=1=:9m?1=4:4=0f5>4?3348=?7?78:?64=<61=1647?64:?f7?7><27n87?64:?f1?7><27n:7?64:?f`?7><27:==4>959>547=90l011582=c=:9;<1=564=011>4?334;8?7?64:?271<61=16=>;518689451283?7p}70;297~;?93;mo6P70:?b=9oh018:k:0;e?833m3;2j63<0e82<==:;;k1=4h4=20a>4?a3498?7?n2:?071<6i;16?>;51`08965128k970:md;3:b>;3jl0:m?524cd95d4<5=i;69g9>03>=90l01>76:0:;?87c;3;2j63>d582=c=:9m?1=4h4=0f5>4?a348>n7?78:?64=<61o1647?6f:?f7?7>n27n87?6f:?f1?7>n27n:7?6f:?f`?7>n27:==4>9g9>547=9h80163>1582e7=:9;=1=564=011>4?a34;8?7?6f:?271<61o16=>;518d89451283m7p}8e;297~;0n3;mo6P8e:?b3?7??2wxm:4?:3;x9d1=9oh018:k:0c1?833m3;j>63<2`82e7=:;;h1=l<4=210>4g4349887?n3:?070<6i:16?>851`1891dc28k970:me;3b7>;3jo0:m>524b295d5<5=i:6a39>763>d482e7=:9m<1=l<4=377>4>?34?;47?n2:?;>4g534o864g534o>64g534oo6a39>547=9h9011582e6=:9:81=l<4=010>4g534;887?n2:?270<6i;16=>851`08945f28237p}8c;297~;0l3;mo6P8c:?b2?7??2wxm;4?:dy>e3<6nk16?>=51`68965328k?70=<5;3b0>;4;?0:m9524cg95d2<5=hm6a59>61>=91201:0c7?876:3;j863>1282e1=:98>1=l:4}r5;>5<4s4=261=l;4=216>4g23498:7?n5:?7f`<6i<168oh51`7891e728k>70:l1;3b1>;5;h0:455210395d3<58;96a49~w=`=839p14>51ga8Z=`<5k31=594}r`:>5<0:r7i57?ib:?715<6011688?519:8900a282370;80;3;<>;dk3;3463>e382<==:9l91=564=0g7>4>?348m<7?79:?1b4<60016?=o519;8966d282270=?d;3;=>;48l0:455230d95=><5:8;6<67;<114>a79>762=9h<01>=::0c5?854>3;j:63<4082<==:<;81=574=507>4>?34?3h7?78:?6<`<601168n>51`4891e628k=70;n7;3;<>;2i10:45525`;95=><5n>4>899>1g3=912018l9:0:;?83c83;3463;6482<==:4>>3492;7?79:?0==<60016?47519;896?f282270=6b;3;<>;5lm0:455245695=?<5<2:6<67;<7;6?7?027>5n4>899>67>=91201?<6:0:;?845i3;3463=2c82<==::=h1=564=36`>4>?34>o:7?78:?65f<601169;3i=0:44524`795=?<5=k=6<66;<6b3?7?127>=<4>899>144=912018899>a<<60116il4>899>af<60116j84>899>bf<60116==?519;89465282270??3;3;=>;68=0:445211595=?<58:36<66;<33=?7?027:899>55d=91201:0c5?876:3;j:63>1282e3=:98>1=l84=034>4>?34;9=7?78:?267<60116=?8519;89440282270?=8;3;<>;6:l0:455213d95=><589?6889>56g=91301<=m:0:;?874k3;3463>3e82<==:9=n1=564=06f>4>?34;=87?78:?22g<60116=;m519:8940a282370?86;3;<>;6?>0:455rs9a94?5|51n1=km4^9a89g>=91=0q~l7:18;3~;e03;mn63:4c82<<=:==i1=574=46g>4g434??i7?n3:?717<6001688=519;8900a282270;80;3;=>;dk3;3563=f182=1=::o;1=4:4=22b>4?3349;i7?79:?05c<60016??>519;8964>282270==a;3b7>;4:k0:m>5232195d1<5:9?6a69>714=9120186k:0::?83?m3;3563;be82e6=:4g134>h<7?n7:?7g4<6i>169n:519:890e2282370;m1;3;=>;2j;0:44525c195=?<56<66;<7a2?7?127?::4>a29>03>=9h901?j=:0;7?85>j3;3563=dd82<==:9m91=l=4=0f7>4g434;o97?n3:?2`3<6i:16946519:890?>282370;6c;3;=>;5:10:445223;95=?<5;8j6<66;<01f?7?12798o4>889>61e=91301?:k:0:;?82c?3;3563;d882<==:4>?34?:o7?79:?65a<600168l=5186891g3283?70:n5;3:0>;3i?0:59524`595<2<5<:36=?4>889>17g=90>01979:0:;?82>?3;3463;9982<==:=3;35639:0::?81=9130154>a29>a7<60016i>4>a29>a1<6i:16i84>a29>a3<6i:16io4>899>af<60016ii4>a29>b7<60116j94>889>b0<60016==?518689465283?70??3;3:0>;68=0:595211;95=?<58:j6<66;<324?7f;27:=<4>a69>544=9h=011982<<=:9831=574=03b>4>>34;:n7?79:?266<60016=?6519;8944b282270?=f;3;=>;6;;0:m>5212195d5<589?6a29>56d=91301<=l:0::?873l3;3563>4d82<<=:9=l1=564=073>4>?34;>=7?78:?210<60116=88519:89430282370?:c;3;<>;6=m0:455214g95=><58?m6<67;<354?7?027::84>899>53d=91301<8l:0::?871l3;3463>6d82<==:9?l1=574}r:b>5<4s42i6h6<7;;<666?7><27?9>4>959>gf<61=16>k>518d897`6283m70=?a;3:b>;48j0:595231f95<2<5::n6<7;;<114?7><278>44>959>77d=9h>01>=<:0:b?854<3;3m63<34824>?34>987?79:?7fa<6i=168ok51`5891da28k<70:l0;3;e>;3k80:4l525b695=?<5<27>n;4>959>031=9h>01987:0c7?84c:3;2j63<9682=1=:;021=4:4=2;:>4?33492m7?64:?0=g<61=16>ih519:894b228k?70?k6;3b0>;21h0:455258`95=><5<3h6<7;;<01e?7><279>o4>959>61d=90>01?:l:0;7?82c?3;2863;d882<<=:=8n1=4:4=c495=><5k<1=574=5c0>4?a34>j87?6f:?7e0<61o168l8518d891g0283m70;?8;3b0>;2980:595250095<2<5<8j6<7i;<71f?7><27?5;4>889>1?7><27<6<7;;4?334o>64g334oh6<7;;4>?34l?6<7;;4>?34;;=7?6f:?247<61o16===518d89463283m70??7;3:0>;6810:595211;95<2<58:i6<66;<324?7f<27:=<4>8`9>544=91k011982=1=:9831=4:4=03b>4?334;:n7?64:?266<61=16=?8518689440283?70?=8;3:0>;6:l0:595212695d3<589>6959>56g=90>01<=m:0;7?874l3;3563>4g82<<=:9<:1=574=07`>4>>34;>h7?79:?22c<61=1v:o50;1x92d=9oi0R:o4=`795=16=4j{4`e3498?7?7b:?071<60k16?>;519`89651282i70:me;3;e>;3jo0:4l524b295=d<5=i:6<6m;<075?7?027:=<4>8c9>544=91h016}:?>0:jn5Q779>e6<60>1vl=50;gx9d5=9oh01>=<:0:`?854<3;3o63<34824>e34>ij7?7b:?7g5<60j168n?519a89754282370?>1;3;g>;69;0:4n5210195=e<58;?6<6l;|q40?6=;r7<97?ic:\40>;f:3;3;6s|a383>`}:i;0:jo5232195=b<5:9?6<6k;<101?7?l278?;4>8e9>0gc=91i019li:0:`?82d83;3h63;c0824>c34;:?7?7d:?251<60m16=9>519:8yv152908w09<:0d`?[1534k:6<68;|qb5?6=mr7j=7?ib:?076<60l16?>:519g89652282n70=<6;3;a>;3jl0:4i524cd95=b<5=i;6<6j;<6`5?7?m279>=4>899>547=91o011582<`=z{m?1<7:t=e495ces4;3?7?ib:?614>7j;;<16a?b3349i:7j;;<634?b334>nn7j;;|q2<5<72hq6=5>51g`896d72m>019>9:e68962d2m>01>;7:e6896`f2m>0196j:e6891ea2m>019h6:e6890262m>0q~j8:1878b?28lh7Sj8;<3;6?7??27:;k4>869~w4>52909jv3>8382bg=::oi1h9524479`1=:=><1h9522d`9`1=:ko0o863>e78g0>;50;0o863=f28g0>;6kk0o863>f18g0>;50j0o863<108g0>;4900o863<238g0>;4:m0o863<398g0>;4<<0o863;188g0>;3:?0o863;328g0>;3;k0o863:918g0>;3k:0o863:ac8g0>;2k10o863:b88g0>;2l?0o863;6`8g0>;3=l0o863;138g0>;5i<0o863=c98g0>;5l<0o863:698g0>;5ij0o863=b28g0>;5jh0o863=c08g0>;5m;0o863=738g0>;3<10o8639e;f7?87c13n?70;74;f7?83>m3n?70:71;f7?xu6?o0;6>u216d95cd<5::86i:4=5`7>a23>7>53z?7<6<6nj1U85<4=5:7>4>03ty?494?:4fx91>328li70;;b;3b7>;2<5=>m6<66;<664?7>n27?9?4>a29>13c=9120188i:0;7?8e0282370m6:0;7?87b:3;2j63>e282=c=::>k1=564=35a>4>?34856519:897>>282370=>6;3;<>;49l0:455233595=><5:996<67;<10b?7?027?>n4>899>07c=90>0186n:0:;?83?j3;3463:8e82=1=:=h=1=574=4`2>4?334?i?7?6f:?6f0<61o168;;518d8910028k<70;5ko0:445257495=><5=>=6<67;<3g7?7f>27:h84>a69>757=91201>>>:0::?83?93;3563:9982<<=:=031=574=4;b>4>>34?2o7?6f:?16=<61=16>?o518d8974d282370<;b;3:b>;3no0:455252095=><59g9>14e=90>018?k:0;e?820n3;3463;a582e7=:4>?34?;j7?78:?654<61o16847519:89`5=9h<01h;51`589467282370??e;3;<>;6910:m>5210;95d5<588:6<7i;<317?7f;27:>o4>899>564=9h<01<=;:0c4?874>3;j;63>4682<==:9=21=564=06:>4>?34;?h7?64:?20c<61=16=8?519;89431282270?:8;3;<>;6=00:455214a95<2<58?n6<66;<354?7?127::54>899>53d=90>01<99:0::?xu2=m0;6>u254g95ce{t=8i4>8c9>11c=91h01e582e6=:;;k1=l;4=20a>4g13498?7?60:?071<61916?>;518289651283;70:md;3b2>;3jl0:4k524cd95=`<5=i;6<7?;<6`5?7>827?::4>8b9>03>=91i01d4824>>34?8>7?79:?7`3<61=168i951`089061282270;?8;3;g>;28o0:44528;3b1>;b;3;3m63j4;3;e>;b=3;3n63j6;3;f>;bl3;j963>0c82=1=:98:1=l84=032>4?734;:>7?60:?256<61916=<:51828947028k870?>8;3b1>;6900:m85210c95d3<58;i6i4>899>564=91h01<=<:0:a?874<3;3n63>34824?33ty?ml4?:2y>0dd=9oi0R9on;<6bg?7??2wx8lm50;fx91gd28li70?mb;3;=>;6jj0:44521cf95=?<58hn6<66;<3ab?7?12788l4>899>71g=91301>;?:0:;?85283;3563;8b82<<=:4>>3tyo57>54z?ge?7ak2To563kb;3;3>;6080:4:5rse`94?7es4ni6<27:nn4>959>5gb=90>014>?349h57?78:?0`a<60116?h;519:896c1282370=j7;3;<>;4m10:45523d;95=><5:l96<67;<3a4?7?027:n<4>899>5g4=91201>lm:0:;?85ek3;34634?334>n;7?64:?7a=<61=1v<6>:18187?93;mn63{t=;;1<7:t=401>4`d3W?9=63:2282<2=:91?1=594}r717?6==r7>>>4>fc9>7c>=91201>h7:0::?85am3;34636=4={<3;1?7aj279j94>899~w1cd2908w0:jd;3eg>X3mj168hk51958yv2bm3:19v3;ed82bg=:9j21=574=534>4>?34>:;7?79:?643<61=1v8=;:180834=3;mo6P:359>160=91=0q~;<6;291~;2;?0:jo521df95=?<5=926<67;<60=?7?127>959~w41e2908w0?8c;3eg>X6?k16=:j51958yv70l3:1?v3>7e82bg=:;h81=564=2c1>4>>3ty>9l4?:2y>10d=9oi0R8;n;<76g?7??2wx98m50;7x903d28li70:?4;3;<>;38=0:445241c95=><5=:j6<66;|q7g0<72:q68n851ga8Z1e234>h;7?77:p0f1=83?p19m8:0da?852>3;3463<5782<<=:;4>>3ty3:7>53z?;3?7ak2T3:63m0;3;3>{tj90;6?u2b182bg=::;;1=574}r;2>5<4s43964082<<=z{091<7=t=8695ce6}:1?0:jn5Q949>ff<60>1vom50;0x9ge=9oh01?=m:0::?xu>?3:1?v368;3eg>X>?27ih7?77:pfa<72;q6ni4>fc9>614=9130q~76:1808?f28lh7S76;<`f>4>03tyii7>52z?aa?7aj279844>889~w5<5s4hm6=`<6nj1U5i52c182<2=z{j:1<77?ib:p113=838p18:=:0:4?833=3;mn6s|4c794?5|5=h=6;3j<0:jo5rs5`4>5<5s4>i97?77:?7f2<6nk1v96::18082?>3;mo6P;849>0=3=9oh0q~:77;296~;30<0:4:5249595cdjh7>53z?7e`<6nj1U8lj4=5cg>4`e3ty?mk4?:3y>0db=91=019oi:0da?xu3k10;6>u24b;95ce{t4>034>hm7?ib:p0ac=839p19ji:0d`?[2cm27?hh4>fc9~w1c72909w0:ke;3;3>;3m90:jo5rs5ge>5<4s4>m<7?ic:\7ac=:869>0c7=9oh0q~;<7;297~;2;10:jn5Q5258905028li7p}:3883>7}:=:=1=594=41:>4`e3ty9<>4?:2y>652=9oi0R?><;<037?7aj2wx>c;3ef>{t:9k1<7=t=32a>4`d3W8;m63=0`82bg=z{;886=4={<03e?7??279>>4>fc9~w76d2908w0X58j16>=m51g`8yv45n3:1>v3=0b82<2=::;l1=kl4}r03a?6=;r79fb9]65c<5;:n6=k51958975128li7p}=1183>6}::8;1=km4^333?84683;mn6s|22f94?4|5;;;6<68;<00`?7aj2wx><<50;1x977428lh7S<>2:?157<6nk1v?:;:181846:3;3;63=4582bg=z{;;?6=4<{<021?7ak2T9=95220695cd<7>52z?151<60>16>8>51g`8yv46>3:1?v3=1682bf=Y:8<01??9:0da?xu5=>0;6?u220495=1<5;?<6<751ga8Z77?348:47?ib:p60c=838p1??7:0:4?842m3;mn6s|20c94?5|5;;i6;59h0:jo5rs346>5<5s48:m7?77:?120<6nk1v9hn:18082aj3;mo6P;f`9>0cg=9oh0q~:ic;296~;3nh0:4:524ga95cd53z?66`<6nj1U9?j4=40g>4`e3ty>>k4?:3y>17b=91=018u254695ce{t=4>034?>97?ib:p03e=839p198k:0d`?[21k27?:n4>fc9~w10b2909w0:9c;3;3>;3>l0:jo5rs5f3>5<4s4>o=7?ic:\7`5=:869>0a4=9oh0q~;>5;297~;29?0:jn5Q5078907228li7p}:1683>7}:=8?1=594=434>4`e3ty9<54?:2y>65?=9oi0R?>7;<0365>=91=01ll51g`8yv47=3:1?v3=0782bf=Y:9?01?>::0da?xue;3:1>v3=0482<2=:j:0:jo5rs550>5<4s4><87?ic:\736=:<>91=kl4}r641?6=:r7?;>4>869>023=9oh0q~:6c;297~;31m0:jn5Q48a891?d28li7p};9d83>7}:<0i1=594=5;f>4`e3ty><<4?:2y>154=9oi0R8>>;<735?7aj2wx9==50;0x9066282<70;?3;3ef>{t=9k1<7=t=42a>4`d3W?;m63:0`82bg=z{<:h6=4={<73e?7??27>fc9~w0432908w0;=5;3eg>X2:=169?:51g`8yv35>3:1>v3:2582<2=:=;<1=kl4}r6;b?6=;r7?5=4>fb9]0=`<5=2m61}:==?1=594=467>4>034?>>7?79:?614<6nk1v8:;:187833<3;mn63:7382<==:=>91=564=43e>4>?3ty>8k4?:3y>11?=91=018:i:0da?xu2<00;6>u255;95cd<5=<86<67;<657?7?12wx99850;0x9035282370;;6;3ef>{t=<81<74`e34?>=7?77:p5=0=83k>w0?76;3ef>;2=809;>52543963e<5;lh6?9<;<0eg?41k27?984=729>003=:?i01899:350?830>38=o63=ec8136=::lh1>;m4=bd9625<5jl1>;m4=0a2>71434;h=7<9c:?2a3<5?:16=h8527a897>52;=870<72;05g>;5n=0:44522g19625<58ii6?9<;<3`f?41k27:j=4=729>5c6=:?i01?6l:350?84?k38=o63<108136=:;8;1>;m4=23:>714349:57<9c:?067<5?:16??<527a8964c2;=870==d;05g>;4;109;>5232:963e<5:>>6?9<;<171?41k27?=44=729>04?=:?i019<9:350?825>38=o63;328136=:<:91>;m4=51a>71434>8n7<9c:?6=5<5?:1694>527a891e42;=870:l3;05g>;2ik09;>525``963e<5n44=729>1g?=:?i018j9:350?83c>38=o63;6`8136=:;m4=57f>71434>>i7<9c:?022<5?:16?;9527a896162;=870=81;05g>;4kk09;>523b`963e<5:=h6?9<;<14g?41k278484=729>7=3=:?i01>7?:350?85>838=o63;m4=2f4>714349o;7<9c:?0`c<5?:16?ih527a896cd2;=870=jc;05g>;39;09;>52400963e<5;k>6?9<;<0b1?41k279o54=729>6f>=:?i01?j::350?84c=38=o63<9d8136=:;0o1>;m4=2`3>714349i<7<9c:?0b1<5?:16?k:527a8900?2;=870;98;05g>;6j=09;>521c6963e<5;kh6?9<;<0bg?41k279n>4=729>6g5=:?i01?ln:350?84ei38=o63=c08136=::j;1>;m4=3g1>714348n>7<9c:?0e1<5?:16?l:527a897152;=870<82;05g>;4ih09;>523`c963e<5:i;6?9<;<1`4?41k27?<;4=729>050=:?i019>l:350?827k38=o63;498136=:<=21>;m4=7:9625<5?21>;m4=7g9625<5?o1>;m4=0f:>71434;o57<9c:?046<5?:16?==527a8962d2;=870=;c;05g>;4=;09;>52340963e<5:?36?9<;<1670c=:?i01>l9:350?85e>38=o63;m4=523>71434>;<7<9c:?6<1<5?:1695:527a890?b2;=870;6e;05g>;30l09;>5249g963e<5=h?6?9<;<6a0?41k27?ok4=729>0f`=:?i019km:350?82bj38=o63;f88136=:;m4=462>71434??=7<9c:?7<4<5?:1685?527a8yv4>83:1=n?t=3;3>4`e34?>=7j<;<77`?7?k27>8i4>8e9>11b=91o018:k:0:e?833m3;3o63:4d824>a34>>97j<;<742?b434?;2?:0:4h5256195=`<5;oi6i=4=bd9`6=:kj0:m:52cb82522909`6=::>h1=5o4=35a>4>e348:l519g8971e282m70<8c;3;e>;5?j0:4o5226a95=e<5;=h6<6k;<04g?7?m279;n4>8g9>6c5=l:16>5m5d29>6=?=91h01?66:0:`?84?13;3h63=8882<`=::131=5h4=3:b>4>e3483m7?7c:?15o519g897>f282m70=>1;f0?85613n870==2;f0?855l3n870==a;3;e>;4:h0:4o5233c95=e<5:8j6<6k;<11e?7?m278>l4>8g9>77d=91i01>5232195<5<5:986<7:;<107?7>>278?>4>969>765=90201>=<:0;:?854;3;2m63<3282=g=:;:91=4m4=210>4?c3498?7?6e:?076<6i916?>=51`389653283870=<4;3:1>;4;=0:5;5232695<1<5:9?6<77;<100?7>1278?94>9`9>762=90h01>=;:0;`?854<3;2h63<3582=`=:;:>1=l>4=217>4g6349897?63:?070<61<16?>;518489652283<70=<5;3:<>;4;<0:5452327956<7m;<101?7>k278?84>9e9>763=90o01>=::0c3?854=3;j=63<3782=6=:;:<1=4;4=215>4?13498:7?67:?073<61116?>8518;89651283j70=<6;3:f>;4;?0:5n5232495a09>713=l:168?85d29>065=l:1694>5d29>1=d=91k0186m:0:a?83?j3;3o63:8c824>a34?3o7?7a:?6d282o70;7c;3;a>;20j0:4k5259f95=b<5<2o6<6j;<7;`?7?n27>4h4>8e9>1=c=91o0186j:0:e?82d;3n870:md;3;`>;3jm0:4h524cf95=`<5=hn6<7<;<6aa?7>=27?nh4>979>0gc=90=019lj:0;;?82em3;2563;bd82=d=:4?d34>ii7?6d:?7f`<61l168ok51`2891db28k:70:mf;3:7>;3jo0:58524cd95<0<5=hm6<78;<6ab?7>027?nk4>989>0g`=90k019li:0;a?82en3;2o63;bg82=a=:4g734>ij7?n1:?7g5<61<168n>5184891e7283<70:l0;3:<>;3k90:54524b295k27?o=4>9e9>0f6=90o019m?:0c3?82d83;j=63;c082=0=:4?034>h=7?68:?7g4<610168n?518c891e6283i70:l1;3:g>;3k80:5i524b395mo4k3:?6g=n44k3:?6f6<6i>169o=519c890d4282i70;m3;3;g>;2j:0:4i525c195=c<5n84>8`9>1g3=91h018l::0:`?83e=3;3h63:b482<`=:=k?1=5h4=4`5>4g034?i:7?7a:?6f3<60k169o8519a890d1282o70;m6;3;a>;2j?0:4k525e49`6=:5247595=b<5=<<6<6j;<653?7?n27?:54>8e9>03>=91o01987:0:e?822m3n870=97;f0?85093n870=lb;f0?850k3n870=75;f0?85>83n870=k1;f0?85c?3n870=kf;f0?85bk3n870:>2;f0?84f=3n8705a?=l:16=i=519g894b4282m70?k4;3;a>;6l=0:4k521e795=`<58n=6<6i;<137?b4349?o7j<;<166?b4349>47j<;<16a?b4349i:7j<;<1ee?b434>;<7j<;<7;0?b434?2i7j<;<6;a?b434>i87j<;<6`b?b434>nn7j<;<6e=?b434??=7j<;<6;5?b434>j87?n7:?7e1<60h168l:519`891g3282h70:n4;3;`>;3i=0:4h524`695=`<5=k>68c9>0d3=91i019o::0:g?82f=3;3i63;a4824>f34>j:7?7b:?7e3<60j168l8519f891g1282n70:n6;3;b>;3i>0:m:524`595=g<5=k<6<6m;<6b3?7?k27?m:4>8e9>0d1=91o019o8:0:e?83703;3h63:0982<`=:=921=5h4=432>4>b34?:=7?7f:?657<60l169<<519d89=<60h1647?7b:?;>4>d3421=5j4=982<`=:03;3j63j3;3;f>;b;3;3o63j3;3;`>;b;3;3i63j3;3;b>;b<3;3n63j4;3;g>;b<3;3h63j4;3;a>;b<3;3j63j5;3;g>;b=3;3h63j5;3;a>;b=3;3j63j6;3;g>;b>3;3h63j6;3;a>;b>3;3j63jd;3;f>;bl3;3o63jd;3;`>;bl3;3i63jd;3;b>;6990:4n5210295=b<58;;6<6j;<324?7?n27:=<4>929>547=90?01:0;5?87693;2;63>1082===:98;1=474=032>4?f34;:=7?6b:?254<61j16=1;3b4>;6980:m<5210095<5<58;96<7:;<326?7>>27:=?4>969>544=902011382=g=:9881=4m4=031>4?c34;:>7?6e:?257<6i916=<<51`389474283870?>3;3:1>;69:0:5;5210195<1<58;86<77;<327?7>127:=>4>9`9>545=90h011282=`=:9891=l>4=030>4g634;:87?63:?251<61<16=<:518489473283<70?>4;3:<>;69=0:545210695k27:=94>9e9>542=90o0119824>d34;:47?7d:?25=<60l16=<6519d8947>282j70?>9;3;f>;6900:4n5210;95=b<58;26<6j;<32=?7?n27:=l4>8`9>54g=91h011`82<`=:98k1=5h4=03a>4>f34;:n7?7b:?25g<60j16=b;3;b>;6;;0:4n5212095=b<58996<6j;<306?7?n27:?>4>8b9>565=91n01<=<:0:f?874;3;3j63>35821=5k4=017>4>a34;897?7d:?270<60l16=>;519d89451282o70?<6;3;a>;6;?0:4k5rs464>5<5s4??:7?78:?602<6nk1v8:7:181833>3;3563:4982bg=z{<=:6=4<{<77854>889>127=9oh0q~::7;2976}:==k1=564=46a>4?a34??o7?6f:?60a<6i=1699k51`689137282270::1;3;=>;3=;0:5k5244195<`<58o;6<67;<3f5?7?027:i?4>889>5`5=91301e882<==:<;91=564=547>4>?34>=97?79:?723<600168;951`78910?28k>70::7;3ef>;3=j0:455245795=><5?i1=564=0f2>4>?34;o?7?n4:?2`1<6i=16=i;51`7894b128k>70:8d;3;<>;3?l0:455251:95d3<5l81=4h4=d195d2<5l>1=l:4=d795d3<5l<1=l;4=034>4>>34;:47?6f:?25<<61o16=f;3;<>;6:80:445213095=?<58886<7i;<306?7f<27:?>4>a59>560=9h?0q~:?d;29e~;2<5=8n6<67;<61b?7?027??<4>899>00e=913019>k:0da?87583;346s|55c94?4|5<>j663:4b82e7=:==n1=l;4=46f>4g234>?57?ib:?70c<6011688>518689136283?70::2;3b6>;3=:0:m?52c982<<=:k00:44521d095<2<58o86<7;;<3f0?7><27?=h4>899>04c=9130194?334>=:7?64:?722<6i?168;651`489127282370:;0;3;=>;6l:0:m8521e695d3<58n>627?;o4>899>15>=9h<01h<51`089`5=9h?01h:51`789`3=9h<01h851`489470283?70?>8;3b6>;6900:m?5210c95d4<58;i6<27:>?4>959>575=9h801<==:0c6?874;3;j963>3582e3=:9:?1=l84=015>4g13ty?9k4?:23x902e28k?70;;c;3b7>;2n27?9?4>a59>005=9h901n6518689f?=90l01e382e7=:9l91=l<4=0g7>4?a34;h87?79:?2a<<600168?=519;8914c283?70:=e;3:b>;3:o0:595242395<2<5=?m6a39>030=90l01988:0:b?82103;j;63;5c82<==:<4>>34a79>5a3=91k014?a34;:47?n4:?25<<6i=16=f;3;=>;6:90:445212095d1<58986{t:oo1<7;?{<77g?7f<27>8h4>a69>6c1=913019:i:0;7?82293;j>63;5282e1=:=?o1=574=453>4?334i<6<66;4?a34;n87?n2:?13d<60016>:m519:8971a282370;5010:445229c95=><5:;=6<66;<12a?7?1278>:4>889>764=91301>=i:0::?825k3;3563;2g82=c=:<:;1=4h4=4:b>4>>34?3o7?78:?6<`<61=169l6519;890d5283?70;m6;3:b>;3>?0:m?5247:95=g<5<<=6<66;<672?7?127:h94>a69>5a0=91k01?hj:0da?83?:3;3563:9c82<<=::;31=4:4=30a>4?a3489o7?79:?10f<61o168:h519;891g128k970:n7;3b6>;2810:4l5250095<`<5=326<66;4g434o?64g034;;<7?79:?24`<60016=<951`08947f28k?70?>b;3b0>;6:;0:5k5213`95=?<58986889>51>=91301<:6:0::?873m3;2863>5182=1=:94>>34;>47?79:?21<<60016=8j51868943a282270?95;3;=>;6>10:445217a95<2<589g9>521=9130q~;;c;296~;2561y>11b=91k018:j:0:b?84a>3;mn63:6g82=c=:=>:1=4h4=ba95d4<5::n6<7i;<12b?7><278>=4>9g9>77g=9h>01>4>a34?3h7?6f:?6<`<61o168oj51`7891db282n70:mf;3;a>;3k90:4k524b395=`<56<66;<7a5?7>n27>n?4>9g9>1g5=9h8018l::0c1?83e>3;j>63;66824?a34;o?7?7a:?2`1<60h16=i;519`894b1282i70;68;3:0>;2100:595258c95<2<5<3i6<7;;<7:g?7f:279>54>9g9>67?=90l01?63=4c82e7=::=i1=l<4=36g>4>>34>om7?79:?7`g<600169;2980:m?5250095d4<5<8i6<7i;<6:3?7?127?554>889>2?7><27<6<7i;<:95d2<5l91=l94=d695d1<5l?1=5o4=d495=g<5li1=4h4=df95d2<5o?1=4:4=02b>4?334;:<7?n5:?254<60o16=<<519d89474282m70?>4;3;b>;6:10:5k5213d95<2<58996<6n;<307?7?i27:?94>8`9>563=91k01<=9:0:a?874k3;2863>4e82=c=:9=o1=4h4=072>4?334;>97?64:?213<61=16=8951868943b283?70?:f;3:0>;6>90:595217795<2<58n27::i4>959>53c=90>01<8i:0c1?xu2m6<7i;|q60`<72;q699k51g`8902a28k97p}=fc83>7}::o<1=594=3d`>4`e3ty9j54?:3y>6ce=91=01?h7:0da?xu5n>0;6?u22ga9`6=::o=1=kl4}r0e=?6=:r79j54>899>6c?=9oh0q~55z?1bd<60116>ko519;897cd28li70;5n80:m>5rs577>5<4s4>?57?77:?713<6001688;51g`8yv23i3:1>v3;5782<==:<=k1=kl4}r662?6=:r7?9;4>fc9>003=91=0q~:;b;296~;3?o7>52z?70d<6001689m51g`8yv7493:1?v3;4e82<==:<=n1=574=012>4`e3ty?8i4?:3y>01b=9oh019:l:0:;?xu28>0;6>u245g95=><5=>n6<66;<733?7aj2wx89k50;0x912b28li70:;c;3;=>{t<=l1<74`e34>?o7?64:p006=838p19;?:0da?823k3;2j6s|44394?4|5=?:6{t<<91<74`e34>?o7?n4:p123=839p1886:0:4?830?3;3563:7782bg=z{<<26=4>dz?62<<6nk169;k51868900a28k970;80;3b6>;dk3;j?63:8c82<<=:=1i1=574=4:g>4g534?3i7?n2:?6f4<6i;169o<51`0890d428k870;m5;3b7>;2j?0:m>525e395=><5h94>899>14g534;?i7?n2:?223<60116=;l51`08940d28k970?9f;3b7>{t=>>1<74>034?<87?ib:p13g=838p1898:0:;?831i3;mn6s|56594?4|5<=<6{t=?i1<74>>34?=o7?ib:p1g2=839p188k:0:;?831l3;3563:b582bg=z{<:n4>899~w00b2909w0;9e;3ef>;2>j0:445rs4`a>5<4>r7>:k4>a29>126=9h901nl519:89fe=9h>01?9j:0::?840n3;3563:8c82=1=:=1i1=4:4=4:g>4g434?3i7?n3:?6e0<601169l8519:890g0283?70;n8;3:0>;2i00:44525c`95cd<5<27>o84>959>1g7=9h9018l=:0c0?83e;3;j863:b482e1=:=k<1=l:4=4f3>4>>34?o=7?79:?6`7<600169i=519;890b3282270<80;3;<>;2?l0:455259295=><5;8h6<7;;<07f?7f<2798n4>a59>61b=90>018?>:0c7?836:3;j863;9782=1=:<0=1=4:4=5;;>4?334>257?64:?20a<6i:16=9k51`18942a283m70?:0;3:b>;6=80:5k5214795<`<58?=6<7i;<363?7>n27:954>959>531=91201<9<:0:;?870<3;346s|5`a94?3>s4?=j7?n4:?635<6i=16on4>a49>62c=90>01?9i:0;7?83?j3;2j63:8b82=c=:=1n1=l:4=4:f>4g334?j:7?79:?6e2<61o169l6518d890g>283?70;l1;3;<>;2k;0:45525b695<`<56<7i;<7bg?7aj27>n<4>a59>1g4=9h>018l<:0c6?83e=3;j963:b782e0=:=m:1=4:4=4f2>4?334?o>7?64:?6`6<61=169i:518689717282270;8e;3;=>;2?o0:455259295=?<5<2:6<7;;<7;6?7><27>554>9g9>1j3;2j63:9b82e1=::;21=l<4=30:>4g53489m7?n3:?16g<6i:16>?m518d8972e28k>70<;c;3b1>;5<27>=<4>a49>144=9h?01979:0;e?82>?3;2j63;9982=c=:<031=4h4=066>4>?34;?:7?78:?202<61=16=9651868942>283?70?;d;3b0>;6a39>500=9h801<;8:0c1?87203;2j63>5882=1=:94?a34;>i7?6f:?21c<61o16=;>518d89403282270?95;3:b>;6>?0:445217:95<2<589g9>53c=90l01<8i:0c7?870<3;3563>7482<==:9><1=4:4=054>4?33ty>ol4?:3dx900a28k>70;80;3b1>;dj3;3563lc;3b2>;5?l0:5k5226d95<`<5<2i64i4>a49>1=c=9h?018o::0::?83f>3;2863:c182<<=:=j;1=574=4a1>4>>34?i=7?n5:?6f7<6i<169o=51`4890d228k=70;m6;3b2>;2kh0:jo525e295<`<5n27>h>4>9g9>1a2=90l0189i:0::?83?83;2863=2982e6=::;31=l=4=30b>4g33489n7?n4:?654<6i?169<<51`4891?128k970:67;3b6>;3110:m?5248;95d4<58>>6<66;<372?7?127:8:4>9g9>51>=90l01<:6:0;e?87213;2j63>6682<<=:9>91=574=056>4>>3ty>:k4?:3y>13`=9oh0188l:0;7?xu2?90;6?u256295cd<5<4>?349=97?78:?02a<60116?;k519:89611282370=89;3;<>;40;0:455239195=><5:2h6<67;<1;`?7?02784h4>899>14`=9130q~=99;29b~;2?;0:595256195<2<5:fc9>73b=91301>8j:0::?850?3;3463<7882<<=:;181=574=2:0>4>>3493o7?79:?0c}:=>81=4h4=450>4?a349=87?64:?020<61=16?:<51g`89611282270=87;3;=>;4?00:595236c95=><5:296<7;;<1;7?7><2784n4>959>7=b=90>01>6j:0;7?836n3;2j6s|36f94?d|5<=969g9>733=90l01>9n:0::?850l3;mn63<8082<==:;1i1=4h4=2:g>4?a3493i7?6f:?65c<6i;1v>68:18:830:3;j?63:7282e6=:;?>1=l<4=246>4g5349b28k970;>f;3b7>{t=>81<74`e34?<87?78:p5d?=83>p189<:0c7?82683;3463;1182<<=:9h31=kl4}r635?6=:r7>;>4>a49>057=9oh0q~:?7;296~;2?:0:m;5241595cd52z?636<6nk169::519;8yv4bi3:1>v3=e582<2=::lh1=kl4}r0f0?6=;:q6>h:51g`897c?282370<8e;3b6>;5?o0:m?5259`95d5<5<2h627>4h4>a79>1d1=9h8018o7:0c1?83f13;2j63:c082=1=:=j>1=l<4=4a6>4g534?o=7?n2:?6<4<61o1695<518d890??28k970;69;3b6>;21h0:m?5258`95d4<5;8h69g9>0ag=90l019jm:0;e?83693;j;63:1382e2=:9=l1=l=4=073>4g434;>=7?n3:?210<6i:16=8851`18943028k870?:8;3b6>;6=00:m?5214a95d4<58?o6a39>536=9h801<8;:0;7?871=3;j>63>6782=1=:9?=1=4:4=04;>4?a34;=h7?n2:?22`<6i;16=:8518d89410283m70?8a;3;<>{t:l?1<74>0348n97?ib:p6`0=838p1?k::0:;?84b>3;mn6s|2d594?4|5;o>6<66;<0f3?7aj2wx>;j50;6x97c?282270<9d;3ef>;29k0:455250`95=?52z?1a=<6nk16>h9519:8yv4f83:1iv3=e882<==::>h1=574=35`>4>>348357?79:?1l>51g`897e1282370;5ih0:45522`c95=?<5;h:6<67;<0a899>6ag=9120q~4?3348:h51`1897>>283?70<7a;3:0>;20k0:m95259a95d2<5<2o6m44>a39>1f2=9h9018m::0c0?83c:3;j>63=c282bg=::jn1=574=3fb>4>>3489o7?n3:?10a<6i:168i751`0891bf28k970:kb;3b6>;2980:4l5250095=g<58>>6<7;;<373?7f:27:954>a29>50?=9h901<8;:0;e?871>3;2j63>6682=c=:9?21=l<4=05b>4>>3ty9ol4?:33x97c>283?70<8b;3:b>;5?j0:5k5226g95d2<5;=m6n2794l4>9g9>1=d=9h?0186l:0c6?83?l3;3m63:8d824?334?h87?n4:?6g0<6i=169i=51`0897ef28li70;5:j0:m95225f95d2<5=n26a29>147=91h018?=:0:a?87303;j>63>5982e1=:9<31=l:4=047>4g534;=:7?n2:?222<6i;16=;651`18941f283?7p}=a683>f}::l31=4h4=35a>4g53485o51`0897e1282270;5i>0:jo522c395=?<5;h36<66;<0ab?7?1279hl4>9g9~w7gb290iw0;5?k0:m>5226a95d5<5;226959>6fb=90l01?oj:0da?84e03;2863=bg82=1=::mk1=l<4}r0a1?6=ir79i44>a29>62d=9h>01?9l:0c7?84?13;j863=8`82e1=::j<1=4h4=3ag>4g5348i97?ib:?1fc<61o16>io51`18yv4ek3:15v3=e882e1=::>h1=l;4=35`>4g2348357?n5:?1n851`0897ec28k870;5lh0:m95rs3f4>5<58r79i44>a49>62d=9h<01?9l:0c5?840m3;j963=7g82e0=::131=l84=3:b>4g134?3n7?n6:?6b282i70;n9;3b0>;2k=0:m8525b795d3<5n4>a49>61b=9h?019j6:0c7?82ci3;j863;dc82e1=:=8;1=5m4=431>4>d34;?:7?64:?20<<6i;16=8651`78943>28k>70?94;3b7>;6>?0:m>5217595d5<58<36n2wx>h750;0x97c>28li70{tkl0;6>u2c382<2=:l90:4452cg82bg=z{j81<7?;{4`e34i<6<7;;4?a34i26889>717=91301>:=:0::?853;3;3563;3082e7=::m:1=564=5c7>4g434>j:7?n3:?f=?7?127m>7?79:?e=?7?027mn7?79:?e`?7?127:<<4>a39>554=9h80q~mk:1818ef282<70mk:0da?xudi3:1?v3la;3ef>;2j90:45525c295=?4>?34i86g6<60116o94>fc9~wf3=838p1n=519;89f3=9oh0q~:<0;297~;d>3;3463l6;3;=>;3;90:jo5rsb494?4|5j<1=kl4=b795=>4`e34i>6<66;|q2f0<72oq6o54>a39>g<<6i:16=o;51g`894de282370?mc;3;<>;6jm0:45521cg95=><58hm6<67;<61`?7>n27?>h4>a39>07`=9h8019=>:0c0?82?k3;3463;e682<==:5<49r7h47?n3:?`=?7f<27:i=4>959>5`7=90>01e582e1=:9j21=564=0a:>4>?34;nh7?78:?2a`<60116?=m518d8966c283m70=?e;3b6>;4:k0:m:5240d95=><5=;m6<66;<61`?7f:27?>h4>a29>07`=9h9019=>:0c7?82el3;j;63;6582=1=:<=;1=564=562>4>>34;o=7?79:?2`6<60j16=i:519a894b2282o70?k6;3;`>;1n3;mn63;7c82<<=:<>i1=4:4=55g>4?334>;68h0:5k5211`95<`<58;;6a79>54?=9h<0135825<5s4i364?33tyh57>52z?`=?7aj27h97?6f:pgg<72;q6oo4>fc9>ga<6011vnm50;0x9fe=9oh01nj519;8yv7d83:1?v3>b482<2=:9j81=574=0a2>4`e3ty:n;4?:3y>5f4=91201b983>7}:9k<1=574=0`;>4`e3ty?i;4?:2y>5g?=912013;mn6s|1c;94?4|58h26;30k0:jo5rs0`b>5<5s4;im7?ib:?2f=<6001v>m>:182=~;6jk0:5k521ca95<`<58ho6<7i;<3aa?7>n27:nk4>9g9>7f7=9oh01>m::0:;?87fm3;3463>ag82<==:;kh1=574=2``>4>>349ih7?79:?0f`<60016:84>899>23<6011685o519:891>d283m70:ld;3;<>;3m<0:45524d595<`<5=o36<7i;<6e2?7?027?j:4>899>16c=912018=i:0:;?xu41;0;6:u21c`95d4<58hh6fc9>5g6=91301:0::?85ej3;286s|1c`94?4|58hi6<2wx=om50;0x94dd28li70?m8;3:b>{t;==1<79t=0`g>4g5349h;7?79:?0a0<60016=o<519;896dd283?70=;7;3ef>;3m>0:m?5rs26g>5<0s4;ih7?n3:?0g2<61=16?h;5186894d5283?70=mc;3:b>;452z?2fa<6nk16=o651`08yv52;3:1;v3>bd82e7=:;j21=574=2g5>4>>349n;7?79:?0fa<61=16?8=51g`891c?28k97p}<5883>2}:9ko1=l=4=2a;>4?3349n:7?64:?0a2<61=16?oj518d8963>28li70:j8;3b7>{t9ko1<74`e34;i47?n3:p7c3=83=p14?3349m97?ib:?7hm:18487en3;j?634?3349ii7?6f:?0bg<6nk1685m51`18yv7en3:1>v3>bg82bg=:9k21=l:4}r3f1?6=;r7:hl4>869>5`1=913014`e34;n<7?6f:?2a4<61o16=h<51`7894c428k>70?j4;3b1>;6l:0:4i521e695=b<58n>6<6j;<3g2?7?m27?i94>899>0`2=913019h9:0::?834m3;3563>1682e0=:9821=l94=03:>4g034;:m7?n7:?25g<6i>1vdc82bg=z{8o<6=4={<3f3?7aj27:i;4>869~w4bd2909w0?kb;3;<>;6lj0:jo5rs0fg>5<5s4;on7?79:?2`a<6nk1vdd82<<=:98<1=kl4}r3ga?6=:r7:hh4>fc9>5ab=9120q~?k2;297~;6lo0:45521ed95=?<58n963}:9l:1=l<4=0g2>4g534>:m7?ib:?761<61=16=?m519;8944c28227p}>e183>7}:9l:1=kl4=0fg>4?33ty:i<4?:3y>5`7=9oh01e583>7}:9l>1=kl4=0fg>4g33ty94<4?:2y>620=91=01?6<:0::?84?:3;mn6s|26494?75s48<:7?ib:?13d<61=16>:l51`58971d28k<70<79;3b3>;50h0:m:5232195<7<5:9?6<7>;<101?7>9278?;4>909>0gc=90:019li:0;3?82d83;2=63;c082=4=:98;1=4?4=031>4?634;:?7?61:?251<6181v?6?:181840l3;3;63=8182bg=z{;=o6=4<{<04`?7aj27>oh4>899>1fc=9130q~<87;296~;50:0:455226595cd52z?1<6<6nk16>5<51958yv4003:1>v3=7682<==::>21=kl4}r04=?6=:r79;:4>889>62?=9oh0q~<8a;296~;5?h0:jo5226;95=>52z?13g<6nk16>:7519;8yv40k3:1>v3=7b82bg=::>31=4:4}r04a?6=:r79;h4>fc9>6=6=9120q~<8f;296~;5?o0:jo5229295=?7>53z?1af<60>16>k;519;897`428li7p}=ee83>7}::o?1=564=3gg>4`e3ty9j84?:3y>6c3=9oh01?h<:0:4?xu5n=0;6?u22g695cd<5;l86?8l;|q1a`<72;q6>hj519:897cb28li7p}=eg83>7}::ln1=574=3ge>4`e3ty9j=4?:3y>6c6=9oh01?ki:0:;?xu5n80;6?u22g395cd<5;om6<66;|q2gd<72;q6=n=5195894ee28li7p}>c283>6}:9j91=kl4=5d6>4>?34>m97?79:p5f3=838p14`e3ty:o;4?:3y>5f3=912010;6?u21b795=?<58i<67}:9j31=574=530>4`e3ty:o44?:3y>5f?=9oh01{t9lk1<74>034;nm7?ib:p5`?=838p128li7p}>ec83>7}:9lk1=564=0ga>4`e3ty:in4?:3y>5`g=91301ed83>7}:9lo1=kl4=0g`>4>>3ty94o4?:2y>6=2=91=01?6k:0::?84?k3;mn6s|29694?71s48387?ib:?1<=<61=16>57519c897>f282j70=?9;3;<>;5l80:45522ea95=?<5;no6<66;<0ga?7?1279hk4>889>0d3=9h9019o8:0c0?8c>283?70kn:0::?8ce282270h>:0::?8`5283?70h<:0::?8`e283?70hk:0;7?877;3;j>63>0582e7=z{;2>6=4={<0;`?7?0279484>fc9~w7>c2909w0<7d;3ef>;50j0:4:5rs3:5>5<5s48397?78:?1<3<6nk1v?68:18184?=3;3563=8682bg=z{;236=4={<0;899~w7>>2909w0<79;3ef>;50>0:445rs3:b>5<5s483m7?ib:?1<2<61=1v>??:181857<3;3;63<1082bg=z{::?6=4>0z?041<6nk16?=7519;8966f28k970;5l;0:m?522ea95<2<5;no6<7;;<0ga?7><279hk4>959>0d5=9h8019o::0c7?82f?3;j863i9;3;=>;ai3;3463>0282e6=:99>1=l=4}r13b?6=:r78869>75`=9oh0q~=?b;297~;48k0:jo5246;95=><5==26<66;|q040<72;q6?7}:;9?1=564=225>4`e3ty8<:4?:3y>753=91301>>8:0da?xu68m0;6>u231:95=><5::36<66;<33`?7aj2wx?=650;0x966?28li70=?7;3;<>{t;931<74`e349;;7?79:p75g=838p1>>n:0da?857?3;286s|31a94?4|5::h6{t;9o1<74`e349;j7?64:p74>=838p1>?=:0:4?85613;mn6s|30094?77s49:>7?ib:?052<60016?9>518689626283?70=;2;3:0>;4<:0:59522e295=?<5=k?6<27m=7?64:?e7?7><27mm7?79:?244<6i:16==<51`18yv56;3:1>v3<1882<2=:;891=kl4}r120?6=:r78=>4>899>742=9oh0q~=>5;296~;49:0:445230795cd52z?053<6nk16?<;519:8yv56?3:1>v3<1682bg=:;8?1=574}r115?6=:r78=l4>869>774=9oh0q~=>a;293~;49h0:jo5230d95<`<5:8;60:m?52eb82e7=:n<0:5k5rs23a>5<5s499>7?77:?05g<6nk1v>?l:181856j3;3463<1b82bg=z{:;o6=4={<12f?7?1278=i4>fc9~w67b2909w0=>e;3ef>;49m0:455rs4;1>5<0s49:j7?n2:?065<6i:1694<51g`893<6i;16;7?n3:?fg?7f;27m97?n2:p74`=838p1>?i:0da?856l3;356s|33294?4|5:8;6<2wx??m50;0x9644282<70==d;3ef>{t;;91<7ht=200>4`e349947?79:?06<<61o16??o51`48964e282j70:md;3;e>;3282270;518d89=<6i?16ii4>a79>b1<61o16jn4>889>557=9h>01<><:0c7?87683;3m6s|33694?4|5:8o6<68;<110?7aj2wx??;50;0x9643282370==5;3ef>{t;;<1<74>>3499:7?ib:p771=838p1><8:0da?855>3;346s|43:94?74s49947?64:?06<<6i;16??o51`58964e282i70:=8;3ef>;3:j0:595243f95d5<5=8n68c9>0?7><27>64g334;;87?n4:?255<60k1v><7:18185503;mn63<2782<<=z{:826=4={<11=?7aj278>;4>959~w64f2909w0==a;3ef>;4:?0:5k5rs20a>5<5s499n7?ib:?063<6i;1v>=8:181855m3;3;63<3982bg=z{:8n6=4k{<11a?7aj278?>4>939>762=90801>=::0;1?854>3;2>63;bd82=4=:4?534>h=7?62:?254<61;16=<<518089474283970?>4;3:6>{t;;l1<74>03499j7?ib:p766=838p1><50;0x965528li70=<1;3;<>{t;:91<74`e3498=7?79:p762=838p1>=;:0da?85493;286s|32794?4|5:9>6n2wx?>850;0x965128li70=<1;3b6>{t;=>1<7=t=21:>4>0349?:7?79:?000<6nk1v>=6:1824~;4;00:jo5232d95<2<5:>;6<7i;<175?7>n2788?4>9g9>715=90l01?jl:0;e?84cl3;2j63=dd82=c=::ml1=4h4=47;>4>>34>j?7?n3:?7e1<6i<168l;51`7891g128k>70:n7;3b1>{t;:k1<74>?3498m7?ib:p710=838p1>:9:0da?853=3;3;6s|32`94?4|5:9j6<67;<10f?7aj2wx?>m50;0x965f282270={t4>?3498h7?79:?7e7<6nk1v>=k:181854l3;mn63<3b82<==z{;ni6=4<{<10a?7?0278?h4>889>6ad=9oh0q~=52z?07c<6nk16?>m51868yvg=83op1>:?:0c1?85393;j>63<4382e7=:;=91=l<4=3f`>4g5348oh7?n2:?1``<6i;16>ih51`0891g428k?70:n4;3b2>;3i<0:m;524`495d0<5=k<652z?005<6nk16?>m518d8yv5393:1>v3<4082bg=:;:i1=l<4}r176?6=:r788?4>fc9>76e=9h90q~=;3;296~;4<:0:jo5232a95d2:47>52z?756<60>168<751g`8yv26=3:1>v3;1882<2=:<8?1=kl4}r620?6=:r7?=44k3:?751<6nk1v9?9:181826=3;3463;1782bg=z{=;<6=4={<621?7?127?=:4>fc9~w15d290>w0:>4;3;<>;39=0:445242495=><5=9=6<66;<60g?7aj2wx8?;50;1x917f282<70:=7;3;=>;3:?0:jo5rs53a>5<5s4>9;7?78:?75g<6nk1v9<8:181825?3;mn63;2782<2=z{=;h6=4={<62f?7?027?=n4>fc9~w17c2909w0:>b;3;=>;39m0:jo5rs53f>5<5s4>:i7?ib:?75a<6011v9?i:181826n3;mn63;1e82<<=z{88j6=4<{<614?7?027?>=4>889>57g=9oh0q~:=0;296~;3:90:jo5240f95<253z?764<601168??519;896?128li7p};2083>7}:<;;1=kl4=53g>4?a3ty?>?4?:3y>074=9oh019?k:0c1?xu3::0;6?u243195cd<5=;o66}:<;21=594=517>4>>34>8?7?ib:p067=838p19=?:0:4?82493;mn6s|43;94?4|5=9?6<67;<61=?7aj2wx8>:50;0x915328li70:<3;3;3>{t<;k1<74>?34>9m7?ib:p07d=838p19<6:0::?825j3;mn6s|43a94?4|5=8h6{t<;o1<74`e34>9n7?64:p07`=838p196<68;<60f?7aj2wx8>950;0x915e282<70:<7;3ef>{t<:<1<7a5<5=9=69519:8915?28li7p};3883>7}:<:=1=574=51:>4`e3ty>4k4?:2y>1=3=91=0187>:0::?83>83;mn6s|59794?e|5<2>6<27>4o4>a69>1=e=9h=0186k:0:`?83?m3;3o63:1b82e7=:=8n1=l=4=425>4?a34?;j7?6f:?654<60m169<<519f8yv3?>3:1>v3:9082<==:=1<1=kl4}r7:5?6=:r7>5<4>fc9>1<6=91=0q~;77;296~;20?0:455259595cd52z?6<3<6001695651g`8yv3683:1?v3:8882<==:=131=574=433>4`e3ty>444?:3y>1=?=9oh01867:0:;?xu20h0;6?u259c95cd<5<236<66;|q6?283?7p}:8b83>7}:=1i1=kl4=4:;>4?a3ty>4i4?:3y>1=b=9oh01867:0c1?xu20l0;6?u259g95cd<5<236{t1<7?:{<6`0?7aj27?ni4>8b9>0gc=908019li:0;1?82d83;2?63;c082=6=:m00:5k52e`82=c=:mk0:5k52eb82e1=:mm0:4l52f082=c=:n;0:5k52f282=c=:n=0:m>52f482e6=:n00:5952f`82=1=:nk0:5k52fb82=c=:nm0:5k5rs5`;>5<5s4>h?7?77:?7f=<6nk1v9l6:18182e03;3463;b882bg=z{=hj6=4={<6afc9~w`>=839p19lm:0:;?82ej3;3563j8;3ef>{t4`e34>im7?78:pac<72:q68om519:891dd282270ki:0da?xu3jj0;6?u24ca95cd<5=hj6<66;|q7fa<72;q68oj51g`891df283?7p};bd83>7}:4?a3ty?nk4?:3y>0g`=9oh019ln:0c1?xu3k90;6?u24b295cd<5=hj67}:=0l1=594=4ca>4`e3ty>5k4?:05x90?a28li70:m2;3;<>;3j;0:445223295=?<5;8:6<67;<007?7?1279?94>899>66g=91301?=m:0:;?84393;3563=4382<==::=21=574=36:>4>?348>87?79:?110<60116>8l519;8973d282370<92;3;=>;5>:0:445227;95=?<5;899~w0g72909w0;nb;3;3>;2i90:jo5rs4c2>5<5s4?j<7?78:?6e4<6nk1v8o=:18183f83;3563:a382bg=z{8>i6=4<{<7b7?7?027>m>4>889>51d=9oh0q~;n3;296~;2i:0:jo525`095=>?7>53z?6e1<601169l:519;8943428li7p}:a583>7}:=h>1=kl4=4c1>4>>3ty>m84?:3y>1d3=9oh018o=:0;7?xu2i?0;6?u25`495cd<54g534?3>7?n2:?6==<6i:1694751`1890?f28k870;6b;3b7>;6<<0:5k5215495<`<58>m6a59>503=9h>01<;9:0c7?872?3;j863>5b82e6=:94g434;>j7?n3:?225<6i:16=;;51`18940c28k870?9e;3b7>;6??0:m?5216595d452z?6e2<6nk169l<51`08yv3f03:1>v3:a982bg=:=h81=l=4}r7b=?6=:r7>m44>fc9>1d4=9h>0q~;l7;297~;2jk0:4:525b;95=?<56}:=j91=kl4=06`>4>?34;?o7?79:p1ge=838p18m6:0:;?83ek3;mn6s|5b;94?4|5{t=ko1<74>>34?ii7?ib:p515=839p18li:0:;?83en3;3563>4282bg=z{nh4>899~w0e72909w0;l0;3ef>;2jl0:445rs4a2>5<5s4?h=7?ib:?6f`<61=1v8m=:18183d:3;mn63:bd82=c=z{o;4>899~w0e22909w0;l5;3ef>;2k?0:445rs4`;>5<4s4?jo7?77:?6fd<600169o751g`8yv3e?3:1>v3:b582<2=:=k=1=kl4}r7b`?6=:r7>nl4>899>1db=9oh0q~;ma;296~;2jh0:jo525c;95=152z?6ea<601169lk51g`8yv3fn3:1>v3:ae82<<=:=hl1=kl4}r7a4?6=:r7>n=4>fc9>1d`=9120q~;m1;296~;2j80:jo525`d95=?7>52z?6f7<6nk169lh51868yv3e;3:1>v3:b282bg=:=hl1=4h4}r7a1?6=:r7>n84>fc9>1g1=9120q~;m6;296~;2j?0:jo525c595=?53z?6gd<60>169i9519;890b128li7p}:cc83>7}:=m=1=564=4aa>4`e3ty>h:4?:3y>1a1=9oh018j9:0:4?xu2kj0;6?u25b`95=><57}:=jo1=kl4=4ag>4>?3ty?584?:2y>1f`=912018mi:0::?82>=3;mn6s|5bd94?4|550;0x90b728li70;ld;3:0>{t=m;1<74`e34?hh7?6f:p1a4=838p18j=:0da?83dl3;j>6s|5e194?4|5{t4>034>=n7?79:?72d<6nk1v98?:181821j3;3463;6182bg=z{=869~w1062909w0:90;3;<>;3>80:jo5rs541>5<5s4>=<7?79:?727<6nk1v98<:181821;3;mn63;6382<==z{=889~w1022909w0:95;3ef>;3>;0:595rs545>5<5s4>=:7?ib:?727<61o1v988:181821?3;mn63;6382e7=z{=<36=4={<65a29~w13c2909w0::7;3;3>;3=l0:jo5rs57;>5<5s4>>i7?77:?71=<6nk1v9;6:18182203;3463;5882bg=z{=?j6=4={<66fc9~w13e2909w0::b;3ef>;3=h0:455rs57`>5<5s4>>o7?ib:?71d<6001v>89:180852n3;3;63<6982<<=:;?=1=kl4}r154?6=:r78:54>899>736=9oh0q~=98;296~;4>10:jo5237595=152z?025<60116?;?51g`8yv51:3:1>v3<6182<<=:;?81=kl4}r1:b?6=jr78:>4>889>732=9h901>8i:0:;?85003;3463<8082<<=:;181=4h4=2:a>4>>3493o7?n2:?0=c<6nk16?l6519:896g?28227p}<}:;?91=4:4=246>4g4349=j7?79:?03=<60016?5?5186896>4283m70=7b;3:0>;40m0:m?523`795cd52z?026<6nk16?;<519:8yv51<3:1>v3<6582bg=:;?81=574}r151?6=:r78:84>fc9>734=90>0q~=80;296~;4>00:4:5236395cd52z?034<60>16?;o51g`8yv51j3:1>v3<6`82<==:;?h1=kl4}r15g?6=:r78:l4>889>73e=9oh0q~=9d;296~;4>m0:jo5237a95=>52z?02`<6nk16?;m519;8yv51n3:1>v3<6g82bg=:;?i1=4:4}r1`e?6=:r78o<4>869>7fd=9oh0q~=l2;296~;4kk0:4:523b095cd52z?0g7<60116?n=51g`8yv5d<3:1>v31=kl4}r1`g?6=90q6?n;519;896e1283?70=l7;3:b>;4k10:5k523b;95<`<5:ih6n278i;4>9g9>7`1=90l01>k7:0;e?85b13;2j63>ad82<<=:9hl1=574=0`3>4?334;i=7?64:?2f7<61o16:84>889>23<6001685o519;891ec282270:j5;3;=>;3n?0:59524g595=?<5<9n6<7;;<70b?7?12wx?n;50;0x96e228li70=l4;3;<>{t;j<1<74`e349h87?79:p7f1=838p1>m8:0da?85d<3;286s|3b:94?4|5:i36n2wx?n750;0x96e>28li70=l4;3b6>{t;>h1<74>03499l:0:4?850;3;mn6s|36694?4|5:=86<67;<140?7aj2wx?:;50;0x9614282270=85;3ef>{t;><1<74`e349<97?78:p721=838p1>98:0da?850=3;356s|36:94?4|5:=36<2wx?:750;0x961>28li70=85;3:b>{t;>k1<74`e349<97?n2:p7=2=839p1>9k:0:4?85?>3;3563<8482bg=z{:=n6=4={<1;2?7?0278;h4>fc9~w6>12909w0=76;3ef>;40<0:4:5rs25e>5<5s496?:181850m3;3563<8182bg=z{:2:6=4={<1;5?7aj2784=4>899~w6>52909w0=72;3ef>;4090:445rs2:0>5<5s493?7?ib:?0<5<61=1v>6i:18085??3;3;63<9082<<=:;0:1=kl4}r1;899>7=>=9oh0q~=61;296~;4180:jo5238295=152z?0<=<60116?5751g`8yv5?i3:1>v3<8982<<=:;1k1=kl4}r1;f?6=:r784o4>fc9>7=g=9120q~=7c;296~;40j0:jo5239c95=?52z?0v3<8d82bg=:;1k1=4h4}r1g4?6=:r78on4>869>7a7=9oh0q~=ld;296~;4l80:4:523bf95cd52z?0ga<60116?nk51g`8yv5dn3:1>v3;4m<0:m?523d495d4<5:o<6a39>5dc=90>01b082=c=:9k81=l<4=7795<2<5?<1=4:4=5:b>4?334>n97?64:?7b3<61o168k951868905b283m70;{t;m<1<74>0349o;7?ib:p7a5=838p1>j8:0:4?85c;3;mn6s|3e694?4|5:n86<67;<1g0?7aj2wx?i;50;0x96b4282270=k5;3ef>{t;m21<7?<{<1g1?7?0278h84>889>7a>=9oh01>k::0c0?85b>3;j?634g434;ji7?6f:?2ec<61o16=o>51`0894d628k970?m2;3b7>;1=3;2j6396;3:b>;30h0:5k524d795<`<5=l<6<7i;<70b?7>n2wx?ik50;0x96b?282<70=kf;3ef>{t;mn1<74>0349oh7?ib:p7ae=839p1>jl:0da?85b;3;3463fc9~w6bf2909w0=k9;3;<>;4lh0:jo5rs2fa>5<5s49o57?79:?0`g<6nk1v>k?:1827~;4lk0:45523e`95=?<5:o;6a59>7`1=9h>01>k7:0c7?85b13;j863>ad82e7=:9hl1=l<4=0`3>4g434;i=7?n3:?2f7<6i=16:84>a39>23<6i;1685o51`0891c228k970:i7;3b6>;2;o0:m?5rs2ca>5<>s49oh7?79:?0a3<6i<16?h651`7896ge28li70=i2;3;=>;6il0:m>521c295d2<58h964g43ty8ii4?:8y>7ab=90>01>k::0c6?85b?3;j9634>?34;jj7?n3:?2f4<6i=16:;4>a29~w6ce2909w0=j0;3;3>;4mj0:jo5rs2gb>5<5s49n87?77:?0ad<6nk1v>k;:18085b<3;mn63>ae82<==:9hn1=574}r1f5?6=:r78in4>869>7`7=9oh0q~=j2;296~;4m80:45523d095cd52z?0a4<60016?h=51g`8yv5b=3:1>v3fc9>7`g=9130q~=j7;296~;4m>0:jo523dc95<252z?0a=<6nk16?ho518d8yv5b13:1>v3869>044=9oh0q~:?e;296~;39;0:4:5241g95cd;j7>52z?74`<601168=h51g`8yv2683:1>v3;0d82<<=:<8:1=kl4}r0b0?6=;r79m=4>869>6d0=91301?o::0da?xu5i80;6?u22`495=><5;k:6l851g`897g2282<7p}=a383>7}::h;1=564=3c1>4`e3ty9m>4?:3y>6d7=91301?o<:0da?xu5k>0;6>u22b195=1<5;i26<66;<0`n:50;0x97e>282370{t:j31<74`e348h47?77:p6f3=838p1?m;:0:;?84d=3;mn6s|2b494?4|5;i?6<66;<0`2?7aj2wx>i:50;1x97ef282<70;5l<0:jo5rs3f0>5<5s48hi7?77:?1`6<6nk1v?mj:18084dm3;mn63;a082<==:899>6fd=9oh0q~52z?1gg<60116>nm51g`8yv4dl3:1>v3=cc82<<=::jn1=kl4}r0`b?6=:r79ok4>fc9>6a5=9120q~52z?1`4<6nk16>i=51868yv4c:3:1>v3=d382bg=::m91=4h4}r1:`?6=:r785?4>869>752z?0=`<60>16?4=51g`8yv5><3:1>v3<9282<==:;0>1=kl4}r1:1?6=:r785>4>889>7<3=9oh0q~;>e;297~;41<0:455238795=?<5<;n67}:;021=kl4=2;`>4>>3ty8544?:3y>77l:0;7?xu41h0;6?u238c95cd<5:3h6<7i;|q0=g<72;q6?4l51g`896?d28k97p}7}:;hh1=594=2`3>4`e3ty8mn4?:3y>7g6=91=01>ol:0da?xu4im0;6?u23`a95=><5:ko6cb83>6}:;ho1=564=2cf>4>>34;ho7?ib:p7c5=838p1>kk:0:4?85a<3;mn6s|3dg94?4|5:l?6<68;<1fa?7aj2wx?hh50;0x96cb282370=jf;3ef>{t;o:1<74>>349m<7?ib:p7g7=839p1>h>:0::?85a:3;2863899~w6`52909w0=i2;3ef>;4n90:445rs444>5<5s4?=?7?77:?62=<6nk1v88;:18183103;3;63:6582bg=z{<<>6=4={<750?7?027>:84>fc9~w0012909w0;94;3;=>;2>?0:jo5rs0`0>5<5s4;j57?77:?2f1<6nk1va`82bg=z{8ki6=4={<3be?7?027:mo4>fc9~w4gd2909w0?na;3;=>;6ij0:jo5rs0cg>5<5s4;jh7?ib:?2ef<6011vab82<<=z{8km6=4={<3bb?7aj27:mn4>959~w4d72909w0?m0;3ef>;6ij0:5k5rs0`2>5<5s4;i=7?ib:?2ef<6i;1vab82e6=z{;ki6=4<{<0b3?7??279mi4>889>6de=9oh0q~52z?1ea<6nk16>lm51958yv4f13:1>v3=a982<==::h31=kl4}r0be?6=:r79m54>889>6dg=9oh0q~o:519:897ga28li7p}=b583>7}::k>1=kl4=3`0>4>03ty9n=4?:3y>6d`=91201?l?:0da?xu5j80;6?u22`d95=?<5;h:6o;5195897de282270{t:k<1<74>?348i:7?ib:p6gd=838p1?lm:0da?84ei3;3;6s|2c594?4|5;h=6<67;<0a3?7aj2wx>o650;0x97d1282270{t:j:1<7=t=3``>4>0348h>7?79:?1g4<6nk1v?lk:18184d:3;3463=be82bg=z{;i96=4={<0`6?7aj279o<4>869~w7db2909w0;5jl0:jo5rs3`e>5<5s48ih7?79:?1fc<6nk1v?k>:18084c?3;3;63=e282<<=::l81=kl4}r0f4?6=:r79ho4>869>6`6=9oh0q~52z?1a6<6nk16>h<51958yv4c13:1>v3=d982<==::m31=kl4}r0ge?6=:r79h54>889>6ag=9oh0q~52z?1`a<6nk16>h>519;8yv4cm3:1>v3=dd82bg=::l:1=4:4}r0gb?6=:r79hk4>fc9>6`6=90l0q~=n3;296~;41o0:4:523`695cd52z?0e1<60>16?l>51g`8yv5f93:1>v3889>7d4=9oh0q~<81;296~;5>m0:4:5226095cd52z?137<60>16>;k51g`8yv41n3:1>v3=6d82<==::?l1=kl4}r044?6=:r79:h4>889>626=9oh0q~=n9;296~;4i<0:4:523`c95cd52z?0ed<60>16?l851g`8yv5f?3:1>v3889>7d>=9oh0q~=mf;296~;4j>0:4:523b295cd54z?0f2<6nk16?o:519:896d3282270:j5;3b7>{t;k21<74>0349i47?ib:p7g?=838p1>l7:0:;?85e13;mn6s|3cc94?4|5:h36<66;<1ae?7aj2wx?ol50;0x96de28li70=ma;3;<>{t;ki1<74`e349im7?79:p7gb=838p1>lk:0da?85ei3;286s|3cg94?4|5:hn6n2wx8=;50;0x9166282<70:?6;3ef>{t<981<74>034>;>7?ib:p055=838p19>=:0:;?827;3;mn6s|41694?4|5=:96<66;<630?7aj2wx8=l50;0x9160282<70:?c;3ef>{t<921<74>034>;47?ib:p05?=838p19>7:0:;?82713;mn6s|41c94?4|5=:36<66;<63e?7aj2wx89950;0x915d282<70:;8;3ef>{t<:n1<74>034>8h7?ib:p06c=838p19=k:0:;?824m3;mn6s|42d94?4|5=9o6<66;<60b?7aj2wx89>50;0x912728li70:{t<=;1<74`e34>8j7?79:p573=839p19:=:0:;?823:3;3563>2482bg=z{=>96=4={<676?7aj27??k4>959~w45?2908w0:;3;3;<>;3<:0:445212:95cd??7>52z?706<6nk168>h518d8yv23<3:1>v3;4582bg=:<:l1=l<4}r671?6=:r7?884>fc9>06`=9h90q~:;6;296~;34>034<36=91=01;<51g`8yv042909w08=:0:;?80428li7p}94;296~;1:3;356394;3ef>{t><0;6?u26482bg=:>=0:455rs7494?4|5?<1=kl4=7695=?4>034{t9m21<74>034;o57?ib:p5a1=838p1{t9jl1<74>>34;hj7?ib:p54b=839p11e82bg=z{8n;6=4={<3g4?7aj27:ok4>899~w4b62909w0?k1;3ef>;6ko0:445rs0f0>5<5s4;o?7?ib:?2`2<6011vd682<<=z{8n>6=4={<3g1?7aj27:h:4>959~w4b12909w0?k6;3ef>;6l>0:5k5rs221>5<5s48mi7?77:?046<6nk1v?hi:181857;3;3;63=fg82bg=z{::;6=4={<0eb?7?0278<=4>fc9~w6662909w0;4880:jo5rs26a>5<5s49?;7?77:?00f<6nk1v>:7:181853k3;3;63<4982bg=z{:>26=4={<17fc9~w62f2909w0=;8;3;=>;45<5s49?h7?77:?017<6nk1v>:j:181852:3;3;63<4d82bg=z{:>m6=4={<17a?7?02788k4>fc9~w6372909w0=;e;3;=>;4=90:jo5rs274>5<5s49>?7?77:?01=<6nk1v>;;:18185203;3;63<5582bg=z{:?>6=4={<160?7?0278984>fc9~w6312909w0=:4;3;=>;4=?0:jo5rs27g>5<5s49>57?77:?01`<6nk1v>;n:181852m3;3;63<5`82bg=z{:?i6=4={<16e?7?02789o4>fc9~w63d2909w0=:a;3;=>;4=j0:jo5rs2`6>5<5s49i=7?77:?0f3<6nk1v>l=:18185e>3;3;634>fc9~w6d32909w0=m2;3;=>;4j=0:jo5rs2d:>5<5s49m97?77:?0bd<6nk1v>h9:18185ai3;3;63fc9~w6`?2909w0=i6;3;=>;4n10:jo5rs2de>5<5s49mn7?77:?745<6nk1v>hl:18182783;3;63fc9~w6`b2909w0=ic;3;=>;4nl0:jo5rs4:0>5<5s4?<47?77:?6<1<6nk1v897:18683003;mn63:1b82e6=:=8n1=l:4=425>4g534?;j7?n2:p12?=838p186;:0:4?83013;mn6s|56c94?4|5<=26<67;<74e?7aj2wx9:l50;0x901>282270;8b;3ef>{t94>?34?;i4>889>534=9oh0q~;8d;296~;2?m0:jo5256`95=?52z?63`<6nk169:l51868yv30n3:1>v3:7g82bg=:=>h1=4h4}r7;4?6=:r7>4=4>fc9>12d=9h80q~;71;296~;2080:jo5256`95d57>52z?6<7<6nk169:l51`68yv3>l3:1>v3:9382<2=:=0o1=kl4}r7:7?6=:r7>5h4>869>1<5=9oh0q~;64;296~;21:0:455258695cd52z?6=6<6001694;51g`8yv71i3:1?v3:9782<==:=0<1=574=04b>4`e3ty>5;4?:3y>1<0=9oh0187::0:;?xu6?80;6>u258595=><5<3<6<66;<345?7aj2wx94950;0x90?028li70;65;3;=>{t=021<74`e34?297?64:p1=3;2j6s|58c94?4|5<3j6{t=0i1<74`e34?297?n4:p0=b=838p1968:0:4?82?m3;mn6s|49a94?4|5=2i6<68;<6;g?7aj2wx85650;0x91>b282<70:78;3ef>{t<131<74>?34>357?ib:p0=g=838p1967:0::?82?i3;mn6s|4c194?4|5=km6<68;<6a0?7aj2wx8o>50;0x91d3282<70:m0;3ef>{t4>?34>i=7?ib:p0g4=838p19l?:0::?82e:3;mn6s|4bg94?4|5=ij6<68;<6`b?7aj2wx8nl50;0x91ea282<70:lb;3ef>{t4>?34>ho7?ib:p0fb=838p19mm:0::?82dl3;mn6s|4dc94?4|5=o;6<68;<6ff?7aj2wx8h750;0x91c1282<70:j9;3ef>{t4>034>n=7?ib:p0`4=838p19k>:0:;?82b:3;mn6s|4d194?4|5=o:6<66;<6f7?7aj2wx8h:50;0x91c328li70:j3;3;<>{t4`e34>n?7?79:p0`1=838p19k8:0da?82b13;346s|4d:94?4|5=o36{t4>034>m>7?ib:p0c5=838p19h=:0:;?82a;3;mn6s|4g694?4|5=l96<66;<6e0?7aj2wx8k;50;0x91`228li70:i4;3;<>{t4`e34>m87?79:p0c1=838p19h8:0da?82a<3;286s|55294?4|5<926<68;<775?7aj2wx9>o50;0x9026282<70;{t=:h1<74>?34?8n7?ib:p16e=838p18=n:0::?834k3;mn6s|52f94?4|5<9o6k50;0x905b28li70;{t=:l1<74`e34?8o7?64:p674=838p1??l:0:4?845:3;mn6s|20f94?4|5;896<68;<02`?7aj2wx>e;3ef>{t:8l1<74>>348:j7?ib:p676=838p1??k50;0x9744282<70<=e;3ef>{t:;n1<74>03489h7?ib:p671=839p1?<8:0da?873<3;3463>4582<<=z{;8?6=4={<01a?7??279>94>fc9~w7422909w0<=4;3;<>;5:<0:jo5rs305>5<5s48987?79:?163<6nk1v<=i:180845>3;3463=2782<<=:9:l1=kl4}r0154>fc9>67b=9120q~<=9;296~;5:00:jo5223f95=?52z?16d<6nk16>?j51868yv45j3:1>v3=2c82bg=::;n1=4h4}r01g?6=:r79>n4>fc9>67b=9h80q~<<5;296~;5:o0:4:5222795cd52z?170<60>16>>>51g`8yv4493:1>v3=3182<==:::;1=kl4}r006?6=:r79?=4>889>664=9oh0q~<<3;296~;5;:0:jo5222095=>52z?171<6nk16>><519;8yv44k3:1>v3=3782<2=:::i1=kl4}r003?6=:r79?n4>869>661=9oh0q~<<8;296~;5;>0:455222:95cd52z?172<60016>>751g`8yv44i3:1>v3=3`82bg=:::31=564}r00f?6=:r79?o4>fc9>66?=9130q~<;3;296~;5;m0:4:5225195cd52z?106<60>16>>k51g`8yv44n3:1>v3=3d82<==:::l1=kl4}r074?6=:r79?h4>889>616=9oh0q~<;1;296~;5<80:jo5225295=>7>52z?107<6nk16>9>519;8yv43n3:1>v3=4582<2=::=l1=kl4}r07a?6=:r798l4>869>61c=9oh0q~<;a;297~;5<58??6<66;|q100<72;q6>9h51958972228li7p}=4783>7}::=?1=564=365>4`e3ty98:4?:3y>613=91301?:8:0da?xu5<10;6?u225:95cd<5;><6<67;|q10<<72;q6>9751g`8972028227p}=4c83>7}::=h1=kl4=36f>4>?3ty98n4?:3y>61e=9oh01?:j:0::?xu5n6<7;;|q113<72;q6>8>51958973128li7p}=5083>7}::<<1=594=372>4`e3ty99?4?:3y>607=91201?;=:0da?xu5=:0;6?u224395=?<5;?868:51g`8973428237p}=5483>7}::4>>3ty99i4?:3y>601=91=01?;k:0da?xu5=10;6?u224f95=1<5;?3686519:8973>28li7p}=5`83>7}::<21=574=37b>4`e3ty99o4?:3y>60d=9oh01?;n:0:;?xu5=j0;6?u224a95cd<5;?j6<66;|q121<72;q6>8k51958970328li7p}=5g83>7}::?>1=594=37e>4`e3ty9:=4?:3y>60`=91201?8?:0da?xu5>80;6?u224d95=?<5;<:6;<51g`8970628237p}=6283>7}::?91=kl4=342>4>>3ty9:o4?:3y>633=91=01?8m:0da?xu5>?0;6?u227`95=1<5;<=6;8519:8970028li7p}=6983>7}::?<1=574=34;>4`e3ty9:44?:3y>63?=9oh01?87:0:;?xu5>h0;6?u227c95cd<5;<36<66;|q645<72;q68km51958906728li7p};fe83>7}:=9:1=594=5dg>4`e3ty?jh4?:3y>0cb=912019hj:0da?xu3no0;6?u24gf95=?<5=lm67}:=:91=594=413>4`e3ty>?<4?:3y>166=912018=>:0da?xu2;;0;6?u252295=?<5<99628li7p}:5783>7}:=<31=594=475>4`e3ty>9:4?:3y>100=912018;8:0da?xu2=10;6?u254495=?<57}:<>81=594=54e>4`e3ty?;=4?:3y>03`=9120199?:0da?xu3?80;6?u247d95=?<5==:67}:4`e3ty?h54?:2y>0a>=9oh01<8<:0:;?871;3;356s|4e194?4|5=no6<68;<6g7?7aj2wx8i:50;0x91b4282370:k4;3ef>{t4>>34>o97?ib:p0a0=838p19j9:0da?82c=3;346s|4e594?4|5=n<628li70:kc;3;<>{t4`e34>oo7?79:p0ad=838p19jm:0da?82ck3;286s|53294?4|5<;<6<68;<714?7aj2wx9f;3ef>{t=821<74>034?:47?ib:p14?=838p18?7:0:;?83613;mn6s|50c94?4|5<;36<66;<72e?7aj2wx9a;3;<>{t=8i1<74`e34?:m7?79:p14b=838p18?k:0da?836i3;286s|ag83>7}:ik0:4:52ag82bg=z{hi1<75<5s4kh6<67;4`e3tyji7>52z?bg?7?127ji7?ib:pf2<72;q6n>4>869>f2<6nk1vo:50;0x9g1=91=01o:51g`8yvd22909w0l;:0:;?8d228li7p}m6;296~;e<3;3563m6;3ef>{t<1:1<7=t=556>4>0346282<70:86;3ef>{t<>=1<74>?34><;7?ib:p02>=838p1999:0::?82003;mn6s|46;94?4|5==26;68?0:jo5rs55b>5<5s4>9g9~w11c2909w0:8d;3ef>;3?10:m?5rs55f>5<5s4>fc9~w1g?2909w0:n2;3;3>;3i10:jo5rs5;e>5<5s4>j57?77:?7=c<6nk1v9o?:18182>n3;3463;a182bg=z{=k:6=4={<6:b?7?127?m<4>fc9~w1g42909w0:n3;3ef>;3i10:455rs5c7>5<5s4>j87?ib:?7e=<6001v9o::18182f=3;mn63;a982=1=z{=k=6=4={<6b2?7aj27?m54>9g9~w1g02909w0:n7;3ef>;3i10:m?5rs42:>5<5s4?;?7?77:?64<<6nk1v8>7:181837?3;3;63:0982bg=z{<:?6=4={<73=?7??27><94>fc9~w0622909w0;?4;3;<>;28<0:jo5rs425>5<5s4?;87?79:?643<6nk1v8?;:181837k3;3;63:1582bg=z{<;86=4={<724?7??27>=>4>fc9~w06c2909w0;>4;3;3>;28m0:jo5rs42f>5<5s4?;h7?78:?64`<6nk1v8>i:181837l3;3563:0g82bg=z{<;:6=4={<725?7aj27>=>4>899~w0752909w0;>2;3ef>;29:0:445rs40`>5<5s4?9:7?77:?66f<6nk1v8<8:181835k3;3;63:2682bg=z{<836=4={<713?7?027>>54>fc9~w04>2909w0;=7;3;=>;2:00:jo5rs40b>5<5s4?9m7?ib:?66<<6011v8fc9~w1?f2909w0:65;3;3>;31h0:jo5rs5;1>5<5s4>2n7?77:?7=7<6nk1v97<:18182>:3;3463;9282bg=z{=3?6=4={<6:6?7?127?594>fc9~w1?12909w0:66;3ef>;31h0:455rs5;4>5<5s4>2;7?ib:?7=d<6001v977:18182>03;mn63;9`82=1=z{=326=4={<6:=?7aj27?5l4>9g9~w<<72;q6m7?77:?:>4`e3ty;6=4={<;95=1<590:jo5rs083>7}:83;3463>:0da?xu52909w0>519;897<6nk1v<>i:18085=91201>4>889>55`=9oh0q~=50;0x96<6nk16>7?78:p0?6=:r7?6fc9>6?7f:2wx47>52z?;>4`e3481=l=4}rg4>5<5s4o:6<68;4`e3tyn=7>53z?f5?7aj27:=h4>899>54c=9130q~k=:1818c528li70k8:0:;?xub;3:1>v3j3;3ef>;b?3;356s|e583>7}:m=0:jo52e682=1=z{l?1<75<5s4o=64g53tyni7>52z?ffc9>a`<6011vho50;0x9`g=9oh01hk519;8yvce2909w0km:0da?8cb283?7p}jc;296~;bk3;mn63je;3:b>{tmm0;6?u2ee82bg=:ml0:m?5rsg494?4|5ll1=594=g495cd4>?34l;6<66;4`e3tym<7>52z?e4?7aj27m:7?78:pb4<72;q6j<4>fc9>b3<6001vk<50;0x9c4=9oh01k851868yv`42909w0h<:0da?8`1283m7p}i4;296~;a<3;mn63i6;3b6>{tn<0;6?u2f482bg=:n?0:m>5rsgg94?4|5o=1=594=gg95cd4>?34l36<66;4`e3tym47>52z?efc9>b`<6001vko50;0x9cg=9oh01kk51868yv`e2909w0hm:0da?8`b283m7p}ic;296~;ak3;mn63ie;3b6>{tnm0;6?u2fe82bg=:nl0:m>5rs026>5<5s4lm6<68;<331?7aj2wx==>50;0x946728li70??5;3;<>{t99;1<74`e34;;97?79:p554=838p1<>=:0da?877=3;286s|11194?4|58:86n2wx==:50;0x946328li70??5;3b6>{t99i1<74>034;;o7?ib:p551=838p1<>8:0da?877k3;346s|11:94?4|58:3628li70??c;3:0>{t99k1<74`e34;;o7?6f:p55d=838p1<>m:0da?877k3;j>6s|11g94?4|58:o6<68;<33a?7aj2wx=<;50;0x946a282<70?>5;3ef>{t98:1<74`e34;:97?78:p547=838p1:0da?876=3;356s|10094?4|58;96<2wx=<=50;0x947428li70?>5;3:b>{t98>1<74`e34;:97?n2:p54e=838p1c;3;=>{t9831<74`e34;:o7?64:p54g=838p1{t98o1<74`e34;987?78:p54`=838p1<2wx=??50;0x944628li70?=4;3:b>{t9;81<74`e34;987?n2:p575=838p1<<<:0da?875<3;j?6s|13;94?4|588>6<68;<31=?7aj2wx=?850;0x944128li70?=9;3;<>{t9;=1<74`e34;957?79:p57>=838p1<<7:0da?87513;286s|12294?4|588j6<68;<304?7aj2wx=?l50;0x944e28li70?<0;3;<>{t9;i1<74`e34;8<7?79:p57b=838p1<n2wx=?h50;0x944a28li70?<0;3b6>{t9:=1<74>034;8;7?ib:p564=838p1<==:0da?874?3;346s|12194?4|58986:50;0x945328li70?<7;3:0>{t9:?1<74`e34;8;7?6f:p560=838p1<=9:0da?874?3;j>6s|12g94?4|58936<68;<30a?7aj2wx=>750;0x945>28li70?{t9:k1<74`e34;8i7?79:p56d=838p1<=m:0da?874m3;286s|12a94?4|589h6n2wx=>j50;0x945c28li70?{t9=81<74>034;?>7?ib:p516=838p1<:?:0da?873:3;346s|15394?4|58>:6{t9=>1<74`e34;?m7?78:p513=838p1<:::0da?873i3;356s|15494?4|58>=6<2wx=9950;0x942028li70?;a;3:b>{t9=21<74`e34;?m7?n2:p51?=838p1<:6:0da?873i3;j?6s|14094?4|58>i6<68;<366?7aj2wx=9m50;0x942d28li70?:2;3;<>{t9=n1<74`e34;>>7?79:p51c=838p1<:j:0da?872:3;286s|15d94?4|58>m6n2wx=8>50;0x943728li70?:2;3b6>{t9<;1<74`e34;>>7?n3:p50g=838p1<;<:0:4?872i3;mn6s|14694?4|58??6{t9<<1<74`e34;>m7?64:p501=838p1<;8:0da?872i3;2j6s|14:94?4|58?3628li70?:a;3b7>{t9?;1<74>034;==7?ib:p50e=838p1<;l:0da?87193;346s|14f94?4|58?o6{t94`e34;==7?6f:p536=838p1<8?:0da?87193;j>6s|17;94?4|58<96<68;<35=?7aj2wx=;=50;0x940428li70?99;3;<>{t9?>1<74`e34;=57?79:p533=838p1<8::0da?87113;286s|17494?4|58<=6n2wx=;950;0x940028li70?99;3b6>{t9?21<74`e34;=57?n3:p526=838p1<8n:0:4?87083;mn6s|17`94?4|58{t9?n1<74`e34;<<7?64:p53c=838p1<8j:0da?87083;2j6s|17d94?4|58{t9>31<7=t=051>4>?34;<>7?79:?23<<6nk1v<9=:181870:3;mn63>7982<==z{8=86=4={<347?7aj27:;54>889~w4132909w0?84;3ef>;6?10:595rs056>5<5s4;<97?ib:?23=<61o1v<99:181870>3;mn63>7982e7=z{8=<6=4={<343?7aj27:;54>a29~w41f2909w0?89;3;3>;6?h0:jo5r}o4:0<<728qvb;7;a;295~{i>0>i6=4>{|l5=1e=83;pqc864e83>4}zf?3?i7>51zm2<2a290:wp`994294?7|ug<29<4?:0y~j3?2:3:1=vsa6870>5<6std=58:50;3xyk0>=<0;60?i6=4>{|l5=0e=83;pqc865e83>4}zf?3>i7>51zm2<3a290:wp`997294?7|ug<2:<4?:0y~j3?1:3:1=vsa6840>5<6std=5;:50;3xyk0>><0;60{|l5=3e=83;pqc866e83>4}zf?3=i7>51zm2<0a290:wp`996294?7|ug<2;<4?:0y~j3?0:3:1=vsa6850>5<6std=5::50;3xyk0>?<0;621<7?t}o4:3<<728qvb;78a;295~{i>0=i6=4>{|l5=2e=83;pqc867e83>4}zf?351zm2<1a290:wp`999294?7|ug<24<4?:0y~j3??:3:1=vsa68:0>5<6std=55:50;3xyk0>0<0;602i6=4>{|l5==e=83;pqc868e83>4}zf?33i7>51zm2<>a290:wp`998294?7|ug<25<4?:0y~j3?>:3:1=vsa68;0>5<6std=54:50;3xyk0>1<0;603i6=4>{|l5=4}zf?32i7>51zm25<6std=5l:50;3xyk0>i<0;60ki6=4>{|l5=de=83;pqc86ae83>4}zf?3ji7>51zm25<6std=5o:50;3xyk0>j<0;60hi6=4>{|l5=ge=83;pqc86be83>4}zf?3ii7>51zm25<6std=5n:50;3xyk0>k<0;60ii6=4>{|l5=fe=83;pqc86ce83>4}zf?3hi7>51zm25<6std=5i:50;3xyk0>l<0;60ni6=4>{|l5=ae=83;pqc86de83>4}zf?3oi7>51zm25<6std=5h:50;3xyk0>m<0;60oi6=4>{|l5=`e=83;pqc86ee83>4}zf?3ni7>51zm25<6std=5k:50;3xyk0>n<0;60li6=4>{|l5=ce=83;pqc86fe83>4}zf?3mi7>51zm2<`a290:wp`9a1294?7|ug5<6std=m=:50;3xyk0f8<0;68:182xh1i921<7?t}o4b4<<728qvb;o?a;295~{i>h:i6=4>{|l5e5e=83;pqc8n0e83>4}zf?k;i7>51zm2d6a290:wp`9a0294?7|ug5<6std=m<:50;3xyk0f9<0;6a;295~{i>h;i6=4>{|l5e4e=83;pqc8n1e83>4}zf?k:i7>51zm2d7a290:wp`9a3294?7|ug<4?:0y~j3g5:3:1=vsa6`00>5<6std=m?:50;3xyk0f:<0;6h8i6=4>{|l5e7e=83;pqc8n2e83>4}zf?k9i7>51zm2d4a290:wp`9a2294?7|ug5<6std=m>:50;3xyk0f;<0;6h9i6=4>{|l5e6e=83;pqc8n3e83>4}zf?k8i7>51zm2d5a290:wp`9a5294?7|ug5<6std=m9:50;3xyk0f<<0;6h>i6=4>{|l5e1e=83;pqc8n4e83>4}zf?k?i7>51zm2d2a290:wp`9a4294?7|ug5<6std=m8:50;3xyk0f=<0;6h?i6=4>{|l5e0e=83;pqc8n5e83>4}zf?k>i7>51zm2d3a290:wp`9a7294?7|ug5<6std=m;:50;3xyk0f><0;6h{|l5e3e=83;pqc8n6e83>4}zf?k=i7>51zm2d0a290:wp`9a6294?7|ug5<6std=m::50;3xyk0f?<0;621<7?t}o4b3<<728qvb;o8a;295~{i>h=i6=4>{|l5e2e=83;pqc8n7e83>4}zf?k51zm2d1a290:wp`9a9294?7|ug5<6std=m5:50;3xyk0f0<0;6h2i6=4>{|l5e=e=83;pqc8n8e83>4}zf?k3i7>51zm2d>a290:wp`9a8294?7|ug:3:1=vsa6`;0>5<6std=m4:50;3xyk0f1<0;6h3i6=4>{|l5e4}zf?k2i7>51zm2d?a290:wp`9a`294?7|ug5<6std=ml:50;3xyk0fi<0;6hki6=4>{|l5ede=83;pqc8nae83>4}zf?kji7>51zm2dga290:wp`9ac294?7|ug5<6std=mo:50;3xyk0fj<0;6hhi6=4>{|l5ege=83;pqc8nbe83>4}zf?kii7>51zm2dda290:wp`9ab294?7|ug5<6std=mn:50;3xyk0fk<0;6hii6=4>{|l5efe=83;pqc8nce83>4}zf?khi7>51zm2dea290:wp`9ae294?7|ug5<6std=mi:50;3xyk0fl<0;6hni6=4>{|l5eae=83;pqc8nde83>4}zf?koi7>51zm2dba290:wp`9ad294?7|ug5<6std=mh:50;3xyk0fm<0;6hoi6=4>{|l5e`e=83;pqc8nee83>4}zf?kni7>51zm2dca290:wp`9ag294?7|ug5<6std=mk:50;3xyk0fn<0;6hli6=4>{|l5ece=83;pqc8nfe83>4}zf?kmi7>51zm2d`a290:wp`9b1294?7|ug5<6std=n=:50;3xyk0e8<0;68:182xh1j921<7?t}o4a4<<728qvb;l?a;295~{i>k:i6=4>{|l5f5e=83;pqc8m0e83>4}zf?h;i7>51zm2g6a290:wp`9b0294?7|ug5<6std=n<:50;3xyk0e9<0;6a;295~{i>k;i6=4>{|l5f4e=83;pqc8m1e83>4}zf?h:i7>51zm2g7a290:wp`9b3294?7|ug<4?:0y~j3d5:3:1=vsa6c00>5<6std=n?:50;3xyk0e:<0;6k8i6=4>{|l5f7e=83;pqc8m2e83>4}zf?h9i7>51zm2g4a290:wp`9b2294?7|ug5<6std=n>:50;3xyk0e;<0;6k9i6=4>{|l5f6e=83;pqc8m3e83>4}zf?h8i7>51zm2g5a290:wp`9b5294?7|ug5<6std=n9:50;3xyk0e<<0;6k>i6=4>{|l5f1e=83;pqc8m4e83>4}zf?h?i7>51zm2g2a290:wp`9b4294?7|ug5<6std=n8:50;3xyk0e=<0;6k?i6=4>{|l5f0e=83;pqc8m5e83>4}zf?h>i7>51zm2g3a290:wp`9b7294?7|ug5<6std=n;:50;3xyk0e><0;6k{|l5f3e=83;pqc8m6e83>4}zf?h=i7>51zm2g0a290:wp`9b6294?7|ug5<6std=n::50;3xyk0e?<0;621<7?t}o4a3<<728qvb;l8a;295~{i>k=i6=4>{|l5f2e=83;pqc8m7e83>4}zf?h51zm2g1a290:wp`9b9294?7|ug5<6std=n5:50;3xyk0e0<0;6k2i6=4>{|l5f=e=83;pqc8m8e83>4}zf?h3i7>51zm2g>a290:wp`9b8294?7|ug:3:1=vsa6c;0>5<6std=n4:50;3xyk0e1<0;6k3i6=4>{|l5f4}zf?h2i7>51zm2g?a290:wp`9b`294?7|ug5<6std=nl:50;3xyk0ei<0;6kki6=4>{|l5fde=83;pqc8mae83>4}zf?hji7>51zm2gga290:wp`9bc294?7|ug5<6std=no:50;3xyk0ej<0;6khi6=4>{|l5fge=83;pqc8mbe83>4}zf?hii7>51zm2gda290:wp`9bb294?7|ug5<6std=nn:50;3xyk0ek<0;6kii6=4>{|l5ffe=83;pqc8mce83>4}zf?hhi7>51zm2gea290:wp`9be294?7|ug5<6std=ni:50;3xyk0el<0;6kni6=4>{|l5fae=83;pqc8mde83>4}zf?hoi7>51zm2gba290:wp`9bd294?7|ug5<6std=nh:50;3xyk0em<0;6koi6=4>{|l5f`e=83;pqc8mee83>4}zf?hni7>51zm2gca290:wp`9bg294?7|ug5<6std=nk:50;3xyk0en<0;6kli6=4>{|l5fce=83;pqc8mfe83>4}zf?hmi7>51zm2g`a290:wp`9c1294?7|ug5<6std=o=:50;3xyk0d8<0;68:182xh1k921<7?t}o4`4<<728qvb;m?a;295~{i>j:i6=4>{|l5g5e=83;pqc8l0e83>4}zf?i;i7>51zm2f6a290:wp`9c0294?7|ug5<6std=o<:50;3xyk0d9<0;6a;295~{i>j;i6=4>{|l5g4e=83;pqc8l1e83>4}zf?i:i7>51zm2f7a290:wp`9c3294?7|ug<4?:0y~j3e5:3:1=vsa6b00>5<6std=o?:50;3xyk0d:<0;6j8i6=4>{|l5g7e=83;pqc8l2e83>4}zf?i9i7>51zm2f4a290:wp`9c2294?7|ug5<6std=o>:50;3xyk0d;<0;6j9i6=4>{|l5g6e=83;pqc8l3e83>4}zf?i8i7>51zm2f5a290:wp`9c5294?7|ug5<6std=o9:50;3xyk0d<<0;6j>i6=4>{|l5g1e=83;pqc8l4e83>4}zf?i?i7>51zm2f2a290:wp`9c4294?7|ug5<6std=o8:50;3xyk0d=<0;6j?i6=4>{|l5g0e=83;pqc8l5e83>4}zf?i>i7>51zm2f3a290:wp`9c7294?7|ug5<6std=o;:50;3xyk0d><0;6j{|l5g3e=83;pqc8l6e83>4}zf?i=i7>51zm2f0a290:wp`9c6294?7|ug5<6std=o::50;3xyk0d?<0;621<7?t}o4`3<<728qvb;m8a;295~{i>j=i6=4>{|l5g2e=83;pqc8l7e83>4}zf?i51zm2f1a290:wp`9c9294?7|ug5<6std=o5:50;3xyk0d0<0;6j2i6=4>{|l5g=e=83;pqc8l8e83>4}zf?i3i7>51zm2f>a290:wp`9c8294?7|ug:3:1=vsa6b;0>5<6std=o4:50;3xyk0d1<0;6j3i6=4>{|l5g4}zf?i2i7>51zm2f?a290:wp`9c`294?7|ug5<6std=ol:50;3xyk0di<0;6jki6=4>{|l5gde=83;pqc8lae83>4}zf?iji7>51zm2fga290:wp`9cc294?7|ug5<6std=oo:50;3xyk0dj<0;6jhi6=4>{|l5gge=83;pqc8lbe83>4}zf?iii7>51zm2fda290:wp`9cb294?7|ug5<6std=on:50;3xyk0dk<0;6jii6=4>{|l5gfe=83;pqc8lce83>4}zf?ihi7>51zm2fea290:wp`9ce294?7|ug5<6std=oi:50;3xyk0dl<0;6jni6=4>{|l5gae=83;pqc8lde83>4}zf?ioi7>51zm2fba290:wp`9cd294?7|ug5<6std=oh:50;3xyk0dm<0;6joi6=4>{|l5g`e=83;pqc8lee83>4}zf?ini7>51zm2fca290:wp`9cg294?7|ug5<6std=ok:50;3xyk0dn<0;6jli6=4>{|l5gce=83;pqc8lfe83>4}zf?imi7>51zm2f`a290:wp`9d1294?7|ug5<6std=h=:50;3xyk0c8<0;68:182xh1l921<7?t}o4g4<<728qvb;j?a;295~{i>m:i6=4>{|l5`5e=83;pqc8k0e83>4}zf?n;i7>51zm2a6a290:wp`9d0294?7|ug5<6std=h<:50;3xyk0c9<0;6a;295~{i>m;i6=4>{|l5`4e=83;pqc8k1e83>4}zf?n:i7>51zm2a7a290:wp`9d3294?7|ug<4?:0y~j3b5:3:1=vsa6e00>5<6std=h?:50;3xyk0c:<0;6m8i6=4>{|l5`7e=83;pqc8k2e83>4}zf?n9i7>51zm2a4a290:wp`9d2294?7|ug5<6std=h>:50;3xyk0c;<0;6m9i6=4>{|l5`6e=83;pqc8k3e83>4}zf?n8i7>51zm2a5a290:wp`9d5294?7|ug5<6std=h9:50;3xyk0c<<0;6m>i6=4>{|l5`1e=83;pqc8k4e83>4}zf?n?i7>51zm2a2a290:wp`9d4294?7|ug5<6std=h8:50;3xyk0c=<0;6m?i6=4>{|l5`0e=83;pqc8k5e83>4}zf?n>i7>51zm2a3a290:wp`9d7294?7|ug5<6std=h;:50;3xyk0c><0;6m{|l5`3e=83;pqc8k6e83>4}zf?n=i7>51zm2a0a290:wp`9d6294?7|ug5<6std=h::50;3xyk0c?<0;621<7?t}o4g3<<728qvb;j8a;295~{i>m=i6=4>{|l5`2e=83;pqc8k7e83>4}zf?n51zm2a1a290:wp`9d9294?7|ug5<6std=h5:50;3xyk0c0<0;6m2i6=4>{|l5`=e=83;pqc8k8e83>4}zf?n3i7>51zm2a>a290:wp`9d8294?7|ug:3:1=vsa6e;0>5<6std=h4:50;3xyk0c1<0;6m3i6=4>{|l5`4}zf?n2i7>51zm2a?a290:wp`9d`294?7|ug5<6std=hl:50;3xyk0ci<0;6mki6=4>{|l5`de=83;pqc8kae83>4}zf?nji7>51zm2aga290:wp`9dc294?7|ug5<6std=ho:50;3xyk0cj<0;6mhi6=4>{|l5`ge=83;pqc8kbe83>4}zf?nii7>51zm2ada290:wp`9db294?7|ug5<6std=hn:50;3xyk0ck<0;6mii6=4>{|l5`fe=83;pqc8kce83>4}zf?nhi7>51zm2aea290:wp`9de294?7|ug5<6std=hi:50;3xyk0cl<0;698%H=.E023>"Hx]r/JUQKC%436+B5WY^T<<94$NrW|!@_WMI/:="Hx]r/JUQKC%436+C_XV~xe`|jn`of`Zhb|V;:7)ATy&E\ZBD,?:9"\?<;%MsP}"APVNH(;>=.TKN54=#Gy^s(KVPDB&543(C9;1/C}Zw$GZ\@F"18?$O=<<4$NrW|!@_WMI/:=8!D334?!Iw\q.MTRJL$725*A4XX]U;=:5+OqV{ C^XLJ.=<; K2^RW[4703-E{Xu*IX^F@ 361&M8T\YQ=129'KuR,ORTHN*907,F\U7?3-E{Xu*IX^F@ 361&LR[S]ZP00:8 JvSp-LSSIM+614-A]VXX]U:=55+OqV{ C^XLJ.=<; JXQ]SPZ45<2.D|Yv+FY]GG!07>'OS\Rz|ilpfjdkblVdnxR?>;%MsP}"APVNH(;>9.P30?!Iw\q.MTRJL$725*POJ=2.Yi{*8;)294?6.n2";6=4?)!*3>5<7!8:0$<>?0;3345<689:"==5'1623>41783;<<='>0:*2<56=91:;6<6?0(33?-4?89094=>52923-46< ::;<7=?0180456.:81#?=>?:2234?5789#+$>;?0;1645<4=9:"==5'3423>637839><='k;)634?2783>;<$??;)6645<3=9:188>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5?5=039167=5;8>0>>4FNQWW>DYA[K69?7?12:076>42:28<>6<62:106>52:292>6:=2:6:6>37:2?9>6;<2:776>32:2?=>6;72:7:5>053?:97;?=;711?3253??97;8=;751?3>63>80;=<47008374?57539;27=??;135<562:;36>?6:239>67<2:;76>?2:23=>6782:;:1>GKM9G<7LBJ0L,G<>GKM9G%H<64AMG3I+B5l2KGI=C!D3]SPZ6c3HFN<@ K2^RW[41GKM9Y%H?74AMG3W+C_Xl1J@H>\.DZS[URX8l1J@H>\.DZS[URX9l1J@H>\.DZS[URX:l1J@H>\.DZS[URX;8k0MAK?S/G[TZrtadxnblcjd^lfpZ10MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@6:2KTJ^LP18]JFP@#J\L;=95N_GQA[4?XAK_M(O[I0/F21>GXNZHT=4QFBTD'FP@7&M;:96OPFR@\5GXNZHT=4QFBTD'FP@7&Xi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQC753HUM_OQ=1^KAQC"E]O::86OPFR@\64YNJ\L/NXH?.E36?DYA[KU9=RGMUG&AQC6)L8;>7LQISC]15ZOE]O.IYK>!D337?DYA[KU9=RGMUG&AQC6)Ym1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEW3KOY^RG@BR`8F@TUW@EI_#Jl;CGQVZOHJZ$O=n5MESP\MJDT&M8:=6LJRS]JKGU)L;U[XR>>1:@FVWYNGKY%H?Q_T^325>DBZ[UBCO]!D3]SPZ4692HN^_QFOCQ-@7YW\V9i7OK]R^KLFV(Vl2HN^_QFOCQ-V@A6i2HN^_QFOCQ\pvojk{ojxhjPxnp\7>DRN11IY^QFNGM1?FC43JF@56MCK3Z\MJDc3JF@>UQFOC,SEA1EkgjaX|hm7;EcweVvbk<1Oiaova:G[T42X&LR[j6KWP06\*@^WWY^Tb:G[T40X&LR[Sy}fmsgmehccWgoSl5JXQ34[+C_Xo1NT]?8_/G[TZVSW9l0IU^>7^,F\UYW\V;m7HV_16]-A]VXX]U9=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`9^,F\UYW\V?:n6KWP0;\*@^WW}ybakaalgg[kcsWh1NT]=Q!EYR\TQY5n2OS\?>P.DZS[URX;o1NT]_/G[Tc=BPY8:S#KWP^RW[5`_/G[TZVSW=;i7HV_20]-A]VX|zcf~h`nmdf\j`rXi2OS\?C_X;8T"HV__QV\4c=BPY89S#KWP^RW[4`R JXQ]SPZ4a3LR[>?Q!EYR\TQY49k1NT]<=_/G[TZrtadxnblcjd^lfpZgC_X;9T"HV__QV\6c=BPY88S#KWP^RW[6`>Q!EYR\TQY29k1NT]<<_/G[TZrtadxnblcjd^lfpZgC_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[1`V$NT]Q_T^3e?@^W:?U%IU^PPU]1b>C_X;U%IU^i;DZS62Y)MQZT\YQ?f:G[T71X&LR[S]ZP1g9F\U40W'OS\R^[_3d8A]V5?V$NT]Q_T^1e?@^W:>U%IU^PPU]75g=BPY8i;DZS6=Y)MQZT\YQ>f:G[T7>X&LR[S]ZP2g9F\U4?W'OS\R^[_2d8A]V50V$NT]Q_T^6e?@^W:1U%IU^PPU]65g=BPY83S#KWP^vpmhtbfhgnhR`jt^c8A]V51V$NT]h4EYR1=Z(BPYU[XR>i;DZS6f:G[T7?X&LR[S]ZP2g9F\U4>W'OS\R^[_2d8A]V51V$NT]Q_T^62f>C_X;3T"HV__uqjiwciidooSck{_`9F\U57W'OS\k5JXQ13[+C_XVZ_S=h4EYR04Z(BPYU[XR?i;DZS75Y)MQZT\YQ=f:G[T66X&LR[S]ZP3g9F\U57W'OS\R^[_5d8A]V48V$NT]Q_T^72f>C_X::T"HV__uqjiwciidooSck{_`9F\U56W'OS\k5JXQ12[+C_XVZ_S=h4EYR05Z(BPYU[XR?i;DZS74Y)MQZT\YQ=f:G[T67X&LR[S]ZP3g9F\U56W'OS\R^[_53a?@^W;8U%IU^Ptrknv`hfelnTbhzPa:G[T64X&LR[j6KWP20\*@^WWY^TOI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG6?LIFK]=0EBOLT/F;?LIFK]$O=55FO@AW*A4c3@EJOY K2^RW[5bOHIJ^%H?Q_T^1g?LIFK]$O>R^[_5f8MJGD\'N9S]ZP5e9JKDES&M8T\YQ97:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?l;HMO4+B5WY^T=;5FOM2-U==NGE:%^HI:;HMQAT1R^[_1f8MJTBY'N9S]ZP1e9JKWCV&M8T\YQ=d:KLV@W)L;U[XR=k;HMQAT(C:VZ_S9j4INPFU+B5WY^T9i5FOSGR*A4XX]U=;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KTTFW9UDNXH!EYR\TQY49;1YMR>POCWE*@^WWY^T81:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U753[KT=RAMUG,F\UYW\V::>6\N_0]LFP@)MQZT\YQ>139QEZ7XGK_M"HV__QV\64cTFW;UDNXH!EYR\TQY69l1YMR;SC\7ZIE]O$O>R^[_0`8VDY4WFH^J#_6;SC\0ZIE]Oh0^LQ;_N@VB+Bd3[KT8RAMUG,G5f=UIV>TCO[I.E025>TFW=UDNXH!D3]SPZ6692XJS9Q@BTD-@7YW\V;o7_OP4^MAQC(BPY;97_OP4^MAQC(BPYU[XR>>2:PB[1YHJ\L%IU^PPU]257=UIV>TCO[I.DZS[URX:8o0^LQ;_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ;_N@VB+W>3[KT9RAMUG`8VDY2WFH^J#Jl;SC\1ZIE]O$O=n5]A^7\KGSA&M8:=6\N_4]LFP@)L;U[XR>>1:PB[0YHJ\L%H?Q_T^3a?WGX=VEIYK ^9:PB[3YHJ\Li7_OP6^MAQC(Ck2XJS;Q@BTD-@4e6\N_7]LFP@)MQZT\YQ?139QEZ0XGK_M"HV__QV\544TFW>UDNXH!EYR26>TFW>UDNXH!EYR\TQY79;1YMR9POCWE*@^WWY^T=<<4R@]4[JDRN'OS\R^[_33f?WGX?VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX?VEIYK ^9:PB[=YHJ\Li7_OP8^MAQC(Ck2XJS5Q@BTD-@4e6\N_9]LFP@)MQZT\YQ?139QEZ>XGK_M"HV__QV\544e:PB[=YHJ\L%IU^Ptrknv`hfelnTbhzPb:PB[=YHJ\L%]45]A^;\KGSAj2XJS4Q@BTD-@f=UIV3TCO[I.E3`?WGX1VEIYK K2038VDY>WFH^J#J=_QV\4470:Vji`ir|H$O>R^[_133?QojmfM#J=_QV\546<\`gncxzN.E0\TQY5i2^bahazt@,Rg>Rnele~xL ]EF3:?QojmfMRz|ilaqadrblVrd~R64ThofkprEi2^bahaztC,Gf>Rnele~xO K1c9Wmhch}}H%H???;UknajssJ'N9S]ZP0028Plkbg|~I"I7Zgkti0`?]E>=8>?TA#>0,VR556' Zznii.Iimg9$Scu{`ee,> ?)59[@HJ6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO43QEY:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa8:ZglZRneln0TifPThofGmcdcm1SheQ[ilgGaig~991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc:4ZDPL`>ei|&XnjN`{<1e:amp*TbnJd0=0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0<0i;blw+WcaKg~7=3 K119`jq)UmoIex1?1.E324>ei|&XnjN`{<0<-@7`2:+W692iex"\jfBlw848)ZLM:i6mat.PfbFhs484Tx~gbcsgbp`bXpfxTh6mat.PfbFhs4;4m7n`{/SgeGkr;:7$O==5lnu-QacEi|585"I?>0:amp*TbnJd0?0!D3d8gkr(ZllHby2=>/S25>ei|&XnjN`{<3<-V@A6m2iex"\jfBlw878X|zcfokntdf\|jtXl2iex"\jfBlw868a3jd#_kiCov?7;(C991hby!]egAmp959&M;:<6mat.PfbFhs4:4%H?h4cov,V``Df}682#_>1:amp*TbnJd0>0!RDE2a>ei|&XnjN`{<2<\pvojk{ojxhjPxnp\`>ei|&XnjN`{<5e:amp*TbnJd090Ptrkngwcf|lnTtb|Pd:amp*TbnJd080i;blw+WcaKg~793 K119`jq)UmoIex1;1.E324>ei|&XnjN`{<4<-@7763jd#_kiCov?1;(BPY897n`{/SgeGkr;=7$NT]Q{shoqakgjmmUeiyQi;blw+WcaKg~793 ^109`jq)UmoIex1;1.SGD5`=df}%YikMat=7=[qunejxnmykk_ymq[a=df}%YikMat=4=b>ei|&XnjN`{<7<-@465:+B6991hby!]egAmp909&M8:=6mat.PfbFhs4?4%IU^>6:amp*TbnJd0;0!EYR\TQY79?1hby!]egAmp909&LR[S]ZP1048gkr(ZllHby29>/G[TZVSW;;=7n`{/SgeGkr;>7$NT]Q_T^122>ei|&XnjN`{<7<-A]VXX]U?>?5lnu-QacEi|5<5"HV__uqjiwciidooSck{_g9`jq)UmoIex181.P32?fhs'[omOcz36?,QAB7b3jd#_kiCov?2;Ys{`gh~ho{ee]{kwYc3jd#_kiCov?3;`4:+B682iex"\jfBlw828)L8;;7n`{/SgeGkr;?7$O>/G[TZVSW9;=7n`{/SgeGkr;?7$NT]Q_T^322>ei|&XnjN`{<6<-A]VXX]U9=;5lnu-QacEi|5=5"HV__QV\7744:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:06'[:=6mat.PfbFhs4>4%^HI>e:amp*TbnJd0:0Ptrkngwcf|lnTtb|Pb:amp*TbnMeeii5lnu-QacBhfl$Oi6mat.PfbAiim'N:i6mat.PfbAiim'N9=>5lnu-QacBhfl$O>R^[_130?fhs'[omHb`j.E0\TQY6l2iex"\jfEmma+Wa3jd#_kiDnlf*WC@n2iex"ZfmdmvpGVum8;0ocz ThofkprEX{o%H<<4cov,Plkbg|~I\k!D031?fhs']cfib{{BQpf*A46?2iex"ZfmdmvpGVum'N9S]ZP0058gkr(\`gncxzMPsg-@7YW\V;:;6mat.Vji`ir|KZyi#J=_QV\647!D337?fu(fF|n~aov0/F1[URX88>0o~!aOwgqhd7&M8T\YQ>119`w*hH~lxgmt>!EYR21>et'gE}ibny1,F\UYW\V::96m|/oMuawjfq9$NT]Q_T^321>et'gE}ibny1,F\UYW\V89=6m|/oMuawjfq9$NT]Q{shoqakgjmmUeiyQj;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F155=d{&dDzh|cax3-A]V6=2ix#cAyesnb}4(BPYU[XR>>5:ap+kIqm{fju< JXQ]SPZ7592ix#cAyesnb}4(BPYUdc}eocnaaYim}Un7n} nNtfvig~9'[:<6m|/oMuawjfq8$YIJ:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJo4fr`,F@TU8{i0j~l BDPQ4w(Cl2lxn"LJRS2q*A7c3oyi#OK]R1p-@7753oyi#OK]R1p-@7YW\V::>6h|b.@FVW6u&M8T\YQ>139ewg)EM[X;~#J=_QV\6`=a{k%II_\?r/G[T45<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR<=4fr`,V`gcq8x%H?Q_T^127>`tj&Xnmiw>r/F1[URX<890j~l Rdcg}4t)L;U[XR;k;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMN8=7k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O=?94fr`,RUGXWfx;<=>>/gqa+SVFWVey<=>?1^F1*A45k2lxn"X_A^]lv56788%mo!YP@]\kw6789;TH? K2^RW[54d3oyi#[^N_^mq45679&lxn"X_A^]lv56788UO>#J=_QV\57e`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O>R^[_50`?cue'_ZJSRa}01235*`tj&\[MRQ`r12344YC:'N9S]ZP5348bvd(^YKTSb|?0122+cue'_ZJSRa}01235ZB5&X837k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hh5foco,AQGDC'OS\<=4in`n+@RFKB$NT]Q_T^227>ohjd%NXLMD.DZS[URX9890eblb/DVBGN(BPYU[XR<>3:klfh)B\HI@"HV__QV\74`#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I1:klfh)NGZUM_@QIFe327>ohjd%BC^QISL]EBa7)L8>0eblb/HMP[CUJWOLo=#J>159jkgk(AFYTJ^CPFGf2*A46;2cdn`!FOR]EWHYANm;%]<;4in`n+LITWOYFSKHk1/PFC47#J>4:klfh)NGZUM_@QIFe0-@4733`eia"G@S^DPIZ@Al;$O><74in`n+LITWOYFSKHk2/F1[URX8830eblb/HMP[CUJWOLo>#J=_QV\54?ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ4612cdn`!FOR]EWHYANm9%H?Q_T^12=>ohjd%BC^QISL]EBa5)L;U[XR:>3:klfh)NGZUM_@QIFe1-U43%Hohjd%BC^QISL]EBa36;2cdn`!FOR]EWHYANm?%H<:4in`n+LITWOYFSKHk5/F251=ngkg$EB]PFRO\BCb2&M8:?6g`bl-JKVYA[DUMJi;!Q078mjdj'@EXSK]B_GDg1+TBO8;0eblb/HMP[CUJWOLo:<=4in`n+LITWOYFSKHk6/F20>ohjd%BC^QISL]EBa0)L8;?7damm.KLWZ@TEVLMh; K2018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A46;2cdn`!FOR]EWHYANm=%]<;4in`n+LITWOYFSKHk7/PFC474:klfh)NGZUM_@QIFe:-@4733`eia"G@S^DPIZ@Al1$O><74in`n+LITWOYFSKHk8/F1[URX8830eblb/HMP[CUJWOLo4#J=_QV\545n5foco,RUGuz'[n7damm.TSEwt)ZLMi7dams.Aoadt6l2cdn~!Lldcq5+Bb3`ei"Mce`p2*A7b3`ei"Mce`p2*A4c3`ei"Mce`p2*T`ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0e?lie{&CDMNZ}.DZS51=ngky$EBOLTs,F\UYW\V::86g`br-JKDESz'OS\R^[_037?lie{&CDMNZ}.DZS[URX:8>0ebl|/HMBGQt)MQZT\YQ<219jkgu(AFKHX JXQ]wwlkumgkfiiQaeu]g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BC_kndx,R55=ngky$EB\jae{-V@A6l2cdn~!FOSgb`|Ys{`gh~ho{ee]{kwY>3`ei"G@U0a8mjdt'@E^=#MJb:klfv)NG\;%Hn5focq,MJS6&M;h7dams.KLQ4(C:k1bco} INW2*Tb<<4in`p+Iifl8$O>R^[_131?lie{&Fdmi?!D3]SPZ76:2cdn~!Co`f2*A4XX]U9=?5focq,Hjgc9'N9S]ZP3008mjdt'Eejh< K2^RW[1753`ei"B`ae3-@7YW\V?:>6g`br-Okdb6&M8T\YQ9c:klfv)Kghn:"\k4in`p+Iifl8$YIJ74in`p+Jhdmk1bco} Ooaf*Aeohjz%Dbnk!D332?lie{&Eeoh K2^RW[5763`ei"Aacd,G6ZVSW8;:7dams.Mmg`(C:VZ_S??>;hmaw*Iikl$O>R^[_232?lie{&Eeoh K2^RW[1763`ei"Aacd,G6ZVSW<;:7dams.Mmg`(C:VZ_S;l4in`p+Jhdm'[o7dams.Mmg`(UMN;;7dams.RP[CUJWOLo=<<4in`p+UUXNZGTJKj>.E30?lie{&ZXSK]B_GDg5+B69:1bco} PR]EWHYANm;%H??;;hmaw*VTWOYFSKHk1/G[T4?.DZS[URX:830ebl|/QQ\BVKXNOn:"HV__QV\74?ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\74>1:lB@jssDL:TCO[I.E325>hFLf@H>POCWE*A4682dJHb{{LD2\KGSA&X30bLJ`uuNF5a=iIMe~xAK>.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1008jDBh}}FN=RAMUG,F\U703gKOcxzCE0]LFP@)MQZT\YQ?169mEAir|EO:SBLZF/G[TZVSW8887cOKotvOA4YHJ\L%IU^Ptrknv`hfelnTbhzP119mEAir|EO:SBLZF/S26>hFLf@H?POCWE*WC@?2dJ_RH\Bc9mEVYA[K$[MIm4n@Q\BVDXAK_M;6`NS^KLFg=iIZUBCO _AEa8jDUXAFHTCO[Ie:lBWZOHJVEIYK Kf:lBWZOHJVEIYK K1g9mEVYNGKUDNXH!D3g8jDUXAFHTCO[I.P33?kGTW@EISBLZF/PFC2=iIfCHl4n@mvpJC)XHNn7cO`uuMF[JDRN-[n7cO`uuMF[JDRN'Nm7cO`uuMF[JDRN'N:j6`NotvLAZIE]O$O>h5aAnwwK@YHJ\L%]45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4443gHN^_QISC]LFP@)MQZTx~gbrdlbi`bXfl~T==5aBDPQ[CUEWFH^J#_6;o@FVWYNGKn0bOK]R^KLF+VFLl1eNH\]_HMA[LDRN=1eOL]7;oABW+VFL01eOL]POCWEf>hDIZUDNXH!Db9mGDUXGK_M"I?l;oABWZIE]O$O>o5aC@Q\KGSA&Xn0bNO\_N@VB+TBOh1eHYOLK^DPF`=iL]KHGRH\B/RB@c=iL]KHGRH\B^MAQC763gN_MNEPFR@\KGSA&M;97cJ[ABI\BVDXGK_M"I?>2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGD56=iL]KHGRH\B^MAQC(RADk0bIZNCJ]JKGc>4:lGmkIBWFH^J#KWP^RW[4733gNbbBKPOCWE*@^WWY^T><:4nEkmK@YHJ\L%IU^PPU]051=iL`dDIRAMUG,F\UYW\V>9<6`KioMF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q028jAir|FOTCO[I.SGD<>hKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fg?kJC[VCDNRAMUGd8jIBTW@EISBLZF/F24>hKLZUBCOQ@BTD-@4773gFO_RG@B^MAQC(C:8?0bAJ\_HMA[JDRN'N9S]ZP0078jIBTW@EISBLZF/F1[URX98?0bAJ\_HMA[JDRN'N9S]ZP2g9mHAUXAFHTCO[I.P32?kJC[VCDNRAMUG,QAB5hHMVEIYKo4nNG\KGSA&Mh0bBKPOCWE*A7e3gENSBLZF/F1g>hHMVEIYK JXQ32?kIBWFH^J#KWP^RW[5763gENSBLZF/G[TZVSW8;:7cAJ_N@VB+C_XVZ_S??>;oMF[JDRN'OS\R^[_23g?kIBWFH^J#KWP^vpmhtbfhgnhR`jt^c8jJCXGK_M"\94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F1a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;o0b_OBUVG\KGSA&X>0b_O\8:lQEV(WIM30b_O\_N@VBg=iZHYTCO[I.Ea8jWGTWFH^J#J>c:lQEVYHJ\L%H??>;oPBWZIE]O$O>R^[_132?kTF[VEIYK K2^RW[4763gXJ_RAMUG,G6ZVSW;h0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNo7c[KS^KLFZIE]Ol0bXJ\_HMA[JDRN'N:<6`ZDR]JKGYHJ\L%H<;4nWOB[LIEWFH^J#J=_QV\443hQEHUBCOQ@BTD-A]VX|zcf~h`nmdf\j`rXn2d]ALQFOC]LFP@)Y8;0b[CN_HMA[JDRN'XNKhPMVLXNo5aWD]EWG(WIMi0bZKPFR@\MGSA<2djah94ndef`bc03yexiiij9:pbi*DFK]xi7ob/CC@Pw(Ck2xja"LNCUp-@4etfe&HJOY|!EYR26>tfe&HJOY|!EYR\TQY79;1ym`!MABVq*@^WWY^T=<<4r`o,FDESz'OS\R^[_331?wgj'KKHX JXQ]SPZ56m2xja"LNCUp-A]VX|zcf~h`nmdf\j`rXj2xja"LNCUp-Ua=uid%IMNZ}.SGD<>tfe&Eeoho4r`o,Kkeb&Mh0~lc Ooaf*A7e3{kf#B`le/F155=uid%Dbnk!D3]SPZ6682xja"Aacd,G6ZVSW8;;7ob/Nl`a+B5WY^T>n5}al-Ljfc)MQZ:=6|nm.Mmg`(BPYU[XR>>1:pbi*Iikl$NT]Q_T^325>tfe&Eeoh JXQ]SPZ46l2xja"Aacd,F\UYs{`gyicobee]maqYf3{kf#B`le/S`?wgj'Fdhi#\JG0;8vdk(GginSy}fmbpfeqccWqeySo5}al-QEHBN[8n0~lc R@OGMV7)Ll1ym`!]ALFJW4(C9l1ym`!]ALFJW4(C:890~lc R@OGMV7)L;U[XR>>3:pbi*TFEMCX=#J=_QV\545.Pd8vdk(ZHGOE^?!RDEa?wgj'[KFHD]=d:pbi*TFEMCX>#Jj;scn+WGJL@Y9"I?j;scn+WGJL@Y9"I<>3:pbi*TFEMCX>#J=_QV\445#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8=0~lc RR]EWHYANm8%H?Q_T^425>tfe&XXSK]B_GDg6+W6;2xja"\\_GQN[C@c:'XNK?>4r`o,VVYA[DUMJi7:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:;6|nm.PP[CUJWOLo?#J=_QV\247k0:BC|7652O096"5jh09n55rSd`96g1=910:?9890c82f24d3m8;>7>51;3xW`e=:k=1=54>35454g<6j>8h7{Z74;295?7=b60`?S4el38py?><:09v652=82w/>o=52128 76f2;h=7o:3y03?4d2tci>7>5$32g>d7o?n3:1(?>k:`38j76d2110e5j50;&14ad=h58j0i76g77;29 76c2h;0b?>l:b98m=3=83.97>5$32g>d7o>l3:1(?>k:`38j76d2;10e4l50;&14a1=h58j0>76g63;29 76c2h;0b?>l:798m<7=83.95;hg5>5<5<l:078?lg1290/>=j5a09m65e=9=10el650;&14a3:9jed<72-8;h7o>;o03g?7532cjo7>5$32g>d75<#:9n1m<5a21a955=5<5<5<5<5<#:9n1><:4n32`>d=5<#:9n1><:4n32`>==5<#:9n1><:4n32`>3=5<#:9n1><:4n32`>1=6=4+21f964254o304>5<#:9n1><:4n32`>7=5<5<6=44o433>5<5<#:9n1><:4n32`>5=5<86=44o46b>5<5<t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjk;1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1o1<7?50;2x 7dd2;:27E=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1k1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj121<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh91<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0o1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj021<7?50;2x 7dd2;:27E2.9t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0>1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj081<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjl?1<7?50;2x 7dd2;:27Ea29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:aa2<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82`>"21h0:h6sr}|9~f4>e29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj74H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;4>5<6290;w)N5j;1b>=750;&1ff<5801/=kh54c9'65d=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`7ag<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f`?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg80e>"58k08m65rbdc94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f4>c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj78k;%03f?0c32wi98j50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb0;2>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811C>ol4V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2;90(87n:318yx{z3th>>44?:083>5}#:ki1>=74H3`1?l4713:1(?ll:32:?!7an3927){e=;k1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn88i:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6??4$4;b>778983>4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj7:?;%03f?2732wi=5750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;7>5<6290;w)N5j;1b>=750;&1ff<5801/=kh5499'65d=<110qo;65;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`643<7280;6=u+2ca965?<@;h97d?76sm51594?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~ft$3``>76>3A8i>6g=0883>!4ek38;56*>fg851>"58k0=965rbb194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd3=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg804>"58k08<65rbbf94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd1=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg86e>"58k0>m65rbbg94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg872>"58k0?:65rbbd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdd=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg81b>"58k09j65rbe294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdb=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg807>"58k08?65rbe394?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd`=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg800>"58k08865rbe094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f01c290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97f=#:9h1?n54}c74g?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?4f3-8;n7t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=in6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:01/>=l52898yg2dl3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ih4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an3?<7){e{zut1vn8>6:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;l;%03f?3d32wi94H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb40`>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5489'65d=<010qo;=b;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`637<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f776290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd927=#:9h1:?54}c024?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?5c3-8;n7=k;:a641=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;j6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=>?1/>=l56798yg4613:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th9=n4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39n7){e:8h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn??j:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7:>;%03f?2632wi>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb301>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5429'65d=<:10qo<=1;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`161<7280;6=u+2ca965?<@;h97b>76sm23194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f741290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd902=#:9h18:54}c011?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2f3-8;n7:n;:a671=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=no6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:l1/>=l52d98yg2ck3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th>?:4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39:7){e=:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn887:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj78?;%03f?0732wi95m50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb5`5>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5519'65d==910qo:m5;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`654<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f035290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97==#:9h1?554}c765?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2d3-8;n7:l;:a643=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;86=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`==l54d98yg46:3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ni4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39i7){e{zut1vn8:;:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;m;%03f?3e32wi99o50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb47e>5<6290;w)N5j;1d>=750;&1ff<5801/=kh53g9'65d=;o10qo;:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`7`3<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f01a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1=d=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>4l4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b5<7s-8io753;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4:2>5<6290;w)N5j;1d>=650;&1ff<58110qo;73;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3?<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95<=#=0k1=45r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0>529086=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi95850;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6<2<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm59:94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4:j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?>?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb215>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg55m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32094?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8?>4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb217>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;;n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=hl1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8l9:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1g3=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`c94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8ol:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6ea<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2il0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3fj3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3e83:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?423-?2m7<:;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m1;2954<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e2;?0(87n:378yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m773<,<3j6?;4}|~?l7>>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m773<,<3j6?;4}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>n?4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`960=#=0k1>85r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6?;4$4;b>7312\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6f6<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`04g<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e;881<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn>>l:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::o6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg57n3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5683:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5:f>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2>i3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th?4k4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3190;6<4?:1y'6ge=:j90D?l=;n037;:a0<4=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12?7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm48694?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?584?:583>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn978:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5;;>5<3290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f1?629036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm?1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vnih50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`ga?6=>3:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xdc>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vni950;394?6|,;hh6?m<;I0a6>i5810;6)=zjm31<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wihl4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a`g<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3tho47>55;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9jk1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f`=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8i86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g2<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f>=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?c883>2<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e4gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8i>6=4::183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6kj0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=nj50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a2<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9o>1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?f283>3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a5`>=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:i44?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e9lh1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jc;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`b=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8on6=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jf;29=?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2b5<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9o;1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9lk1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb200>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg55j3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a77?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj:;n6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm30d94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn><>:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6l2.>5l4>d:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm33094?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo==0;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f643290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d083>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5a?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:328yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8n86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1e694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e9m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2`0<72:0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4`229096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<582w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl>f683>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7a03:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`2bd<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?ib;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8l26=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1g494?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th95h4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2`594?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5i?0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>4h50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e5<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6d5=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>95+58c961=zutw0e4d43_;3m74?<,<3j6<74}|~?l7>>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T23twvq6g>9683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<612.>5l4>9:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2830(87n:0;8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4f<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e2;>0(87n:368yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:56*:9`82=>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:k;1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6d?=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9ml4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:hi1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>lj50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1o6j;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`961=#=0k1>95r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0986*:9`810>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e`<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f7ge290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?463-?2m7<>;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb23a>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg56<3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>?::182>5<7s-8io754;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=>8;2954<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67>290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>95+58c961=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd49?0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4;l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?9;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb26b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg54n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl<4383>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;7;292?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m74c<,<3j6!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:>36=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm35`94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f635290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg53l3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`00c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=:0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;e;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1n7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl<5583>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8984?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;<=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`01=<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;<31<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52>3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52k3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8::4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?8k50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:<;6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`026<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`021<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1=i5+58c95a=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`961=#=0k1>95r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?;;50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63a290<6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm37:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f614290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg51i3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`02f<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4>l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e4ge3_;3m74b<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0986*:9`810>{zut1b=4m50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5<2.>5l4=4:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m772<,<3j6?:4}|~?l7>n3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6f:T23twvq6g>a083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<612.>5l4>9:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k87[?7a;0x 0?e2830(87n:0;8yx{z3`;j87>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m85Y19c96~"21k0:56*:9`82=>{zut1b=l850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d0<^82j6?u+58`95<=#=0k1=45r}|8m4g0290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i00;6)P5jl0:wAa89U5=g=:r.>5o4>9:&6=d<612wvqp5f1`c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hk0Z<6n:3y'1i:0c`?S7?i38p(87m:0;8 0?f2830qpsr;h3b`?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f60a2909=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95a=#=0k1=i5r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4?90;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95a=#=0k1=i5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28n0(87n:0f8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:h6*:9`82`>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95a=#=0k1=i5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64bi2\:4l4={%7:f?7c3-?2m7?k;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64b{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;>0(87n:368yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=:6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95a=#=0k1=i5r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m64bo61?0;6)P5jl0:wA979U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0f8 0?f28n0qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82`>"21h0:h6sr}|9j5929K6gd<^;hn6i:0;a?S7?i38p(87m:368 0?f2;>0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?:4$4;b>72{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`95<=#=0k1=45r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8:o4?:683>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg50<3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th84=4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4??0;6<4?:1y'6ge=:j90D?l=;n037;:a72>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36c94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a72d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;>i1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?:j50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0e4g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36g94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=<6=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9;n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94=0:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3=j0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::d;295?6=8r.9nn4=c29K6g4<3th?9h4?:283>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?9o4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb542>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg21m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn98k:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c656?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:93;295?6=8r.9nn4=c29K6g4<3th?:84?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb545>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb544>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3>10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn986:180>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn98n:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`72g<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8;:50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm47d94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f11>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8:650;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb553>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<>;1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==?6=48:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn99::18;>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj===6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm46094?3=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==j6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:70;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=09<6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`73f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd3?l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3?k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8m;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2l80;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k0;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`6g0<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd2k10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn8m6:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4ab>5<693:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0ee290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38?7);6a;07?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c810>"21h0986sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1fe=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`961=#=0k1>95r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e2;>0(87n:368yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0986*:9`810>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4a4>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6g`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=l<6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;?4;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`646<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8kl50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e8`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7b`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=lm6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4gc94?>=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1a`=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;j0;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c6290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7f7?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=l>1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;j5;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c?290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6a<<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c529036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo8?5;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38:7);6a;02?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f363290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3ai3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6bf<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`545<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb721>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e=oh1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9hm50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4d4>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6??4$4;b>773-?2m7?6;|~y>{e=o<1<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn8kk:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1`c=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4d3>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2n80;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3a:3:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5g194?76290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m2:T2b283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j:1]=5o52z&6=g<612.>5l4>9:~yx=n90<1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283<7[?7a;0x 0?e2830(87n:0;8yx{z3`;247>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=<<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:545Y19c96~"21k0:56*:9`82=>{zut1b=4o50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=o>1<7?>:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:368 0?f2;>0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>ik4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>9:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2;%7:e?463twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?;96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo8?7;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd18h0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>m:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`54f<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a246=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?:26=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3i00;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7ed<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2fl3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8lk50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mk4?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0g6=83?1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2e93:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?n?4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mo4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7db=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8mn4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?l850;394?6|,;hh6?m<;I0a6>i5810;6)=zj:k36=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>o6:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e4ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3`c94?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;h=1<7:50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;ho1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>l9:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1bb?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=m0;295?6=8r.9nn4=c29K6g4<3th8n?4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`0>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`7>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o?50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8=;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb52e>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg27>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl;0883>6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`74g<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm41a94?3=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9>k:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1;47>56;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2a2>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:hm6=4;:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=3m6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:n7;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`7=f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12h7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd31l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o?:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i;0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i:0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i=0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7f4=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:ii6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3bc94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?n:50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:i=6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>m8:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6e?290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4l?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=k5;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0ga<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4l90;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8h<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?i<50;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>mi:186>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2683:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=84?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd39;0;6<4?:1y'6ge=:j90D?l=;n037;:a045=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1::7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a041=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=54?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<831<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:>c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`764<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e<8n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:>0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi8?=50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`761<7280;6=u+2ca96f5<@;h97b5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6f2=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;ij6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2b;94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>n850;394?6|,;hh6?m<;I0a6>i5810;6)=zj;i<6=4>:183!4ek38;:6F=b39l65>=83.9nn4=0998yg4b?3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9io4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2dd94?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5ml0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>h650;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1a<<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3g`>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1b1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:on1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6c7=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j?4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:o91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3d5>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?2<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e:o21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j44?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a01c=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=?96=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::9;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`70c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1><7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9;<:1825?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:i6*:9`82a>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c811>"21h0996sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1>85+58c960=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm44694?76290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1>85+58c960=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:378 0?f2;?0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::5;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?l7e:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?f290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6?;4$4;b>73j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb575>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0996*:9`811>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e:jh1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?j>:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6a6=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj;ih6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2bf94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn?mj:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:m21<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6a5=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9h94?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:m?1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=1:&6=d<592wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl=d`83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4cj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`1`f<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5m?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`1a4<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5m:0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7ad=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo=k8;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6b>290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c1ge?6=?3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bd290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bc290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bb290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0g8 0?f28o0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0`c<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4m90;684?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3dd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6`3290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2d2>5<6290;w)N5j;1d>=650;&1ff<58110qo=i2;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a07>=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=8m6=49:183!4ek3;2<6F=b39'5g2=:11b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo:=9;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'19m7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3:j0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:m0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm43`94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3080;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85j50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5:1>5<4290;w)N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn96<:182>5<7s-8io7397>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49494?5=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2?03:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85750;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85o50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30=0;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0g0290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3>m3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6=c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;n1;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2i;0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j63twvq6sm5`194?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:n84?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b394?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0`4>5<6290;w)N5j;1d>=650;&1ff<58110qo?m9;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5gg=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'158;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7em3:147>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6j10;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9jh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm31094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f66f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?=750;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb3de>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;9:1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0986*:9`810>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;991<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg57<3:147>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::=6=46:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0996*:9`811>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0996*:9`811>{zut1b=o?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;l91<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>k7:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1f0?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o>6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5b>3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>4;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3;b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg4>>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?78:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6c>29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5bj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`0af<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:li6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?4;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0bf<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4no0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2783:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?1;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg27:3:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=ie;291?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3;j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi89?50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb51g>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<:o1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f12529096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1?;7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl;4283>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg23<3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`700<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`5a?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0=3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th=j7>53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg17290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c51>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj>91<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7083>6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg1129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo6>:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c54>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e?10;6<4?:1y'6ge=:j90D?l=;n037;:a3<<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo9m:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7b83>2<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0n3:197>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d`83>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`0=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8ni6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4bd290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c3ga?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e9ml1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?j0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8o:6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?e383>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a6<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=ij50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f65c290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb21b>5<6290;w)N5j;1d>=650;&1ff<58110qo=1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=7c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0=4<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e;1n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi?5h50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4<50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2;4>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5>;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>7;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a7t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th85l4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;0h1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e;0o1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>o<:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1:b?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:k;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5f93:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5a=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8jl4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4n>0;6<4?:1y'6ge=:j90D?l=;n037;:a7c>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'18<7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a067=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th???4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<:91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e<:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn9=m:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c603?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=936=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2413:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2303:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?8i4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd37;:a01d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8l8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd2j10;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m9;295?6=8r.9nn4=c29K6g4<3th>no4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4``>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2jm0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8li:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3d83:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>o<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>nl4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ac=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0b3290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7g2?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k8;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9i750;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ag=83=1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9il50;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95a=#=0k1=i5r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>h84?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0a2=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj=n;6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4e394?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn9j=:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3mo0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8k:50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5d3>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06f29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:0c83>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e=9n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e=;:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8<8:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c715?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<896=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg35<3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35=3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;=3;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f04c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:2d83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35n3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`674<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`677<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a166=83>1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a125=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=i6=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;84;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f012290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c743?6=;3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=26=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`633<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16<<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:;n1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?=;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;8i6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg45k3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>?k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16c<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;9;6=47:183!4ek3287E=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'159;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673o6j80;6)P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>59;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22194?0=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;k0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb315>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e::=1<7?50;2x 7dd2;i87E29086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:h6*:9`82`>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4403:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?=l:18:>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;l0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>>h50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb364>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg43j3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28i0(87n:0a8yx{z3th98?4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl=4483>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j63twvq6sm25694?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5<10;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9750;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd54?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<;c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`117<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e:=n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi>8>50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn?:i:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a605=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;?26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|`111<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e:<<1<7=50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb37a>5;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:c;291<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73c290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64e6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4193:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`126<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<94;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a634=8391<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9:;4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27a94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f71629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb34;>5<6290;w)N5j;1d>=650;&1ff<58110qo<9a;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41l3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5=2.>5l4=5:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27g94??=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41n3:157>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?90;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?;0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb35g>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?9;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm26594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9;84?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:o50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0e6X>8`81!3>j38>7);6a;06?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82=>"21h0:56sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a62d=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?j0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5:50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:b>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6?:182>5<7s-8io77>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm29194?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th94<4?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd50?0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5950;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:;>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6=?=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6m:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd51:0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;h7);6a;3`?x{zu2wi>5m50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`15$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4>83:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:f>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e:081<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m<0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8h750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb5fe>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?i>4?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m=0;654?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74b<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a3<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5g4>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3403:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>?n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f05>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb41b>5<6290;w)N5j;1d>=650;&1ff<58110qo;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<9o6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a16c=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0:i6*:9`82a>{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0:i6*:9`82a>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i64cj2\:4l4={%7:f?423-?2m7<:;|~y>o61j0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2830(87n:0;8yx{z3`;j=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m?5Y19c96~"21k0:56*:9`82=>{zut1b=l=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d5<^82j6?u+58`95<=#=0k1=45r}|8m4g3290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i?0;6)P5jl0:wAa79U5=g=:r.>5o4>9:&6=d<612wvqp5f1`594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h=0Z<6n:3y'1i:0c;?S7?i38p(87m:0;8 0?f2830qpsr;h3b=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:ml4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ed=Q91k1>v*:9c82=>"21h0:56sr}|9j5de=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lm4V0:b>7}#=0h1=45+58c95<=zutw0e4gc3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55294?46290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>:44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57f94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f00f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb44a>5<6290;w)N5j;1d>=650;&1ff<58110qo;9c;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;7e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6=7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e=1l1<7=50;2x 7dd2;h27E=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1<6=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4;2>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5`a>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg2e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9l6:182>5<7s-8io7im7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a14b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6k2.>5l4>c:~yx=zj<;86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm50694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8?::180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`652<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`960=#=0k1>85r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?7:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?m:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a105=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo;:4;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd2=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8;7:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm54;94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi98850;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2winh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6smc383>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3`?!3>i3;h7psr}:afc<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1:183!4ek38h?6F=b39l65>=83.9nn4=0998yge629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3thh:7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|``3?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjj21<7?50;2x 7dd2;i87Et$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1ii7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn9li:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2d:3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n?50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g0<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m773<,<3j6?;4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i=6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg33=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>844?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j7;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi99950;394?6|,;hh6?m<;I0a6>i5810;6)=zj<>36=49:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`60f<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=<:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8:k:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<>n6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg33n3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f00129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31:3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`621<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31;3:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9j8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74e<,<3j64?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:k9;295?6=8r.9nn4=c29K6g4<3th?hl4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;1h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>6n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:296=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5?<3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5;50;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95a=#=0k1=i5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64b{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6l2.>5l4>d:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=i5+58c95a=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74b<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6l2.>5l4>d:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>95+58c961=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m74?<,<3j6<74}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5950;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0f8 0?f28n0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0f8 0?f28n0qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82`>"21h0:h6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=i5+58c95a=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74b<,<3j6j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=i5+58c95a=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:0;8 0?f2830qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;131<7<>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:h6*:9`82`>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fd<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo>50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2>5<6290;w)N5j;1d>=650;&1ff<58110qo=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi87>55;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>7>53;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb783>77=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6io0;6)P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2o7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4h4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74g63_;3m773<,<3j6?;4}|~?l7f:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c811>"21h0996sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1>85+58c960=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e?3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=h5+58c95`=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74c<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6m2.>5l4>e:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e2;?0(87n:378yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5=2.>5l4=5:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m773<,<3j6?;4}|~?l7>n3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c811>"21h0996sr}|9j5d7=83.9nn4>929K6gd<^;hn6i:0c2?S7?i38p(87m:378 0?f2;?0qpsr;h3b6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38>7);6a;06?x{zu2c:m>4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a4?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0494?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~fg<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjo0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<7>51083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0;8 0?f2830qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=45+58c95<=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74?<,<3j6<74}|~?l7>j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=h5+58c95`=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:378 0?f2;?0qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9:0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:87>52083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k97[?7a;0x 0?e2830(87n:0;8yx{z3`;j?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e1<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m95Y19c96~"21k0:56*:9`82=>{zut1b=l;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d3<^82j6?u+58`95<=#=0k1=45r}|8m4g1290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i10;6)P5jl0:wAa99U5=g=:r.>5o4>9:&6=d<612wvqp5f1`;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h30Z<6n:3y'1i:0cb?S7?i38p(87m:0;8 0?f2830qpsr;h3bg?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mi4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ea=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?::187>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vnk>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rbg394?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg`5290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xda;3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab1<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wij84?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`960=#=0k1>85r}|8m4?1290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0996*:9`811>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{en00;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;80(87n:308yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:m14=<72-8io7{zut1vnko50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73?2\:4l4={%7:f?423-?2m7<:;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fcd=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1>85+58c960=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m773<,<3j6?;4}|~?l7>i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T23twvq6g>9c83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61k1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=h5+58c95`=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74c<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6m2.>5l4>e:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>85+58c960=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m773<,<3j6?;4}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wijh4?:3394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjol1<7950;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`245<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e99;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68;0;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6b383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m74c<,<3j6>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<>4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0996*:9`811>{zut1b=o=50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<5=2.>5l4=5:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2830(87n:0;8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0583>1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0483>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a550=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5n4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90i0Z<6n:3y'1l2\:4l4={%7:f?423-?2m7<:;|~y>o61l0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?a290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i;0;6)P5jl0:wAa39U5=g=:r.>5o4>9:&6=d<612wvqp5f1`194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a55>=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c094?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=2=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1=h5+58c95`=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m74c<,<3j6i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T29c83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1>85+58c960=zutw0e<7l:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5i4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90n0Z<6n:3y'1m2\:4l4={%7:f?423-?2m7<:;|~y>o61o0;6)P5jl0:wA9g9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h;0Z<6n:3y'1i:0c1?S7?i38p(87m:0;8 0?f2830qpsr;h3b7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m94?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e1=Q91k1>v*:9c82=>"21h0:56sr}|9j5d3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l;4V0:b>7}#=0h1=45+58c95<=zutw0e4g13_;3m74?<,<3j6<74}|~?l7f?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n7:T23twvq6g>a983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i11]=5o52z&6=g<612.>5l4>9:~yx=n9h31<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`;8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kj7[?7a;0x 0?e2830(87n:0;8yx{z3`;jo7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ea<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mi5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7713:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg77i3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=n9k;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74c<,<3j6k3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=f=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;g?S7?i38p(87m:378 0?f2;?0qpsr;h3:a?6=,;hh6<7<;I0af>P5jl0:wA9d9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6?;4$4;b>73o6i;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`960=#=0k1>85r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8:o6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;`?S7?i38p(87m:0g8 0?f28o0qpsr;h3:`?6=,;hh6<7<;I0af>P5jl0:wA9e9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7j;W3;e?4|,<3i6?;4$4;b>73{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`960=#=0k1>85r}|8m4g5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0996*:9`811>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2;?0(87n:378yx{z3`;j=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<5=2.>5l4=5:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n3:T2a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i6?;4$4;b>73{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n2:T2a283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i:1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`68R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m:5Y19c96~"21k0:56*:9`82=>{zut1b=l650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d><^82j6?u+58`95<=#=0k1=45r}|8m4g>290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ij0;6)P5jl0:wAab9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`f94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hn0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`255<72?0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0;8 0?f2830qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f47629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>?5+58c967=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m774<,<3j6?<4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10194?2=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0996*:9`811>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1583>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?>5;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=<950;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10:94?1=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:=44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76j3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76k3:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb03g>5;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0996*:9`811>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a54`=8331<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88;6=48:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a577=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8896=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6::0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88?6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:<0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0:i6*:9`82a>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95`=#=0k1=h5r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c?2\:4l4={%7:f?7b3-?2m7?j;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0g8 0?f28o0qpsr;h3:f?6=,;hh6<7<;I0af>P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88=6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95`=#=0k1=h5r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:378 0?f2;?0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:>:4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<<7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:378 0?f2;?0qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f44f290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m773<,<3j6?;4}|~?l7e;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'112\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`26g<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2;?0(87n:378yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg75k3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<5=2.>5l4=5:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a57b=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`967=#=0k1>?5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?<4$4;b>743-?2m7?6;|~y>{e9::1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>{e9:;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:??4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?7>3-?2m7?6;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>9:&6=d<612wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?<3;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m6735Y19c96~"21k0996*:9`811>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95<=#=0k1=45r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=>:50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e2;?0(87n:378yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:56*:9`82=>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f45129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9:21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7413:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56g=8321<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e4d73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm12`94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj89n6=4<:183!4ek3287E6*:9`816>{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`967=#=0k1>?5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3g83>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=91<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73<3:1;7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb066>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a511=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:844?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4`83>0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9=h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn<:l:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73l3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4d83>1<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=l1<7;50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5183>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8?50;494?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:2;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`216<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m774<,<3j6?<4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8??6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<;::187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg72>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5683>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:954?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=00;6:4?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=k0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:c;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f43c290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8k50;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`21c<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f407290=6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6>80;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;<50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5:2.>5l4=2:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f403290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`220<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb045>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<86:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg71j3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<8k:184>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?9f;292?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0e4gb3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm16294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5:2.>5l4=2:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5239'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;?4?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:=50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9>>1<7650;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=>6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41129036=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb054>5<0290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05:>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c811>"21h0996sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;l4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41e290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>85+58c960=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=h6=48:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<9k:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05f>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`23c<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e91:1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3897);6a;01?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c816>"21h09>6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?71;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8296=4<:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=5=8391<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?8583>3<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=3=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=0=83=1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:56*:9`82=>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?;2wxh94?:04x9a2=:9201>8k:0;7?851m3;2863<6g82=1=:;>:1=4:4=4af>4?3349397?64:?0<3<61=16:7?64:?4>4?334;96<7;;<30>4?334;?6<7;;4?334;;:7?64:?242<61=16==m51868946c283?70??e;3:0>;68o0:595213095<2<58886<7;;|qa4?6=;r7i=7;c;3;2?6s|d283>40|5m91>=64=252>4?3348<:7?64:?67`<61=169>h518689027283?70=77;3:0>;4010:595239;95<2<5?0:58527;3:1>;6;3;2963>4;3:1>;am3;2863>0982=1=:99i1=4;4=02g>4?234;;i7?65:?24c<61<16=?:518689442283?70?=6;3:0>{t0o0;6>u291814<=Y0o16n44>929~wg?=8389w0l6:32;?851l3;2963<6d82=0=:;?l1=4;4=253>4?2349<=7?65:?126<61=169>k51878905a283>70;;0;3:1>;40<0:585239495<3<5:2<6<7:;<1;=278444>949>2?7f827<64?234;864g734lo6<7:;4?234;;:7?65:?242<61<16==651878946d28k;70??d;3b4>;68l0:m=5211d95d6<58896<7:;<317?7>=27:>94>949>573=90?01<<9:0;6?xu?l3:1?v37e;03=>X?l27i47?63:pf=<72:;p1o6521:890>1283?70=94;3:0>;4>m0:m=5237g95d6<5:a19>005=90>019;;:0;7?85cm3;2863=4982=1=::<=1=4:4=5g5>4?334?8i7?n0:?67c<6i91699>51`2896>228k;70=76;3b4>;40>0:m=5239:95d6<5:2260:mo521382e5=:9:0:mo521582eg=:n?0:5952fc82=1=:nm0:m=52fd82e5=:99;1=4:4=021>4?334;;:7?n0:?242<6i916==651`28946d28ki70??d;3bf>;68l0:mo5211d95dd<5889694>a19>573=9h:01<<9:0c3?87513;2863>2`82=1=:9:k1=4:4=06e>4?33ty3n7>53z?;g?4712T3n63m7;3:7>{tj>0;6>?t=c5965><5<2=6<7:;<151?7><278:i4>ac9>73c=9hh01>8i:0ca?85083;jn63<7082eg=:<4?3349oi7?65:?10=<61<16>8>5186891c0283?70;;2;o0:mo5255295dd<5:2>6ac9>7=>=9hh01>66:0ca?80=9ho01:4>ad9>55<61=16=?4>ac9>56<6il16=94>ad9>bd<61=16jn4>959>ba<6ik16jh4>ac9>555=90>01<>9:0ca?877?3;jn63>0982eg=:99i1=lk4=02g>4gb34;;i7?ne:?24c<6il16=?<51``8944428ki70?=4;3bf>;6:<0:mo5213495dd<588i6<7;;<31g?7><27:?l4>949>51`=90?0q~66:1808>f2;:27S66;<`5>4?43tyi:7>523y>f3<58116?;j51`g8960b28kn70=9f;3ba>;4?90:mh5236395dc<5;>>6<7;;<70a?7fm27>?k4>ad9>116=9ho01>6::0cf?85?>3;ji63<8682e`=:;121=lk4=2::>4gb34<1=lh4=682ec=:9;0:mh521282ec=:9=0:mk52fe82e`=:nl0:mh5211495dc<58:<6ag9>55b=9hl01<>j:0ce?877n3;jj63>2382e`=:9;91=lk4=007>4gb34;997?ne:?263<6il1v5950;1x9=>=:930R594=c795<56=4=2z?a1?470278:i4>ag9>73c=9hl01>8i:0ce?85083;jj63<7082ec=:::31=4:4=41f>4ga34?8j7?nf:?605<6io16?5;51`d896>128km70=77;3bb>;4010:mk5239;95d`<5?0:n=527;3a4>;6:3;jj63>3;3a4>;6<3;i<63id;3bb>;am3;jj63>0782ec=:99=1=lh4=02;>4ga34;;o7?m0:?24a<6j916==k51c28946a28h;70?=2;3bb>;6::0:mk5213695d`<588>6<3<5801U4852b582=6=z{k>1<7<={<`7>76?3498>7?64:?02a<6j916?;k51c28960a28h;70=80;3a4>;4?80:n=5252g95g6<5<9m6b19>7=0=9k:01>68:0`3?85?03;i<63<8882f5=:>3;i=638:0`2?87528h;70?<:0`2?87328h:70hk:0`3?8`b28h;70??6;3a4>;68>0:n=5211:95g6<58:h6;<33`?7e927:

b09>55`=9k;01<<=:0`3?875;3;i<63>2582f5=:9;?1=o>4=005>4d73tyj>7>53z?b7?4712Tj>63lc;3:7>{tkj0;65kt=ba965><5<2=6<27>mh4>959>1g6=90>018l>:0;7?83e:3;2863;9482=1=:<0<1=4:4=0ge>4?334;m<7?64:?2b4<61=16???518689645283?70=;4;3:0>;4<278:i4>b09>73c=9k;01>8i:0`2?85083;i=63<7082f4=:4?334?ho7?64:?6a2<61=169h65186890c>283?70;i1;3:0>;2n;0:59525g195<2<5<27?n<4>959>0d6=90>019o>:0;7?82f:3;2863;a282=1=:1=4:4=3d6>4?3348m:7?64:?1b<<61=16?im5186896bc283?70=ke;3b4>;4lo0:595249;95<2<5<27>m>4>959>1d2=90>01>><:0;7?857<3;2863<0482=1=:?k0:59527b82=1=:?m0:59527d82=1=:9l91=4:4=4a3>4?334?h=7?64:?6`f<61=16>9651`28972>283?70<89;3:0>;5?h0:595226`95<2<5;236<7;;<6f0?7><27>?h4>b09>16`=9k;018:?:0`2?836?3;2863:1982=1=:=<21=4:4=47:>4?334?=87?64:?620<61=16?5;51c3896>128h:70=77;3a5>;4010:n<5239;95g7<5=0:59526;3a6>;028h970?=:0`2?87428h970?;:0`1?8`5283?70h<:0;7?8`2283?70hk:0`2?8`b28h:70??6;3a5>;68>0:n<5211:95g7<58:i6<7;;<33g?7e:27:b39>55c=9k801<>i:0`1?876l3;2863>1d82=1=:98l1=4:4=001>4d634;9?7?m1:?261<6j816=?;51c38944128h:70?<0;3:0>;6;>0:595212:95<2<58926<7;;<30e?7f827:?o4>959>514=90>01<:<:0;7?873>3;2863>4682=1=:9=21=4:4=06:>4?334;?o7?64:?20a<61=16=9k51868942a28k;70?:0;3:0>;6==0:595214595<2<58?36<7;;<36f?7><27:9n4>959>50b=90>01<;j:0;7?872n3;2863>6`82=1=:9>81=4:4=050>4?334;387?64:?2<0<61=1v4h50;1x9d6=:930R4h4=b`95<54:4>949>1dc=90?018l?:0;6?83e93;2963:b382=0=:<0=1=4:4=5;;>4?33499=7?65:?067<61<16??:518689642283?70=;4;3:1>;4<>0:595234:95<3<5:?26<7:;<157?7><278:94>949>733=90?01>8k:0`1?851m3;i>63<6g82f7=:;>:1=o<4=252>4d534?ho7?65:?6b4<61<169k<5187890`4283>70;i4;3:1>;3i90:58524`395<3<5;l>6<7:;<0e2?7>=279j:4>959>6c>=90>01?h6:0;6?822;3;2963;5582=0=:<4?2349oj7?65:?046<61<16?=:518789662283>709m:0;6?81d283>70;k8;3:0>;2l00:59525ea95<3<5;8n6<7;;<01b?7><279?=4>959>61?=90?01?;n:0;7?842j3;2863=5b82=1=::?n1=4:4=35:>4?2348565187891c3283>70:j6;3:1>;3m>0:585252g95g4<5<9m6=:4>949>14>=90?018?6:0;7?836i3;2863:1c82=1=:=<21=4;4=47:>4?234?=87?65:?0<0<6j;16?5851c0896>028h970=78;3a6>;4000:n?524;3:1>;128h870951c189c<61=16==4>949>57<6j;16=>4>b29>51<6j:16j>4>949>b1<61=16j84>949>b3<61<16jl4>949>bg<61<16jn4>949>ba<6j;16jh4>b39>557=90?01<>=:0;6?877;3;2963>0782f7=:99=1=o<4=02;>4d534;;n7?65:?24f<6j:16==j51c18946b28h870??f;3a7>;69m0:585210g95<3<58;m6<7:;<316?7e:27:>>4>b39>572=9k801<<::0`1?875>3;i>63>2882=0=:9;k1=4;4=00a>4?234;9o7?65:?274<61=16=><518689454283?70?<4;3:0>;6;k0:585215095<3<58>86<7:;<372?7>=27:8:4>949>506=90?01<;8:0;6?87203;2963>6282=1=:9?>1=4:4=046>4?334;=:7?64:?222<61=16=;l518689415283>70?83;3:1>;6?=0:595216795<2<58==6<7;;|q:`?6=;r72i7;d=3;2?6s|c483>2c|5j?1>=64=4:5>4ge34?3;7?n0:?6f4<6i9169o<51`28964628k;70==2;3b4>;4:=0:585235695d6<5:><6<7:;<16=?7f8278:>4>949>73b=9k901>8j:0`0?851n3;i?63<7182f6=:;>;1=o=4=54a>4?234?m?7?n0:?6b1<6i9168l>51`2891g5283>70:n3;3:1>;3i=0:58522g;95d6<5:nh6<7:;<1g`?7>=278hh4>ac9>7a`=9h:018o>:0;6?83f:3;2963:a282=0=:=h>1=4;4=227>4g7349;97?n0:?4f?7f827=27>hl4>959>1ad=90>018jl:0c3?84303;jn63=4882e5=::4g7348;2;o0:n>5255295g5<5<;<6=44>949>10?=9h:01n7518689f?=90?0188;:0c3?831=3;2963<8482f6=:;1<1=o=4=2:4>4d4349347?m3:?0<<<6j:1687?n0:?5>4?134=1=484=g82=0=:9;0:n>521282=3=:9=0:5;52f582=0=:n<0:m=52fc82e5=:nj0:m=52fe82f6=:nl0:n>5211095d6<58:86b29>55>=9k901<>m:0c3?877k3;2:63>0e82=3=:99o1=484=02e>4?134;:j7?n0:?267<6j:16=?=51c18944328h870?=5;3a7>;6:?0:n>5213;95d6<588j6n4>a19>567=90?01<==:0;6?874;3;2963>3582=0=:9:=1=4;4=01;>4?234;857?65:?27d<6ik16=>l51`28942528k;70?;6;3b4>;6<10:585215;95<3<58>h6<7:;<37`?7>=27:8h4>949>51`=9hh01<;?:0c3?872?3;j<63>5c82=0=:94?234;>i7?65:?21c<61<16=;=518789403283>70?84;3:1>;6?<0:585rs8`94?5|50i1>=74^8`89f2=9090q~m;:187e~;d<38;463;9782=0=:;;;1=ll4=201>4ge349?87?nb:?002<6i916?;=51`28960328k;70=95;3b4>;4>m0:5;5237g95<0<5:>278;<4>979>03?=90?019l>:0;6?822;3;j<63;5582e5=:<4=575>4g734>357?65:?2a6<61<16>5h5186891c328ki70:j6;3bf>;3m>0:mo5252g95<0<5<9m6<79;<774?7>>27>:94>ac9>133=9h:01>6::0;5?85?>3;2:63<8682=3=:;121=484=2::>4?134>1=ll4=782=2=:?3;2;63i:0c3?87728k;70?=:0;5?874283<70?;:0;4?8`328k;70h9:0c3?8`f28k;70hm:0ca?8`d28ki70hk:0;5?8`b283=70??1;3b4>;68;0:mo5211195dd<58:=6<79;<333?7>>27:<54>979>55e=90=01<>k:0;4?877m3;2;63>0g82=2=:9;81=484=000>4?134;987?66:?260<61?16=?851848944>28ki70?=a;3bf>;6:k0:mo5213a95dd<589:64>a19>562=9h:0q~78:1808??2;:27S78;<`g>4?43tyih7>53ey>fa<58116?;:51``8960228ki70=9d;3:3>;4>l0:5:5237d95<1<5:=;6<78;<145?7>?27?m?4>a19>005=9hh019;;:0ca?822=3;jn63;5782eg=:=h;1=l>4=6f95d6<5;3;6<7;;<6f2?7fm27?i:4>ad9>16c=90=018=i:0;4?83383;2;63l1;3:0>;d93;2963<8482=2=:;1<1=494=2:4>4?0349347?67:?0<<<61>16:7?68:?4>4??34;;64?034;86<77;<37>4??34l=64ge34li64gb34lo6<78;4?034;;=7?nb:?247<6il16===51`g89461283<70??7;3:3>;6810:5:5211a95<><58:o6<77;<33a?7>027:999>574=90=01<<<:0;4?875<3;2;63>2482=2=:9;<1=494=00:>4gb34;9m7?ne:?26g<6il16=?m51`g8942?28k;70?:b;3b4>{t1<0;6>u297814<=Y1<16nn4>929~wge=839iw0ll:32;?851<3;ji63<6482e`=:;?n1=464=24f>4??349=j7?68:?035<61116?:?518:8913428kn70::4;3ba>;3=<0:mh5244495dc<5:nh64>959>0`0=9hl019k8:0ce?834m3;2463:3g82===:==:1=464=2:6>4??3493:7?68:?0<2<61116?56518:896>>2833708518;892<61016==4>ad9>57<61116=>4>989>51<61016j;4>ad9>bd<6il16jo4>ag9>bf<6io16ji4>999>b`<61116==?51`g8946528km70??3;3bb>;68?0:555211595<><58:36<77;<33g?7>127:989>55c=90301<>i:0;:?875:3;2463>2282===:9;>1=464=006>4??34;9:7?68:?26<<6io16=?o51`d8944e28km70?=c;3bb>;6;>0:m=5215a95d6<58?h6;ej3;2?6s|bc83>6d|5kh1>=64=247>4ga349=97?nf:?02a<61016?;k518;8960a283270=80;3:=>;4?80:545244195d`<5=??6ag9>7ab=9h:018o<:0c3?840?3;2863;e782f5=:4=41f>4?>34?8j7?69:?605<61016?5;518;896>1283270=77;3:=>;4010:545239;95;683;jj63>2;3:=>;6;3;2m63>4;3:e>;a>3;jj63ia;3bb>;aj3;i<63ic;3a4>;al3;2563ie;3:=>;6880:mk5211095g6<58:86127:<:4>989>55>=90301<>l:0;b?877l3;2m63>0d82=d=:99l1=4o4=001>4?>34;9?7?69:?261<61016=?;518;89441283270?=9;3a4>;6:h0:n=5213`95g6<588h6a19>50b=9h:0q~7>:1808?52;:27S7>;<`b>4?43tyim7>53cy>fd<58116?;:51c28960228h;70=9d;3:e>;4>l0:5l5237d95i27?m>4>a19>005=9k:019;;:0`3?822=3;i<63;5782f5=:=h>1=l>4=34b>4?334>n:7?m1:?7a2<6j8169>k518c8905a283j70;;0;3:e>;40<0:5l5239495i278444>9`9>2?7>j27<6<7m;<33>4d734;96<7n;<30>4?e34;?6<7m;4d734lj64d634lh6;4?f34ln6<7n;<335?7e827:b09>555=9k;01<>9:0;b?877?3;2m63>0982=d=:99i1=4l4=02g>4?e34;;i7?6b:?24c<61k16=?<518c89444283j70?=4;3:e>;6:<0:5l5213495;<31e?7e927:>o4>b09>57e=9k;01<=6:0c3?873m3;j<63>5d82e5=z{l>1<7:t=d7965?01<6i:0;0?87?k3;2?6s|19d94?7>s4;3j7:4j3:?0a7k4j3:?2g4:d1896?62l901>7k:d1891522l9019j;:d18906a2l9018=::d18901e2l90q~k9:1878c02;:27Sk9;<3;a?7>;27:4o4>929~w4>b2908=v3>8d814==:;:<1i>525c79a6=:;881i>5248c9a6=:ll0n?63>f28f7>;4:00n?63>d88f7>;6nm0n?63=a78f7>;5j90n?63<1c8f7>;4;4=k0n?63<668f7>;4?:0n?63<818f7>;3>90n?63;6e8f7>;3?10n?63;818f7>;2l90n?63:028f7>;2mk0n?639058f7>;2n?0n?639138f7>;3j=0n?63;a68f7>;5k00n?63=ed8f7>;5nj0n?63;588f7>;5l90n?63=d68f7>;5ll0n?63=e48f7>;30m0n?63:a68f7>;4800n?63=9`8f7>;?93o870?j6;g0?823l3o870;l3;g0?83cm3o870:l9;g0?xu60k0;6>u219`965><5:9o6h=4=5d7>`5hm7>53z?7gg<5801U8no4=5a`>4?43ty?on4?:4fx91ed2;:370==d;3:0>;2im0:59525`g95dd<5<27o57?64:?gf?7><27:ik4>949>5c6=90?01><>:0cf?855>3;2863=a382=1=::h91=4:4=3c`>4?3348jh7?64:?052<61=16?<6518689625283?70=;6;3:1>;4<>0:mo5235d95<2<5:?<6<7;;<155?7><278;l4>959>72d=90>01>9l:0;7?850l3;2863<7d82=1=:<>91=4:4=556>4?334?h57?64:?6gd<61=169nm51``891`c283?70;j7;3:1>;2n80:mo525g195dc<5=h96<7;;<0e1?7fj27?9>4>b39>003=9k80196n:0;7?83>n3;2863<0582e`=:;9<1=4:4=0g7>4?33498n7?64:?07g<61<169n>5187890b?28k;70;k9;3b4>;2lh0:m=525ea95dc<5;8m6<279??4>959>63c=90>01?9n:0cf?84?=3;2863=8782=1=::1=1=4:4=3:;>4ge34>n>7?64:?7a7<61<169>l51868905c283?70;9c;3:0>;2180:595250595dc<5854>959>11`=90>01<>l:0;`?877l3;2o63>0d82=f=:98h1=4:4=03g>4ge34;:j7?ne:?26<<6j:16=?l51c18945628ki70?<2;3bf>;6;o0:595217195d6<58<>6959>524=9hh01<9;:0c3?870>3;j<63>8582=0=z{<3=6=4<{<7:3?4712T>5;5258:95<5540y>1<>=:9201f082e5=:;=<1=l>4=264>4gb349=87?m2:?020<6j;16?;j518a8960b283h70=9f;3:g>;4?90:5n52363954>b29>002=9k9019;::0`0?822>3;i?638e;3b4>;3m?0:n>524d595g5<5<9i6<7:;<70a?7>k27>?k4>9b9>116=90i0188l:0;6?83303;j<63:4g82e5=:;1?1=4m4=2:5>4?d3493;7?6c:?0<=<61j16?57518a8946=9k801<<518a89c0=9k801ko51c089cd=9k901km51c189cb=90i01kk518a8946628h970??2;3a7>;68:0:n>5211495k27:>?4>9b9>575=90i01<<;:0;`?875=3;2o63>2782=f=:9;31=484=00b>4?134;9n7?66:?26f<61?16=>>51`28945628kn70?<2;3ba>;6;:0:mh5212695dc<58>;6<7;;<37=?7f827:9k4>a19~w1cf2908w0:jb;03=>X3mh168hm51818yv2bk3:1hv3;eb814==:9j=1=4;4=0a;>4?234;h57?65:?0;6?00:585216c95<3<58=i6<7:;<34g?7>=27:;i4>949~w`>=83>p1h7521;8Z`><5lk1=4=4=0:g>4?43tynm7>51cy>ad<58116=n951`2894e?28k;70?l9;3b4>;6kk0:59521ba95<2<5=:j6<7;;<63f?7><27?959>05b=90>019<::0;7?825l3;2863>be82=1=:9ko1=4:4=0`e>4?3349mj7?64:?745<61=168=?518689165283?70<;5;m0:595222g95<2<58=26a19>52e=9h:01<9k:0c3?xu60m0;6?u219f965><5:o36h=4}r76f?6=9n4=089]10d<5;2wx98j50;7x903c2;:370:<3;3:0>;3;:0:585242;95<2<5=926<7:;|q2=4<72;q6=4?521:8964f283?7p}:2983>6}:=;31>=74^40;?835i3;2?6s|53c94?3|5<8j6?>7;<3g2?7>=27?9h4>959>00c=90?018:7:0ca?xu2>l0;6>u257d965?{t=>:1<7;t=453>76?34;mm7?65:?73`<61=168:k51878902a28ki7p}>8683>6}:9121>=74^0:4?87?13;2?6s|19;94?5|58226?>7;<1f2?7><278i;4>949~w0?42908w0;64;03=>X21:1694;51818yv3>=3:19v3:94814==:<:l1=4:4=51e>4?234>?97?64:?700<61<1v8>::180837>38;56P:049>151=9090q~;?7;291~;28>09<55238`95<2<5:3i6<7:;<1b5?7><278m<4>949~w5<5s4i86?>7;<107?7>=2wxm94?:2y>e0<5801Um952ce82=6=z{jn1<7<5;9j6<7:;|qb2?6=;r7j;7;dm3;2?6s|cd83>7}:kl09<55225495<376>3Wk370mi:0;0?xudn3:1>v3lf;03<>;5=80:585rs`c94?5|5hh1>=74^`c89a6=9090q~j?:1818b72;:370<:8;3:1>{tij0;6>u2ae814<=Yij16h<4>929~wa7=838p1i?521:89703283>7p}ne;297~;fn38;56Pne:?g6?7>;2wxh?4?:3y>`7<58116>;l51878yv30k3:1?v3:7e814<=Y=>i0189l:32;?xu2?o0;6?u256a95<5<5<=m6?>7;|q7b0<72:q68k8521;8Z1`234>m976;_6``>;3km09<55rs5ae>5<5s4>hh7?63:?7gc<5811v9kk:18082bm38;56P;ee9>0`b=:920q~:jf;296~;3mm0:5>524dd965>53z?64<<5801U9=64=42;>76?3ty>15>=909018>n:32;?xu29l0;6>u250d965?e;03<>{t=;:1<74?434?9<7>o4=099~w04c2909w0;=b;3:7>;2:m09<55rs452>5<4s4?<>7;1>=64}r747?6=:r7>;<4>929>125=:920q~<>0;297~;59809<45Q202897772;:37p}=2883>7}::8:1=4=4=30:>76?3ty9=:4?:2y>64>=:930R??8;<023?4702wx>>;50;0x9770283870<<5;03<>{t:831<7=t=33b>76>3W8:563=18814==z{;>:6=4={<02=?7>;2798<4=099~w77e2908w0<>c;03=>X59k16>v3=1c82=6=::=i1>=64}r02`?6=;r79=h4=089]64b<5;;o6?>7;|q116<72;q6>6}::;:1>=74^33e?846n38;46s|24d94?4|5;;m6<7<;<06b?4702wx>??50;1x97452;:27S<=1:?164<5811v?89:18184593;2?63=67814==z{;886=4<{<010?4712T9>>52231965>7>52z?166<61:16>:<521:8yv45=3:1?v3=27814<=Y:;?01?<::32;?xu5?l0;6?u223795<5<5;=n6?>7;|q162<72:q6>?6521;8Z7403489;76;_6gg>;3lj09<55rs5ff>5<5s4>oo7?63:?7``<5811v8=9:180834?38;56P:379>160=:920q~;<8;296~;2;?0:5>5252:965>53z?62=<5801U9;94=444>76?3ty>:44?:3y>131=90901886:32;?xu20j0;6>u259f965?{t=1o1<74?434?3i7;3j>09<55rs433>5<4s4?:=7=64}r726?6=:r7>==4>929>144=:920q~;:1;297~;2=;09<45Q543890362;:37p}:5283>7}:=<;1=4=4=470>76?3ty9=84?:2y>640=:930R??:;<021?4702wxnh4?:3y>643=90901ok521:8yv46:3:1?v3=12814<=Y:8801??=:32;?xud>3:1>v3=1382=6=:k?09<55rs5``>5<4s4>ih7=64}r6aa?6=:r7?nn4>929>0gc=:920q~;;3;297~;2<=09<45Q551890242;:37p}:4483>7}:==91=4=4=466>76?3ty>8l4?:2y>11d=:930R8:n;<77e?4702wx99m50;0x902f283870;;c;03<>{t=76>3W?>i63:5d814==z{<<;6=4={<76a?7>;27>:=4=099~w1b22908w0:k6;03=>X3l<168i;521:8yv2c?3:1>v3;d482=6=:=64}r7;=?6=;k4>929>12c=9090186m:0;6?83?i38;46s|56g94?2|5<=n6?>7;<7;7?7><27>494>959>7ag=90>0q~;78;296~;20<0:5>5259:965>53z?6<0<58116=>k51868945b283>7p}:8183>7}:=1h1=4:4=4:3>76?3ty>4o4?:3y>1=d=:920186n:0;0?xu61;0;6l7t=0;1>76?34?3m7<6b:?68528`896512;3?70;m5;0:f>;2j<09595230096j27?5l4=959>``<51k16hh4=959>5f`=:0h01f281=1=:;;k1=4;4=20:>7?e34;o57<6b:?2`<<51=16=kj528`894`c2;3?70;5i?0959522c296j278=o4=959>71g=:0h01>:n:3;7?852:382n63<5381=1=:;

4l4=27a>7?3349=;7<6b:?022<51=16?:=528`896142;3?70=70;0:f>;40909595247296j27?:i4=959>02>=:0h01997:3;7?82?8382n63;8181=1=:=m:1>4l4=4f3>7?334?;?7<6b:?646<51=169hl528`890ce2;3?708?4;0:f>;18=0959525g496j27==?4=959>0g2=:0h019l;:3;7?85fk382n634l4=2`5>7?334>;j7<6b:?74c<51=16?n?528`896e62;3?70:n7;0:f>;3i>0959523bc96j278h84=959>043=:0h019?::3;7?826j382n63;1c81=1=:<;;1>4l4=502>7?334>9;7<6b:?762<51=16>n7528`897e>2;3?70;5ml0959522ga96j27?944=959>6a6=:0h01?j?:3;7?84c?382n63=d681=1=::mo1>4l4=3ff>7?3348n97<6b:?1a0<51=16?h<528`896c52;3?70=i4;0:f>;4n=09595243d96j27?4i4=959>1d1=:0h018o8:3;7?87d9382n63>c081=1=:;931>4l4=22:>7?3349n47<6b:?0a=<51=16>4o528`897?f2;3?70=je;0:f>;4ml09595241696j27?8<4=959>011=:0h019:8:3;7?8122;3i709::3;7?8>62;3i706>:3;7?87b>382n63>e781=1=:;:n1>4l4=21g>7?33492=7<6b:?0=4<51=16?49528`896?02;3?70=6d;0:f>;41m0959523`196j278jl4=959>063=:0h019=::3;7?824j382n63;3c81=1=:<=n1>4l4=56g>7?334?h?7<6b:?6g6<51=169ik528`890bb2;3?70:k4;0:f>;3l=0959524g696j27>171=:0h018<8:3;7?834=382n63:3481=1=:=>h1>4l4=45a>7?334>h57<6b:?7g<<51=1v?l;:1813<}::k>1>=64=4:b>`4<5<2?6>27>494>969>1=2=9020186;:0;:?83?<3;2m63:8582=g=:=k?1i?525c295j27>n<4>9`9>1g7=90h018l=:0;b?83e:3;2n63<138f6>;31h0n>63ke;g1?87dn3o970?i3;g1?85513o9704??348j?7?69:?1e6<61h16>l=518`897g328h870;5i=0:5:522`695<><5;k?6<76;<0b0?7>i279m94>9c9>6g6=m;16>lj5185897gc283370;5im0:5l522`f950279mh4>989>6dc=90k01?oj:0;a?856j3o970=>8;3:2>;4910:5:5230:95<><5:;36<76;<12i278=54>9c9>74?=90<01>?6:0;4?85613;2463<1882=<=:;831=4o4=23:>4?e349?m7k=;<166?c5349>n7k=;<153?c5349=87?67:?021<61116?;:518;89603283j70=94;3:f>;4><0:5:5237795<><5:<>6<76;<151?7>i278:84>9c9>725=m;16?;j518d8960c28k:70=9d;3b6>;4>m0:m>5237f95d2<5:278:i4>a69>73b=9h201>8k:0c:?851l3;jm63<6e82ef=:;?n1=lj4=24f>4?a349=i7?n1:?02`<6i;16?;k51`18960b28k?70=9e;3b1>;4>l0:m;5237g95d1<5:a`9>73c=9hi01>8j:0cg?851n3;2j63<6g82e4=:;?l1=l<4=24e>4g4349=j7?n4:?02c<6i<16?;h51`48960a28k<70=9f;3b<>;4>o0:m45237d95dg<5:9g9>726=9h;01>9?:0c1?85083;j?63<7182e1=:;>:1=l;4=253>4g1349<<7?n7:?035<6i116?:>51`;8961728kj70=80;3bg>;4?90:mi5236395<`<5:=:6;<145?7f:278;<4>a29>727=9h>01>9>:0c6?85093;j:63<7082e2=:;>;1=l64=252>4g>349<=7?na:?034<6ij16?:?51`f896>72l80198k:d08911?2l8018j?:d0890ef283=70;la;3:3>;2kh0:55525bc95j27>oo4>979>1fd=90=018mm:0;;?83dj3;2563:cc82=d=:=jh1=4l4=4a`>4?>34?ho7?6a:?6gf<61k169==5e39>1`d=m;16:=:5e39>1c0=m;169k=51c1890`4283=70;i3;3:3>;2n:0:55525g195j27>j94>b29>1c2=90<018h;:0;4?83a<3;2463:f582=<=:=o>1=4o4=4d7>4?e34<:>7k=;<6a0?c5349jo7k=;<1a2?c534>;j7k=;<1`5?c534>j;7k=;<1`e?c5349o97k=;<621?c534>:n7k=;<615?c534>9;7k=;<0`=?c5348ni7k=;<0eg?c534>>57k=;<667?7>j27?994>9c9>003=90h019;9:0;a?84c83o970i3o970=je;g1?827<3o970:;1;g1?823?3o9709::d089=7=m;16=h85e39>76b=m;16?4?5e39>7<1=m;16?4j5e39>7d5=m;16?ko5e39>063=m;168>l5e39>01b=m;169n=5e39>1ac=m;168i:5e39>0c2=m;169=h5e39>171=m;169>;5e39>12d=m;16>:o51c18971f283=70<8a;3:3>;5?h0:555226c95j279;o4>b29>62d=90<01?9m:0;4?840j3;2463=7c82=<=::>h1=4o4=35a>4?e34>n:7?69:?7a3<61h168h8518`891c0283270:j7;3:e>;3m>0:5o5252g95<`<5<9n6;<70a?7f:27>?h4>a29>16c=9h>018=j:0c6?834m3;j:63:3d82e2=:=:o1=l64=41f>4g>34?8i7?na:?67`<6ij169>k51`f8905a283m70;;2;o0:m?5252d95d5<5<9m6?k4>a79>16`=9h=018=i:0c;?834n3;j563:3g82ed=:=:l1=lm4=41e>4gc34??<7?6f:?605<6i81699>51`08902728k870;;0;3b0>;2<90:m85255295d0<5<>;68=4>a89>116=9hk018:?:0c`?83383;jh63;c88f6>;40<0:5k5239795d7<5:2>6a59>7=3=9h?01>6::0c5?85?=3;j;63<8482e==:;1?1=l74=2:6>4gf349397?nc:?0<0<6im16?58518d896>128k:70=76;3b6>;40?0:m>5239495d2<5:2=62784;4>a69>7=0=9h201>69:0c:?85?>3;jm63<8782ef=:;1<1=lj4=2:4>4?a3493;7?n1:?0<2<6i;16?5951`1896>028k?70=77;3b1>;40>0:m;5239595d1<5:2<6a`9>7=1=9hi01>68:0cg?85?03;2j63<8982e4=:;121=l<4=2:;>4g4349347?n4:?0<=<6i<16?5651`4896>?28k<70=78;3b<>;4010:m45239:95dg<5:2369g9>7=?=9h;01>66:0c1?85?13;j?63<8882e1=:;131=l;4=2::>4g1349357?n7:?0<<<6i116?5751`;896>>28kj70=79;3bg>;4000:mi526;3b0>;128k>70851`4893<6i>16:7?n8:?5>4g>34<1=lo4=782ef=:>3;jh638:0c7?81=9h?01:4>a79>3?7f?27<60:ml527;3bg>;028ko70??:0`0?877283=70??:0;4?877283370??:0;:?877283j70??:0;a?87528k:70?=:0c1?87528k870?=:0c7?87528k>70?=:0c5?87528k<70?=:0c;?87528k270?=:0cb?87528kh70?=:0cg?87428k970?<:0c0?87428k?70?<:0c6?87428k=70?<:0c4?87428k370?<:0c:?87428kj70?<:0c`?87428ko70?;:0c1?87328k870?;:0c7?87328k>70?;:0c5?87328k<70?;:0c;?87328k270?;:0cb?87328kh70?;:0cg?8`1283370h9:0;:?8`1283j70h9:0;a?8`f283370hn:0;:?8`f283j70hn:0;a?8`e283j70hm:0;a?8`d283j70hl:0;a?8`c28k:70hk:0c1?8`c28k870hk:0c7?8`c28k>70hk:0c5?8`c28k<70hk:0c;?8`c28k270hk:0cb?8`c28kh70hk:0cg?8`b28k:70hj:0c1?8`b28k870hj:0c7?8`b28k>70hj:0c5?8`b28k<70hj:0c;?8`b28k270hj:0cb?8`b28kh70hj:0cg?87793;i?63>0082=3=:99;1=494=022>4??34;;=7?69:?244<61h16==?518`89465283<70??2;3:<>;68;0:545211095?27:<>4>999>555=90301<><:0;b?877;3;2n63>0782=c=:99<1=l?4=025>4g534;;:7?n3:?243<6i=16==851`78946128k=70??6;3b3>;68?0:m55211495d?<58:=6ae9>551=90l01<>8:0c2?877?3;j>63>0682e6=:99=1=l:4=024>4g234;;;7?n6:?242<6i>16==951`:8946028k270??7;3be>;68>0:mn5211595db<58:36<7i;<33a39>55>=9h901<>7:0c7?87703;j963>0982e3=:9921=l94=02;>4g?34;;47?n9:?24=<6ih16==651`a8946?28ko70??c;3b0>;68j0:m85211a95d0<58:h6a89>55e=9hk01<>l:0c`?877k3;jh63>0e82e1=:99n1=l;4=02g>4g134;;h7?n7:?24a<6i116==j51`;8946c28kj70??d;3bg>;68m0:mi5211g95d2<58:n627:

a69>55c=9h201<>j:0c:?877m3;jm63>0d82ef=:99o1=lj4=02e>4g334;;j7?n5:?24c<6i?16==h51`58946a28k370??f;3b=>;68o0:ml5211d95de<58:m6?4>a39>574=9h901<<=:0c7?875:3;j963>2382e3=:9;81=l94=001>4g?34;9>7?n9:?267<6ih16=?<51`a8944528ko70?=3;3b5>;6::0:m?5213195d5<58886>4>a79>575=9h=01<<<:0c;?875;3;j563>2282ed=:9;91=lm4=000>4gc34;987?n1:?261<6i;16=?:51`18944328k?70?=4;3b1>;6:=0:m;5213695d1<588?694>a`9>572=9hi01<<;:0cg?875=3;j=63>2482e7=:9;?1=l=4=006>4g334;997?n5:?260<6i?16=?;51`58944228k370?=5;3b=>;6:<0:ml5213795de<588>6;4>a39>570=9h901<<9:0c7?875>3;j963>2782e3=:9;<1=l94=005>4g?34;9:7?n9:?263<6ih16=?851`a8944128ko70?<1;3:2>;6;80:5:5212395<><589:6<76;<305?7>i27:?<4>9c9>564=90<01<==:0;4?874:3;2463>3382=<=:9:81=4o4=011>4?e34;8?7?66:?276<61>16=>=518:89454283270?<3;3:e>;6;:0:5o5212695<0<589?6<78;<300?7>027:?94>989>562=90k01<=;:0;a?xu2080;6?u259295<2<5<2:6?>7;|q6<7<72;q695>5187890>52;:37p}46|5<286<7:;<7;0?7>=278m94=099>7d>=90>01>o6:0;7?85fi3;28634?3349ii7?64:?0g2<61=16?n65186896b6283?70=k2;3:0>;4l:0:59523ec95<35fz?6<6<6i91695:51`2896g>283>70=na;3:1>;4il09<5523c095<3<5:h86<7:;<1ag?7><278nh4>949>7f1=90?01>m7:0;6?85c93;29634g73ty8n:4?:gy>1=5=9hh0186;:0ca?85f13;j<63=64=2`a>4?2349io7?65:?0f`<6i916?oh5186896e028k;70=l8;3b4>;4l80:m=523e095d6<5:n86428kn70;74;3ba>;4i00:mo523`c95dd<5:hm6<7:;<1`6?470278o;4>959>7a7=9hh01>j=:0ca?85c;3;jn63494>ag9>7d?=9ho01>on:0cf?85en3;j<634gb349om7?nf:p1=5=838p186<:32;?83?:3;286s|1c794?2|5<2?6<27?9<4>949>5g3=:920q~:?>7>52z?6<1<6j;1689<521:8yv3?<3:1>v3:85814==:=181=4;4}r7;2?6=:r7>4;4=099>1=>=90>0q~==c;292`}:=1=1=ll4=20`>76?34?ji7?n0:?6f5<6i9169o?51``890d528ki70==5;3:1>;4=10:m=5234;95dd<5:;<151?7e9278:i4>9c9>73c=90h01>8i:0;a?85083;2n63<7082=g=:=ji1=l>4=4d2>4g734?m>7?n0:?6b6<6ik169k:51``891g628k;70;5n?0:m=522g595<3<5;l36<7:;<0e=?7fj27?9>4>b09>002=9k;019;::0`2?822>3;i=634=227>4ge349;97?nb:?4g?7f827>h54>949>1a?=90?018jn:0;6?83cj3;2963:db82eg=::;o1=4;4=30e>4?23488<7?65:?10<<6ik16>8l51878973d283>70<9d;3:1>;5?00:m=5226c95dd<5;=i6b39>0`1=9k8018=j:0;a?834n3;2n63:4182=g=:=8=1=ll4=43;>4ge34?:m7?65:?65g<61<1698651`28903>28ki70;95;3bf>;40<0:5o5239495j278444>9c9>55<6j816=?4>9c9>b6<6i916j84>ac9>b3<6j816jl4>b09>bg<6j;16jn4>b39>ba<61k16jh4>9c9>557=9k;01<>=:0`1?877;3;i>63>0782=g=:99=1=4l4=02;>4?e34;;n7?nb:?25a<6i916=;6::0:5o52136956<7m;<312?7>j27:>44>b39>57g=9k801<63>3c82eg=:9=91=l>4=064>4g734;><7?nb:?21=<6i916=;;518789401283>70?97;3:1>;6>k0:585216095d6<58=86=2wx95950;0x90>02;:370;78;3:1>{t;:?1<74?43498:7=>:0;0?854<38;46s|32394?5|5:9:6?>7;<01g?7><279>n4>949~w64b2909w0=<6;3:7>;4:l09<55rs20g>5<5s498:7k=;<11`?4702wx??h50;0x964b283?70==f;03<>{t;::1<74?23498<7=?:0;7?85483;2963<1e814==:;;;1=lh4=201>4gb3ty>hk4?:05x9655283>70=<3;3:0>;2kl0:58525ed965><5=l96<7;;<6e6?7>=279?44>949>66g=90>01?:::0;6?843>3;2863=5182=0=::<;1=4:4=374>4?2348>47?64:?126<61<16>;:51868970f283>70<9b;3:0>;5??0:585226595<3<5;286<7:;<0;b?7>=2795=4>949~w6552909w0=<2;03<>;4;=0:595rs210>5<5s498?7=7:1864~;4:m0:58525`f95<3<5949>`<<61<16hn4>959>5c7=90?01><=:0ce?855>3;2963=a382=0=::h>1=4:4=3c`>4?2348ji7?64:?052<61<16?<7518689625283>70=;f;3:1>;4=>0:585237395<3<5==86<7:;<642?7><27>o44>949>1fd=90>019hk:0;6?83b03;2963:f382eg=:=o>1=lk4=5`1>4?2348m:7?nb:?1b2<6i916>k651`2897`>28kn70::4;3a6>;3=?0:n?5249c95<3<5<3m6<7:;<137?7fj278<84>ad9>750=90?014=30f>4g73488<7?n0:?174<61<16>><51878970a283?70<89;3bf>;5?k0:mh5229795<3<5;2=6<7:;<0;3?7>=27>?i4>949>14>=9ho01;4>9b9>3?7>k27:ad9>55`=90i011482=1=:98<1=4:4=034>4?334;:o7?64:?25`<6ik16=?o51c18944d28h870?<0;3:1>;6;:0:mo5212695dd<589m6<7:;<350?7f827::;4>a19>53d=9h:01<8j:0;6?870;3;jn63>7482e5=:91?1=4;4}r7a0?6=;r7>m54>929>1g0=90?018l::32;?xu2i10;676?34?jh7?n0:?6e`<6il169o>51`g890d628km70;m2;3bb>;2kh0:58525b`95<3<5j?4>ad9>1c5=9hl018h;:0ce?807l3;286390d82=1=:>9l1=4:4=733>4?3348m97?ne:?1b3<6il16>k751`d890bd28km70<89;3ba>;5?h0:mk5226`95d`<5<;<6959>524=9ho01<9<:0cf?xu2j:0;6?u25`d95<5<57;|q6ec<72:q69lh521:890e?283?70;l8;3:1>{t=h31<74?334?j57283>70;nb;03<>{t:>21<7=t=4c`>4?334?jo7?65:?13=<5811v8ol:18183fk38;463:ac82=1=z{mo4>949~w0`?2908:v3:ad82ec=:=k:1=lh4=4`2>4d734?i>7?m0:?061<6i916??;51`28964128k;70;la;3b4>;2kk0:m=525ba95d`<56<7;;<7f2?7><27>i:4>a19>1`>=9h:018k6:0;6?83a038;463:fd82=1=:=o:1=4:4=4d2>4ga34?m>7?nf:?6b6<6j9169k:51c28936d283>708?d;3:1>;18l0:585261d95<3<5?;;6<7:;<132?7f8279554>959>1gb=90>018li:0;7?845m3;jn63=2g82eg=::::1=ll4=312>4g7348=h7?n0:?12`<61<16>;h51878971>28km70<8a;3a4>;5?k0:n=5250595g6<5<;36=l4>a19>14d=9h:01<8k:0;7?870:3;jj63>7282ec=:9>>1=ll4=056>4ge34;<:7?nb:?2<4<61=16=5<51868yv3bk3:194u25`g95g6<5n?4>b09>772=9hh01><::0ca?855>3;jn63:c`82eg=:=jh1=ll4=4a`>4d734?n:7?65:?6a2<6ik169h651``890c>28k;70;if;3:0>;1890:595261395<2<5?:96<7;;<7fg?47027>j<4>b19>1c4=9k:018h<:0`2?83a<3;i=6390b82e5=:>9n1=l>4=72f>4g734<;j7?n0:?555<6i916>k;51`d897`128km70;5n10:mo522g;95g6<5::86ag9>750=9hh01?77:0;6?83el3;2963:bd82=1=:=kl1=4;4=4a3>4g734?h=7?n0:?6`=<6ik169i751``890bf28ki70;kb;3bf>;2lj0:n=5223g95dc<5;8m6ac9>664=9h:01?;n:0c3?842j3;j<63=5b82e5=::?n1=ll4=34f>4g7348=j7?n0:?13<<6j916>:o51c38971e28h:70<75;3b4>;50?0:m=5229595d6<5;236=54>b09>14?=9hh018?n:0ca?836j3;jn63>6282eg=:9?>1=ll4=046>4ge34;=:7?nb:?222<6ik16=;o51878940e28ki70?9c;3:1>;6>l0:m=5216095g6<58=86ad9>520=9ho01<6=:0;6?87?;3;2863>8582e5=:91?1=l>4}r432?6=:oq69lk51c3890d728h:70;m1;3a6>;2j;0:n?5233695dc<5:8>6ol4>ad9>1fd=9ho018ml:0`2?83b=3;2963:e782e5=:=oo1=4;4=4de>4?234<;<7?65:?544<61<16:=<5187890`7283>70;i1;3a5>;2n;0:n<525g195g4<5ac9>25b=9hh01;>j:0ca?807n3;jn6391182eg=:;991=lh4=227>4d7349;97?m0:?6f`<61<169oh51`28975528ki70<9d;3ba>;5>l0:mo5227d95dd<5;=26;<04e?7e:279;o4>b39>6=3=9hh01?69:0ca?84??3;jn63=8982ec=:9?n1=4;4=0:2>4?234;3?7?65:p1dc=838p18oj:32;?83fj3;j<6s|31`94?54s4?i<7?m2:?6f4<6j:169o<51c18966e2;:370=?f;3:0>;2kh0:mk525b`95d`<5i54>ad9>1`?=9hh018hi:0c3?807l3;ji63=eb82=1=::o=1=lk4=3d;>4gb349;:7?ne:?6g5<6ik169n?51``890b?28kn70;k9;3ba>;2lh0:mh525e`95dc<5;8n6ag9>667=9ho01?==:0cf?842i3;jn63=5c82eg=::4gb348=j7?ne:?65<<6il169;6>=0:mh5217795dc<58<=6a19>53d=9ho01<8l:0c3?871l3;j<63>6d82eg=:9>>1=lh4=056>4ga34;<:7?nf:?2<1<6ik16=5;51``8yv3d<3:1ov3:b182f6=:=k;1=484=4`1>4?134?h87;2=10:mh5254;95d`<5<>36<7:;<77b?7>=2wx>h950;0783e83;2:63:b082=2=:=k81=494=233>4?3348j?7?65:?1e1<61<16>lj5187897gb283>70=>8;3:1>;4900:58525bc95g7<5;<7`g?7>>27>i44>ad9>256=9h:01;>j:0cf?84b?38;463=eb82=0=:;9;1=4:4=225>4ga3488=7?nf:?177<6io16>8o51`g8973e28kn70<:c;3ba>;5>l0:mk5227d95d`<5;2>6<27>=44>ag9>14g=9hl018?m:0ce?871i3;jn63>6b82eg=:9?n1=ll4=04f>4gb3ty9j=4?:31x90d7283<70;m1;3:<>;2j;0:555230295<3<5;k86a19>6dc=9h:01>?7:0c3?85613;j<63:c`82f7=:=jh1=o<4=4a`>4?034?n57?nf:?544<6i916:=h51`g897cd28k;70;4880:585231495g6<5;9:6ag9>60d=9hl01?;l:0ce?841m3;i<63=6g82f5=::1<1=lk4=43:>4d734?:m7?m0:?65g<6j916=;o51`g8940d28kn70?9d;3ba>;6>l0:mk5rs3df>5<58r7>n=4>999>1g7=903018l=:0;:?85683;j<63=ae82eg=::ho1=ll4=23;>4ge349:57?nb:?6gd<6j:169nl51c1890ed283370;j9;3a4>;18;0:m=5260295dc<5;oh6b09>667=9k;01?==:0`2?842i3;i<63=5c82f5=::4=34f>4d6348=j7?m1:?1<2<6il169<751c38907f28h:70;>b;3a5>;6>h0:mk5217a95d`<5850;0x90d72;:370;m3;3:0>{t=k;1<776?34?i?7?65:p1g4=838p18l=:32;?83e;3;j<6s|30394?4|5::i6<7<;<126?4702wx?=m50;0x9675283870=?c;03<>{t;9n1<74?3349;h7>l:0;6?857m38;46s|28794?2|5::m6<7:;<0:1?47027>9:4>959>101=90?0q~=?f;296~;48o09<55231g95<2511y>746=9hh01?o<:0ca?84f<3;jn63=ae82e`=::ho1=lk4=23;>4gb349:57?ne:?1g1<58116>ho5186897eb283?70;5l<0:59522ea95<2<5;o86<7;;<135?7f82795?4>949~w7ee290nw0=>0;3ba>;5i:0:mh522`695dc<5;ko6ag9>74?=9hl01?kn:0;6?84dj38;463=d482=0=::mi1=4;4=3g0>4?2349;=7?nb:?1=7<6i91v?j=:18g85683;jj63=a282ec=::h>1=lh4=3cg>4d7348ji7?m0:?05=<6j916?<751c2897cf28k;70;5lj0:m=522d195d6<5:::6i750;ax967728h;70;5i=0:n=522`f95g7<5;kn6;<12b09>6`g=9hh01?j6:32;?84b;3;jn63<0082ec=::081=lk4}r0f4?6=jr78==4>b09>6d5=9k;01?o;:0`2?84fl3;i>63=ad82f7=:;821=o<4=23:>4d5348nm7?ne:?1a5<58116?=?51c2897?528km7p}<1183>7}:;8:1>=64=22f>4?23ty?544?:3y>0=c=9090197n:32;?xu30l0;6?jt=5:f>76?34>297?65:?7=3<6i9168495187891??283>70jn:0;7?8be283>70jl:0;6?87bn3;jn63>f182eg=:9o;1=ll4=546>4?334>=97?65:?731<61=168:;518789111283>70:m0;3:0>;3=:0:5;5244695<0<5=?>6<79;<662?7>>27?484>959>0=3=90?014?034;9n7?67:?26f<61>16=>>51``8945628km70?<2;3bb>;6;:0:mk5212695d`<58??6<7:;<361?7><27:9;4>959>501=9hh01<;7:0ca?872j3;jn63>5b82eg=:94ge34;>j7?nb:p0=`=838p197n:0;0?82?n38;46s|48294?4|5=2m6<7;;<6:4?4702wx84?50;0x91>a283>70:61;03<>{t9<81<7=t=5;1>4?334>2>7?65:?217<5811v97=:18182>:38;463;9082=1=z{8?j6=4<{<6:7?7><27?5>4>949>50g=:920q~:63;296~;31:09<55248395<3287>52z?7=1<5811684?51`28yv2f03:1?ku248795d6<5=3<64?234ni64g734;nh7?64:?2a`<61=16=hh51`g894`728kn70?i1;3ba>;6l;0:59521g495<2<5==?6<7:;<641?7f827?;;4>a19>0d>=:92019oj:0;7?82fn3;2863;b182=0=:4=5`1>4g734>j<7?nb:?7e4<6ik168l<51``891g428ki70:n4;3bf>;3=:0:5:5244695<1<5=?>6<78;<662?7>?278hn4>ac9>7ab=9hh01>jj:0cf?85cn3;ji63;8c82=1=:?00:59527c82eg=:?j0:mo527e82eg=:?l0:mo521d295<2<58o96<7:;<3f7?7f827:i94>a19>01d=90>019m::0;7?82d=3;2963>2882===:9;k1=464=00a>4??34;9o7?68:?275<6il16=>?51c28945528h;70?<3;3a4>;6;=0:n=5212595dd<58936ad9>56d=9ho01<:>:0;7?872=3;296s|48794?4|5=3>6?>7;<6:5?7fj2wx84850;0x91?12;:370:61;3ba>{t<0=1<776?34>2=7?nf:p0;6mm0:58521dg95<3<58om6ag9>5a4=90?014=5`2>4ge34>i>7?nb:?7=g<5811688=518:89133283370::5;3:<>;3=?0:555249`95<3<5>31=4;4=6`95dc<5>i1=lk4=6f95dc<5>o1=lk4=0g3>4?234;n=7?64:?70g<61<168n851868944>283270?=a;3:=>;6:k0:545213a95b09>565=9k;01<=;:0`2?874?3;ji63>3982e`=:9:31=lk4=01b>4ga34;8n7?nf:?204<61<16=8:51`289431283>7p};9983>7}:<021>=64=5;2>4d73tyoh7>53z?g1?7>;27oj7?65:?ga?4702wxh84?:01x9a3=:9201i751`289ag=9h:01il51``89ae=9hh01>;?:0;7?850i3;2963;fd82=1=:4gb34<1=4j4=682=a=:9:0:5n521582=f=:99i1=4j4=02g>4?c34;;i7?6d:?24c<61m16=<=51878yvb12909w0ji:0;7?8b12;:37p}kf;296~;cn38;463ke;3:7>{tl>0;6?u2d782=1=:l>09<55rse:94?4|5m<1=4;4=e:965>76?34n36<7;;|q2g7<72oq6hl4>ac9>`g<6il16hn4>ad9>5f4=:9201c882=1=:<>>1=l>4=556>4ge34><:7?nb:?23<<61=16=:o51868941e283?70?8c;3:0>;6?m0:595rs56f>54ga34nh6ad9>020=9ho019oj:0c3?82fn3;j<63;4d814==:9l;1=4;4=5a5>4?23ty3>7>542y>`d<6io16ho4>b19>`f<6j916=hj51`2894cb28k;70?jf;3a4>;6n90:n=521g395g6<58n=6<7;;<3g3?7><27:jl4>959>5cd=90>01989:0;7?821>3;2963;7582e`=:<>?1=lh4=555>4ga34>i<7?nb:?7f4<6il168o<51`g891g728kn70:n1;3ba>;3i;0:mh524`195dc<5=k?6127?994>989>003=903019;9:0;:?85ck3;ji634ga34>3:7?64:?7<3<61<16;o4>ag9>3f<6io16;i4>ag9>3`<6io16=h<51`2894c428ki70?j4;3bf>;376?34>h?7?64:?ef?7>>27mo7?66:?247<61?16===51848944>283j70?=a;3:e>;6:k0:5l5213a95b39>565=9k801<=;:0`1?874?3;jj63>3982ec=:9:31=lh4=01b>4d734;8n7?m0:?210<6i916=8851`28yvbf2909w0jn:32;?8b?283>7p}kb;296~;cj38;463k8;3b4>{tlj0;6?u2db814==:l10:mo5rs0af>5<4s4;h>7?63:?2`5<61<16=nh521:8yv7dl3:1>v3>c`82=6=:9jn1>=64}r3`e?6=;r7:ol4=099>5g?=90>017;|q2`5<72;q6=i>521:894ea28387p}>c583>7}:9j91=4:4=0a7>76?3ty:o84?:3y>5f5=90?01u21b495<2<58i=6<7:;<34{t<9?1<7?6{<3`3?7fj27:o54>ac9>5f?=9hh019>::32;?82713;2863>bc82=1=:9ki1=4:4=2de>4?234>;<7?65:?744<61<168=<51878924=90>01:=5186891b5283?70;?d;3:0>;2:<0:595252095<2<5<986<7;;<74<27>;44>959>52?=9hh01<9n:0ca?870j3;jn63>7b82eg=:9>n1=ll4}r1g3?6=?r7:o:4>ad9>5f>=9ho019>n:0;6?85c?38;463>be82=0=:9ko1=4;4=2de>4g73ty:o:4?:3y>5f1=:9201<58i>6q6=n751`g8916e283>70?mf;3:1>;3890:m=5239a965><5;9h6<7:;<34f?7fm2wx?4<50;5x94e>28km70:?b;3b4>;6jo0:m=5241295dd<5:396?>7;<00g?7f827:;o4>ag9~w4e>2909w0?l9;03<>;6k<0:mo5rs533>5<61r7:oo4>949>5fe=90?019>6:0;6?827i3;j<63;0c82eg=:<9i1=4;4=52g>4?234>:<7;6jo0:mo527382=0=:?:0:58524e095<3<5<:o6<7:;<711?7>=27>??4>949>165=90?01897:0;6?83013;2963=3b82eg=:::n1=4;4=31f>4?23ty?=;4?:07x94ee28k;70?lc;3b4>;39:0:595240195<3<5=;=6?>7;<3af?7f827:nn4>a19>5gb=9hh01;0;3;j<63;d382e5=:=;?1=l>4=411>4g734?8?7?n0:?63=<6i9169:751`28975d28kn70<;5;l0:m=5rs53`>5<6;r7:oo4>ac9>5fe=9hh019?6:0;7?82613;2963;1b814==:9kh1=ll4=0``>4ge34;ih7?ne:?2f`<6il16=oh51`d8924=9hh01:=51``891b528ki70;=5;3bf>;2;:0:mo5256;95dd<5;9h6ac9~w145290:?v3>cc82e`=:9ji1=lk4=53e>4?334>:j7?65:?767<58116=ol51`g894dd28kn70?md;3bb>;6jl0:mk521cd95g6<5>81=lk4=6195dc<5=n96?>4>ad9>12?=9ho01?=l:0`3?844l3;ji63=3d82e`=z{:om6=46{<3`f?7fn27:on4>ag9>073=90?01>ki:32;?825l3;2963>bc82ec=:9kn1=o>4=0`e>4d634=96q6=nl51c28916d28k;70:?1;3b4>;41109<55222f95d`<58=26;3880:mo5238g965><5;9o6ag9~w4ee2909w0?lb;03<>;6km0:595rs513>5<0s4;ho7?m0:?74a<6i9168=<51`2891572;:370<;6?h0:mh5216f95dc8:7>57z?2gf<6j8168=j51``8916528ki70:<6;03<>;5;l0:n=5216c95d`<58=o67p}>f383>6}:9l=1=4=4=0d7>4?234;m?7;6mm0:mo521dg95dd<58om6;<3e4?7e927:j<4>b09>005=90k019;;:0;b?822=3;2m63;5782=d=:=;>1=4:4=407>4?234?8>7?nb:?63=<6ik16=?7518`8944f283i70?=b;3:f>;6:j0:5o5212295g7<589:64>b29>562=9k90q~?j8;296~;6n=0:59521d:965>52z?2b1<58116=k=51818yv7b13:1>v3>e982=1=:9l31>=64}r3fe?6=:r7:i54>949>5`g=:920q~?=e;297~;6mk0:59521d`95<3<588n6?>7;|q2ag<72;q6=hl521:894cf283?7p};5383>6}:9li1=4:4=0g`>4?234>>>703g=90?0198m:0c3?87383;296s|1df94?4|58oo6?>7;<3fe?7f82wx=hk50;0x94cb2;:370?ja;3bf>{t9ll1<776?34;nm7?ne:p5c6=838p17;<3fe?7e82wx??650;1x967c283870==b;3:1>;4:009<55rs204>5<5s499?7?63:?062<5811v><<:180855;38;46390`82=1=:>9k1=4;4}r12a?6=:r78>o4>959>74c=:920q~==b;296~;4:k09<55233;95<552z?06d<58116??752868yv56n3:1>v3<1d82=1=:;8l1>=64}r114?6=:r78=h4>949>776=:920q~==1;296~;4:809<55233295<27>52z?067<58116??>51878yv55<3:1>v3<25814==:;;=1=4:4}r111?6=:r78>84=099>771=90?0q~==6;296~;4:?09<55233595d652z?2`4<61:16=i7521:8yv7c93:1?v3>d0814==:=:;1=4:4=412>4?23ty:h>4?:3y>5a?=90901=64}r3g0?6=:r7:h>4>959>5a2=:920q~?k5;296~;6l:0:58521e7965>52z?2`3<58116=i;51868yv22i3:1>v3>d682=0=:<=64}r3g3?6=:r7:h:4=099>5a3=90?0q~?ic;296~;6n<0:5>521gf965>53z?2b0<581169:9518689010283>7p}>f683>7}:9on1=4=4=0d4>76?3ty:j;4?:3y>5cb=m;16=k8521:8yv7a03:1>v3>f682=1=:9o21>=64}r3e=?6=:r7:j:4>949>5c?=:920q~?ia;296~;6nh09<5521g;95<252z?2bg<61<168:o521:8yv7aj3:1>v3>fc814==:9o31=4;4}r0b1?6=;r795h4>929>6d1=90?01?o9:32;?xu51l0;676?348j>7?n0:?1e6<6j;16>l:51c08960c283o70=9e;3:`>;4>o0:5i5236295l27>?k4>9e9>116=90n01>6::0;g?85?>3;2h63<8682=a=:;121=4j4=2::>4?c34;96<7k;4?c34ln6<7k;<332?7>l27:<:4>9e9>55>=90n01<<=:0;g?875;3;2h63>2582=a=:9;?1=4j4=005>4?c3ty95k4?:3y>6d1=90>01?7i:32;?xu5i>0;6?u22`5965><5;k=6<7<;|q1e5<72;q6>4h5186897g72;:37p}=a083>7}::0l1=4;4=3c2>76?3ty9m?4?:3y>6d4=:9201?o>:0;7?xu5i:0;6?u22`1965><5;k:6<7:;|q1e1<72;q6>l:521:897g628k;7p}=ag83>6}::h21=4=4=3`2>4?2348i<7=832p1?o7:32;?84fk3;j<63=ae82f6=::ho1=o=4=260>4?334>n?7?65:?0>4?33491=4;4}r0b=?6=:r79n<4>959>6d?=:920q~52z?1e<<61=16>lo521:8yv4fj3:1>v3=a882=0=::hh1>=64}r0bg?6=:r79mn4=099>6dd=90>0q~=>3;292~;5im0:5;522`g95<0<5:;86?>7;<123?7f8278=54>b29>74?=9k90q~52z?1e`<58116>ll51`28yv56i3:1?v3<1282=6=:;8i1=4;4=23a>76?3ty8=94?:3y>74e=90>01>?;:32;?xu49j0;6?u230a965><5:;i6<7<;|q050<72;q6?<:5186896722;:37p}<1783>7}:;8>1=4;4=235>76?3ty8=:4?:3y>741=:9201>?9:0;7?xu4910;6?u230:965><5:;=6<7:;|q05<<72;q6?<7521:8967128k;7p}<4883>7}:;:o1=4=4=26b>76?3ty8?h4?:02x965b2;:370=;3;3:1>;4<=0:mh5236`95<3<5=lm6<7;;<6f7?7f827?i94>ag9>2?7>m27<6<7j;<30>4?c34;?6<7k;<33g?7>m27:9d9>55c=90o01<>i:0;f?876<3;296s|35:94?4|5:>>6<7<;<175;3:0>;29<0:585rs21e>5<5s49?m7?63:?07c<5811v>:?:181854n3;2863<41814==z{:>:6=4={<10b?7>=2788<4=099~w6252909w0=;2;03<>;4<80:595rs260>5<5s49??7:;:181853<38;463<4082e5=z{:>=6=4={<172?470278854>959~w6202909w0=;7;03<>;4<10:585rs272>5<5s49?n7?63:?017<5811v>:m:18e853j38;463<5182=0=:;>i1=4;4=423>4?334>n?7?nb:?7a1<6j916:7?6f:?4>4?a34;86<7j;<37>4?b34;;o7?6f:?24a<61o16==k518d8946a283m70?>5;3:1>{t;=i1<74?4349?o7:l:0;7?853l38;46s|35g94?4|5:>h6<7:;<17a?4702wx?9h50;0x962a2;:370=;e;3:0>{t;<:1<776?349?i7?65:p70g=838p1>;<:0;0?852j38;46s|34194??|5:?86?>7;<16ad9>b6<6ik16j84>ad9>55d=9hl011g82ec=z{:??6=4={<16f?7>;278994=099~w6322909w0=:4;3:0>;4=<09<55rs275>5<5s49>87?65:?013<5811v>;8:181852?38;463<5782=1=z{ag9>1a4=:9201k=51`g89c3=9hl01<>m:0`3?876l3;jj63>1d82ec=:98l1=o>4}r16700=90?0q~=:9;296~;4=009<55234495d652z?01f<61:16?;9521:8yv52k3:1=?u234a965><5:<96<7:;<157?7fj278:94>b29>733=9k9019k9:0;4?82b?3;2;63>3;3:b>;a:3;2963i4;3bf>;a>3;i?63ia;3a7>;aj3;2;63ic;3:3>;68j0:m<5211d95d7<58;i6<7:;<32g?7>=2wx?8j50;0x9600283870=:d;03<>{t;4?3349>i7;k:0;6?852n38;46s|4d794?5|5:<;6<7;;<154?7>=27?i84=099~w6072909w0=90;03<>;4=o0:595rs242>5<5s49==7;0:m=5237195dc<5:>27?:k4=099>025=9h:0199;:0ce?820=3;i<63;7782f5=:4??34<1=l?4=0695<`<5o81=l>4=g695dc<5o<1=484=gc95<0<5oh1=464=ga95<><58:o6;<32f?7f827:=n4>a19~w6052909w0=92;03<>;4=o0:m=5rs240>5<5s49=?78;:181851<38;463<5g82e`=z{:<>6=4={<151?4702789k4>ag9~w6152909w0=98;3:7>;4?:09<55rs24;>5<61r78:54=099>73b=90o01>8j:0;f?851n3;2i63<7182=`=:;>;1=4k4=41f>4?b34?8j7?6e:?605<61l16?5;518g896>1283n70=77;3:a>;4010:5h5239;954?b34;;;7?6e:?24=<61l16=?<518g89444283n70?=4;3:a>;6:<0:5h521349552z?036<61:16?;7521:8yv51i3:1>v3<6882=1=:;?k1>=64}r15f?6=:r78:44>949>73d=:920q~;j0:595237a95<3<5<9h6?>7;|q02f<72;q6?;m521:8960e283?7p}<6e83>7}:;?n1>=64=24a>4?23ty8:h4?:3y>73c=:9201>8m:0c3?xu4>o0;6?u237d965><5:521:8960e28kn7p}<7083>7}:;>;1>=64=24a>4ga3ty8;k4?:3y>722=90901>6?:32;?xu4?=0;6<76?34970=8e;3:1>;2180:585211`95g7<58;86a19>540=90?011b82eg=:98n1=o>4=03f>4d734;:j7?m1:p723=838p1>6?:0;0?850=38;46s|36494?4|5:=>6<7;;<142?4702wx?:950;0x9612283>70=87;03<>{t98;1<7=t=25;>4?3349<47?65:?254<5811v>97:181850038;463<7682=1=z{8;26=4<{<14=?7><278;44>949>54?=:920q~=89;296~;4?009<55236595<352z?03d<58116?:951`28yv50j3:1>v3<7c814==:;>=1=ll4}r14g?6=:r78;n4=099>721=9ho0q~=7b;29=~;4?m0:m=5239`965><5?0:m?527;3b5>;68j0:m?5211f95d4<58:n6;<33b?7f:27:=;4>a19~w61c2909w0=8d;03<>;4?>0:mk5rs`83>f}:;>o1=l>4=422>4?334k1>=64=782e6=:?3;j>63>3;3b5>;6<3;j=63>0b82e6=:99n1=l=4=02f>4g534;;j7?n3:?252<6i91v>9j:181850m38;463<7682f5=z{=?m6=4={<66e?7>;27?:=4=099~w13d2909w0:90;3:7>;3=j09<55rs57a>5<5s4>=<7k=;<66f?4702wx88j50;0x913d283?70::d;03<>{t<4?234>>i7h1=4;4=5:2>76?34>h>7?64:?7g7<61<1v98l:18082193;2?63;6d82=0=:=64}r656?6=:r7?:h4>959>034=:920q~:9e;296~;3>l09<55247f95<5=?7>52z?727<61=168;=521:8yv21<3:1>v3;6382=0=:1>=64}r651?6=:r7?:84=099>032=90>0q~:96;296~;3>?09<55247695<353z?722<61=168;951878945c2;:37p};6683>7}:=64=547>4g73ty>m=4?:2y>03>=90>01987:0;6?83f838;46s|47:94?4|5=<36?>7;<650?7fj2wx8;750;0x910>2;:370:94;3ba>{t76?34>=87?nf:p03d=838p198m:32;?821<3;i<6s|46594?5|5==27?;54=099~w1172909w0:89;3:0>;3?909<55rs55:>5<5s4><57:18182083;2863;70814==z{==96=4={<644?7>=27?;?4=099~w1142909w0:83;03<>;3?;0:595rs557>5<5s4><87ac9~w11a2909w0:8a;3:7>;30909<55rs55`>5<5s4>3<7?63:?73f<5811v99m:18182?83o970:8b;03<>{t<>n1<74?334>=27>h=4=099~w0eb2909w0;ld;3:7>;2kl09<55rs4ag>5<4s4?hh75<51878yv3d=3:1>v3:d082=1=:=j?1>=64}r7g5?6=:r7>h<4=099>1a6=9090q~;l6;296~;2k<0:59525b4965>52z?6g0<61<169n9521:8yv3d03:1>v3:c9814==:=j=1=4:4}r7`=?6=:r7>o44=099>1f1=90?0q~;la;296~;2kh09<5525b595d652z?6gg<581169n951``8yv3dk3:1>v3:cb814==:=j=1=lk4}r736?6=;r7?j:4>929>152=909018><:32;?xu28=0;6<;t=427>76?34>mi7?65:?7bc<61<169=>518789066283>70?=:0;e?8`528ki70h<:0ce?8`328km70h::0`3?8`1283<70hn:0;4?8`e283270hl:0;:?8`c283m70hj:0;e?875:3;2j63>2282=c=:9;>1=4h4=006>4?a34;9:7?6f:p0c>=838p18><:0;0?82a038;46s|4g;94?4|5=l36<7;;<6e=?4702wx8ko50;0x91`?283>70:ia;03<>{tn90;6>u24g`95<2<5=li6<7:;76?3ty?jo4?:3y>0cd=:92019hn:0;7?xua03:1?v3;fb82=1=:mo7>52z?7bf<581168ko51878yv2al3:1>v3;fe814==:4}r6ea?6=:r7?jh4=099>0cg=9hh0q~:if;296~;3no09<5524gc95dc52z?645<581168ko51`d8yv3793:1>v3:00814==:4}r7fe?6=:r7>hk4>929>1`d=:920q~;j0;296~;2mk0:5>525d2965>52z?6a5<61=169h?521:8yv3b:3:1>v3:e182=0=:=l81>=64}r01`?6=;r7>i>4>959>1`5=90?01?<570?80;03<>{t=l>1<776?34?n>7?65:p1`3=838p18k::32;?83b:3;j<6s|5d494?4|57;<7f6?7fj2wx94m50;3`83b?3;jj63:e982ec=:>9:1=ll4=722>4ge34<;>7?nb:?1b2<6io16>k651`d890?d2;:370;l0;3ba>;2k80:mh525e:95d`<5ho4>ag9>67c=9k:01?6282ec=:9?>1=lh4=046>4ga34;=:7?nf:?222<6io16=;l51`d8941328h;70?85;3a4>;6??0:n=5219695dc<582>67}:=l21>=64=4g1>4ga3ty>i44?:3y>1`?=:92018k=:0`3?xu18:0;6>u25g:95<5<5?:>6<7:;<430?4702wx9k750;0x9362283?70;i9;03<>{t>9?1<776?34<;87?63:p1cg=838p18h6:0;7?83ai38;46s|5g`94?4|55:50;1x90`d283?70;ic;3:1>;50=09<55rs4d`>5<5s4?mo7>=:18083al3;2863:fe82=0=:;981>=64}r7e`?6=:r7>ji4=099>1cd=90?0q~;ie;296~;2nl09<5525g`95d652z?6bc<581169kl51``8yv0783:1>v3901814==:=oh1=lk4}r435?6=:r7=<<4=099>1cd=9hl0q~8?2;296~;18;09<5525g`95g653z?6af<61:169k95187890`12;:37p}:ee83>7}:=o=1=4:4=4gg>76?3ty>j:4?:3y>1c1=:92018h9:0;0?xu2ml0;6?u25df95<2<57;|q6ac<72;q69hj5187890ca2;:37p}:f183>7}:=o:1>=64=4ge>4?33ty>j<4?:3y>1c7=:92018ki:0;6?xu2n;0;6?u25g0965><57}:=o>1>=64=4ge>4gb3ty==<4?:2y>250=90901;?<:0;6?806:38;46s|61594?4|5?;86<7;;<433?4702wx:<=50;0x93742;:3708>2;3:7>{t>921<74?334<;478:0;6?807138;46s|61c94?4|5?:j6?>7;<43=?7><2wx>;m50;1x936e283?708?b;3:1>;5>j09<55rs72a>5<5s4<;n7l:181807k38;46390882e5=z{?:o6=4={<43`?47027=<44>ac9~w36b2909w08?e;03<>;1800:mh5rs72e>5<5s4<;j7;27?n94=099~w1g>2909w0:m4;3:7>;3i009<55rs5cb>5<5s4>j57?64:?7ed<5811v9om:18182f13;2963;ac814==z{>k1<7=t=5c`>4?334>jo7?65:?4e?4702wx8lm50;0x91gd2;:370:nb;3:0>{t9:<1<7=t=5cg>4?334>jh7?65:?273<5811v9ok:18182fl38;463;ac82=0=z{=kn6=4={<6ba?47027?mo4>a19~w1ga2909w0:nf;03<>;3ik0:mo5rs5`3>5<5s4>i<7:18182e938;463;ac82ec=z{=h96=4={<6a6?47027?mo4>b19~w6ge2908w0=n4;3:7>;4im0:58523`a965>52z?0ea<61=16?l;521:8yv5fl3:1>v3959>7d0=:920q~=n7;296~;4i<0:58523`5965>5bz?0e=<61<16?l751`d896d3283?70=md;3:0>;4k?0:58523b595dd<5:n;6<7:;<1g5?7fm278i>4=099>7`e=90>01>kl:0;6?xu4m00;64u23`:95d6<5:kj6=278ni4>949>7f0=9h:01>m7:0ca?85c83;j<63=64}r1b7d1=90>0q~=n9;296~;4i009<5523`595<352z?0ed<58116?l951`28yv5e=3:1>v3=64}r1bb?6=:r78n;4>929>7d`=:920q~=m0;296~;4io0:59523c2965>52z?0ec<61<16?o?521:8yv5e:3:1>v34=099>7g7=90?0q~=m4;296~;4j=09<5523c395d6;i7>52z?740<61:168=h521:8yv27>3:1>v3;0g82=6=:<9<1>=64}r633?6=:r7?<;4>959>051=:920q~:?8;296~;38?0:585241:965>;57>52z?74<<581168=651868yv27i3:1>v3;0`814==:<921=4;4}r63f?6=:r7?05>=9h:0q~:?c;296~;38j09<55241:95dd;h7>52z?74a<581168=651`g8yv5d83:1>v3=64}r1a929>7g>=:920q~=m9;296~;4j10:59523c;965>52z?0f=<61<16?oo521:8yv5ej3:1>v37gg=90?0q~=md;296~;4jm09<5523cc95d652z?0f`<58116?oo51``8yv5en3:1>v3929>0d1=:920q~:n5;296~;31o0:5>524`7965>2j7>53z?7=c<58116=ik5186894bb283>7p};9b83>7}:76?3ty?5i4?:3y>00197k:32;?xu31l0;6?u248a95<3<5=3n6?>7;|q7g1<72:q684k5186891?b283>70:l4;03<>{t76?34>j97?64:p0d7=838p19o>:32;?82f=3;296s|4`094?4|5=k96?>7;<6b1?7f82wx8l=50;0x91g42;:370:n5;3bf>{t1<776?34>j97?ne:p7f?=839p1>m=:0;0?85dj3;2963<278o>4=099~w6ee2909w0=lb;03<>;4kh0:5>5rs2a7>5<5s49h?7?64:?0g1<5811v>m::18185d;3;2963959~w6e02909w0=l7;03<>;4k<0:585rs2a;>5<5s49h47j;:18085dk3;2?63=64}r1``?6=:r78h;4>959>7fb=:920q~=k6;296~;4l?09<5523e795<552z?0ga<61=16?nk521:8yv5dn3:1>v3=64}r1g4?6=:r78h=4=099>7f`=90>0q~=k1;296~;4l809<5523bd95<37>52z?0`7<58116?nh51`28yv5c;3:1>v3929>043=:920q~:>1;296~;39<0:5>52403965>:>7>52z?754<61=168<<521:8yv26;3:1>v3;1082=0=:<891>=64}r62e?6=:r7?=;4>929>04d=:920q~:>7;296~;39k0:5>52405965>:47>52z?752<61=168<6521:8yv2613:1>v3;1682=0=:<831>=64}r614?6=:r7?=n4>929>077=:920q~:>d;296~;3:80:5>5240f965>:i7>52z?75a<61=168v3;1e82=0=:<8l1>=64}r612?6=:r7?>?4>929>071=:920q~:=3;296~;3:>0:5>52431965>987>52z?766<61=168?:521:8yv25=3:1>v3;2282=0=:<;?1>=64}r6184>a19>07>=:92019bd82f5=:?:0:mk5222a95g7<5;9o6;<00a?7e92wx>n650;1x97e3283870;5k009<55rs3a6>5<5s48hm7?64:?1g0<5811v?mn:18184di38;463=c882=6=z{;i=6=4={<0`1?7><279o;4=099~w7e02909w0;5k>09<55rs3gg>5<4s48n;7?63:?1ac<61<16>hk521:8yv4bk3:1>v3=ec82=6=::li1>=64}r0ff?6=;r79io4=099>5=6=90>01<6?:0;6?xu5m10;6?u22dd95<2<5;o36?>7;|q1ac<72;q6>hh521:897cb28387p}=e883>7}::l21=4:4=3g:>76?3ty9il4?:3y>6`>=90?01?kn:32;?xu5nk0;6>u22g295<5<5;lo6<7:;<0eg?4702wx>ko50;0x97`3283870{t:o>1<7=t=3d7>76?34?o:7?64:?6`3<61<1v?h>:18184al3;2863=f0814==z{;lo6=4={<0e`?470279jn4>929~w7`52909w0;5n;09<55rs3d0>5<5s48m=7?65:?1b6<5811v?7>:18084a;3;2863=f282=0=::0;1>=64}r0e1?6=:r79j84=099>6cg=90>0q~52z?1b2<58116>ko51`28yv4a03:1>v3=f9814==::ok1=ll4}r0e=?6=:r79j44=099>6cg=9ho0q~::8;296~;35244;965>>;7>52z?717<61:16889521:8yv23n3:1>v3;5882=6=:<=l1>=64}r664?6=:r7?8k4>959>006=:920q~::1;296~;3>?7>52z?716<5811688951868yv22<3:1>v3;55814==:<<=1=4;4}r661?6=:r7?984=099>001=9h:0q~::6;296~;3=?09<55244595dd53z?1gg<61:16>i?5187897b72;:37p}=cb83>7}::m;1=4:4=3a`>76?3ty9h<4?:3y>6a7=:9201?j?:0;0?xu5km0;6?u22ba95<2<5;io6?>7;|q1g`<72;q6>nm5187897eb2;:37p}=d783>6}::m81=4=4=3f;>4?2348o;77;<0g3?7>;2wx>i:50;0x97b4283?70{t:m?1<74?2348o97<279hl4=099~w7ba2909w0;5ll0:5>5rs3fa>5<5s48om7?64:?1`g<5811v?jl:18184ci3;2963=db814==z{;o?6=4<{<0f4?7>;279i;4>949>6`3=:920q~52z?1a3<58116>h;51818yv4b:3:1>v3=e082=1=::l81>=64}r0f7?6=:r79i<4>949>6`5=:920q~=j1;296~;4l>0:5>523d0965>52z?0`g<61:16?h>521:8yv5cj3:1?v34?23ty8h54?:3y>7`4=90901>j7:32;?xu4l00;6?u23e:95<2<5:n26?>7;|q0`d<72;q6?i65187896bf2;:37p}7}:;mi1>=64=2g3>4?33ty8hi4?:3y>7ab=:9201>k?:0;6?xu4ll0;6?u23eg965><5:o;67}:;ll1=4=4=2d7>76?3ty8j=4?:3y>7c2=90901>h?:32;?xu4n80;6?u23g295<2<5:l:6?>7;|q0b7<72;q6?k>5187896`52;:37p}>d`83>6}:;o81=4:4=2d1>4?234;om7283?70:=a;03<>{t<;h1<74?234>9n7o4>959~w14c2909w0:=d;03<>;3:k0:585rs5:`>5<5s4>3=7?63:?7<27?4>4=099~w1>32909w0:72;3:1>;30=09<55rs5:6>5<5s4>39738;463;8582=0=z{8>>6=4<{<6;3?7><27?4:4>949>513=:920q~:77;296~;30>09<55249695d653z?7<=<61=1685651878942e2;:37p};8983>7}:<121>=64=5:7>4ge3ty?444?:3y>0=?=:920196;:0cf?xu30h0;6?u249c965><5=2?6328h;7p}:a783>7}:=0i1=4=4=4c4>76?3ty>m84?:3y>1d6=909018o::32;?xu21m0;6?u25`595<5<5<3o6?>7;|q6=`<72;q694j5186890?b2;:37p}:9g83>7}:=0n1=4;4=4;e>76?3ty>m<4?:3y>1d7=:92018o::0;7?xu2i;0;6?u25`0965><56<7:;|q6e6<72;q69l=521:890g228k;7p}:a583>7}:=h>1>=64=4c6>4ge3ty:o=4?:3y>5g3=90901:32;?xu6j?0;6?u21b395<5<58h=6?>7;|q2f2<72;q6=o85186894d02;:37p}>b983>7}:9k<1=4;4=0`;>76?3ty:n44?:3y>5g?=:9201u21cc95<2<58hj6<7:;<00f?4702wx=oo50;0x94df2;:370?m8;3:1>{t9kh1<776?34;i47?n0:p5ge=838p17;<3a{t9kl1<776?34;i47?m0:p75>=839p1?hj:0;0?857i3;2963<08814==z{::<6=4={<136?7>;278<:4=099~w7`a2909w0=?a;3:0>;5no09<55rs22b>5<5s49;m7>?:18184an3;2863<01814==z{:::6=4={<0eb?7>=278<<4=099~w6642909w0=?3;03<>;48>0:595rs227>5<5s49;87>::181857=38;463<0682e5=z{::=6=4={<132?470278<:4>ac9~w6c02909w0=j3;3:7>;4m109<55rs2g7>5<5s49n47?63:?0a1<5811v>k::18185b<3;2863=278i;4=099~w7?>2909w0<65;3:7>;51h09<55rs3;5>5<5s482m7?63:?1=3<5811v?78:18184>>3;2863=96814==z{;336=4={<0:2?7>=279554=099~w6cc2909w0=j9;3:7>;4ml09<55rs2gb>5<5s49ni7?63:?0ad<5811v>km:18185bi3;2863=278in4=099~w1642909w0=ib;3:7>;38=09<55rs2da>5<3s49mn77}:<9>1=4=4=2d`>76?3ty8ji4?:3y>7ce=90>01>hk:32;?xu4nl0;6?u23ga95<3<5:ln6?>7;|q0bc<72;q6?kh521:896`b283?7p};0183>7}:<9:1>=64=2df>4?23ty?<<4?:3y>057=:9201>hj:0c3?xu38;0;6?u2410965><5:ln6m5181891262;:37p};3e83>7}:<=;1=4=4=51g>76?3ty??h4?:3y>06b=90>019=j:32;?xu3;o0;6?u242f95<3<5=9m6?>7;|q703<72;q689<5181891202;:37p};4283>7}:<==1=4=4=560>76?3ty?894?:3y>015=90>019:;:32;?xu3<<0;6?u245195<3<5=>>6?>7;|q40?6=:r7=i7?63:?41?4702wx:h4?:3y>2`<581168oo51868yv0a2909w09::0;0?80a2;:37p}80;296~;1n3;286380;03<>{t?80;6?u26g82=0=:?809<55rs6094?4|5>81>=64=6395<276?34=:6<7:;|q;4?6=:r7<:7?63:?;5?4702wx;;4?:3y>33<581168oo51878yv1a2909w09n:0;0?81a2;:37p}87;296~;?93;2?6387;03<>{t?10;6?u27682=1=:?109<55rs6;94?4|5>=1=4;4=6;965>76?34=m6<7;;|q4g?6=:r7=2wx;i4?:3y>3a<58116;k4>a19~w2c=838p1:k521:892`=9hh0q~?j5;296~;6lh0:5>521d4965>52z?2a3<61:16=il521:8yv7ck3:1>v3>dc82=1=:9mi1>=64}r3g`?6=:r7:ho4>949>5ab=:920q~?ke;296~;6ll09<5521ef95<252z?2`c<58116=ij51878yv7b83:1>v3>e1814==:9mn1=l>4}r3f5?6=:r7:i<4=099>5ab=9hh0q~?j2;296~;6m;09<5521ef95dc52z?2a6<58116=ij51`d8yv7b<3:1>v3>e5814==:9mn1=o>4}r10g?6=:r78?54>929>76b=:920q~=<9;296~;4;m0:5>5232;965>52z?07<<61=16?>o521:8yv54j3:1>v3<3882=0=:;:h1>=64}r1:4?6=:r784n4>929>7<7=:920q~=7d;296~;4180:5>5239f965>52z?0v3<8e82=0=:;1l1>=64}r1:2?6=:r785?4>929>7<1=:920q~=63;296~;41>0:5>52381965>52z?0=6<61=16?4:521:8yv5>=3:1>v3<9282=0=:;0?1>=64}r1:g?6=:r78554>929>75238;965>52z?0=<<61=16?4o521:8yv5>j3:1>v3<9882=0=:;0h1>=64}r1b6?6=:r785h4>929>7d5=:920q~=6f;296~;4i:0:5>5238d965>52z?0=c<61=16?l>521:8yv5f93:1>v3<9g82=0=:;h;1>=64}r1e=?6=:r78j84>929>7cg=:920q~=i6;296~;4nh0:5>523g4965>52z?0b3<61=16?k9521:8yv5a03:1>v3=64}r600?6=:r7??=4>929>063=:920q~:<1;296~;3;<0:5>52423965>8>7>52z?774<61=168><521:8yv24;3:1>v3;3082=0=:<:91>=64}r60e?6=:r7??;4>929>06d=:920q~:<7;296~;3;k0:5>52425965>847>52z?772<61=168>6521:8yv2413:1>v3;3682=0=:<:31>=64}r67g?6=:r7?854>929>01b=:920q~:;8;296~;3<109<5524b195<3?57>52z?70a<61:16897521:8yv23i3:1>v3;4882=1=:<=k1>=64}r67f?6=:r7?844>949>01d=:920q~;l2;296~;2j>0:5>525b1965>55z?6f2<5811698651`d8903>28h;70;;8;3ba>;25<5s4?h?7?63:?6f=<5811v8l6:18183e03;2863:b8814==z{=27>nl4=099~w4062908w0;mb;3:0>;2jk0:5852173965>52z?6fg<581169oo51868yv7113:1?v3:bb82=1=:=ki1=4;4=04:>76?3ty>nn4?:3y>1ge=:92018ln:0;6?xu2jm0;6?u25cf965><57}:=kl1>=64=4`b>4gb3ty>o=4?:3y>1f6=:92018ln:0ce?xu2k80;6?u25b3965><57}:=mo1=4=4=4f0>76?3ty>h94?:3y>1a5=90>018j;:32;?xu2l<0;6?u25e195<3<56?>7;|q6`3<72;q69i8521:890b2283?7p}>7g83>6}:=m=1=4:4=4f4>4?234;7;<7g1?7f82wx9i750;0x90b>2;:370;k5;3bf>{t=mk1<776?34?o97?ne:p1ad=838p18jm:32;?83c=3;jj6s|5ea94?4|57;<7g1?7e82wx8i=50;0x91ea283870:k4;03<>{t4?434>o<7{t4?434>m<7{t=9h1<74?434?;n7m:0;7?837k38;46s|51f94?4|5<:i6<7:;<73`?4702wx9?850;0x9047283870;=7;03<>{t=;;1<74?434?9=7:0;7?835:38;46s|53194?4|5<8:6<7:;<717?4702wx9?:50;0x90432;:370;=3;3:0>{t=;?1<776?34?9?7?65:p162=838p186<7<;<71a?4702wx9?h50;0x904b283?70;=f;03<>{t=::1<74?234?8<7:32;?83483;286s|52094?4|5<996?>7;<704?7>=2wx9>=50;0x90542;:370;<0;3b4>{t=>k1<74?434?70;86;03<>{t=>=1<776?34?<:7?64:p12>=838p1897:32;?830>3;296s|56;94?4|5<=26?>7;<742?7f82wx>>:50;0x974>283870<<4;03<>{t::91<74?43488?7?m50;0x974f283>70<=c;03<>{t:;o1<776?3488?7?64:p67`=838p1?7;<007?7f82wx>>?50;0x97562;:370<<3;3bf>{t::81<776?3488?7?ne:p616=838p1?=::0;0?843838;46s|22d94?4|5;9i6<7<;<00b?4702wx>>850;0x9727283870<<6;03<>{t::=1<74?33488;7=838p1?=9:0;6?844038;46s|22;94?4|5;926?>7;<00<2wx>>o50;0x975f2;:370<<8;3:1>{t::i1<776?3488j7?64:p66b=838p1?=k:32;?844n3;296s|22g94?4|5;9n6?>7;<00b?7f82wx>9l50;0x9726283870<;b;03<>{t:=k1<74?4348?m75282=0=z{;>96=4={<07f?7>;2798?4=099~w7242909w0<;2;3:0>;5<:09<55rs367>5<5s48?>7?65:?101<5811v?:::181843=38;463=4582=1=z{;>=6=4={<072?470279894>949~w72?2909w0<;8;03<>;55<5s48?57o6=4={<066?7>;2798i4=099~w72b2909w0<;d;3:0>;55<5s48?h7?65:?10c<5811v?;?:181842838;463=4g82=1=z{;?:6=4={<065?4702798k4>949~w73b2909w0<:3;3:7>;5=l09<55rs37g>5<5s48>57?63:?11a<5811v?;6:180842138;463>6382=1=:9?81=4;4}r060?6=:r799h4>929>602=:920q~<:5;296~;5==0:5952247965>:7>52z?111<61<16>88521:8yv42?3:1>v3=56814==::<<1=4:4}r06600=90?0q~<:a;296~;5=h09<55224f95<2n7>52z?11g<58116>8j51878yv42k3:1>v3=5b814==::4}r051?6=:r799k4>929>633=:920q~<90;296~;5><0:5>52272965>52z?125<61=16>;?521:8yv41:3:1>v3=6182=0=::?81>=64}r057?6=:r79:>4=099>634=90>0q~<94;296~;5>=09<55227095<352z?123<61:16>:?521:8yv4083:1>v3=6b82=6=::>:1>=64}r053?6=:r79;<4>929>631=:920q~<98;296~;5>>0:595227:965>52z?122<61<16>;7521:8yv41i3:1>v3=6`814==::?31=4:4}r05f?6=:r79:o4=099>63?=90?0q~<9d;296~;5>m09<55226295<252z?12`<58116>:>51878yv41n3:1>v3=6g814==::>:1=l>4}r04`?6=:r79;?4>929>62b=:920q~<8c;296~;5?10:5>5226a965>52z?13a<61:16>:=521:8yv40<3:1>v3=7282=1=::>>1>=64}r041?6=:r79;>4>949>623=:920q~<86;296~;5??09<55226795<252z?132<58116>:;51878yv4013:1>v3=78814==::>i1=4:4}r04e?6=:r79;l4=099>62e=90?0q~<8b;296~;5?k09<55226a95d652z?13`<61:16>5o521:8yv4?13:1>v3=8582=6=::131>=64}r04b?6=:r794l4>929>62`=:920q~<70;296~;5?o0:5952292965>52z?13c<61<16>5?521:8yv4?:3:1>v3=83814==::1;1=4:4}r0;7?6=:r794>4=099>6=7=90?0q~<75;296~;50<09<55229;95<252z?1<3<58116>5751878yv4??3:1>v3=86814==::131=l>4}r0;6=?=9hh0q~<63;296~;50k0:5>52281965>7>52z?1=4<61:16>4<521:8yv4?k3:1>v3=9282=6=::1i1>=64}r0;`?6=:r794n4>959>6=b=:920q~<7e;296~;50j0:585229g965>52z?15k51868yv4>83:1>v3=91814==::1o1=4;4}r6f=?6=:r7?hh4>929>0`?=:920q~:j8;296~;3m<0:5>524d:965>oj7>52z?7a<<61:168ih521:8yv2b83:1>v3;dg82=1=:=64}r6f5?6=:r7?hk4>949>0`7=:920q~:j2;296~;3m;09<5524d395<2n?7>52z?7a6<581168h?51878yv2b<3:1>v3;e5814==:4}r6f2?6=:r7?i;4=099>0`>=90>0q~:j7;296~;3m>09<5524d:95<37>52z?67=<61:1699<521:8yv3393:1>v3:3b82=6=:==;1>=64}r70=?6=:r7>8?4>929>16?=:920q~;52z?67<<61<169>l521:8yv34l3:1>v3:3e814==:==;1=4:4}r70a?6=:r7>?h4=099>117=90?0q~;52z?605<5811699?51``8yv31l3:1>v3:6882=6=:=?n1>=64}r75e?6=:r7>:i4>929>13g=:920q~;9b;296~;2>h0:595257`965>52z?62d<61<169;m521:8yv3>:3:1>v3:8d82=6=:=081>=64}r7;b?6=:r7>5?4>929>1=`=:920q~;60;296~;20o0:5952582965>52z?6v3;b682=6=:=64}r6a929>0g>=:920q~:m9;296~;3j10:59524c;965>im7>52z?7f=<61<168oo521:8yv36l3:1>v3:1382=6=:=8n1>=64}r72g?6=:r7>=;4>929>14e=:920q~;>6;297~;29?09<55216395<2<58=:6<7:;|q656<72;q697}:=891=4:4=437>76?3ty>=84?:3y>145=90?018?::32;?xu29>0;6?u2505965><5<;h6<7;;|q65=<72;q69<6521:8907d283>7p}:1883>7}:=831>=64=43`>4g73ty>=l4?:3y>14g=:92018?l:0ca?xu29k0;6?u250`965><5<;h67}:=76?3ty>984?:3y>102=90>018;::32;?xu2=?0;6?u254695<3<57;|q612<72;q6989521:89031283?7p}:5983>7}:=<21>=64=475>4?23ty>944?:3y>10?=:92018;9:0c3?xud:3:1>v3me;3:7>;d:38;46s|bg83>7}:k;0:5>52bg814==z{j:1<7=64}ra2>5<5s4hm6<7:;76?3tyhm7>52z?`2?7>;27hm7929>g2<5811vn650;0x9f1=90>01n6521:8yve>2909w0m8:0;6?8e>2;:37p};c983>6}:7;|q7g2<72;q68n:5181891e02;:37p};bg83>7}:76?3ty?o=4?:3y>0g`=90>019m?:32;?xu3k80;6?u24cd95<3<5=i:6?>7;|q7g7<72;q68n<521:891e6283?7p};c283>7}:=64=5a2>4?23ty?o84?:3y>0f3=:92019m8:0;7?xu3k?0;6?u24b4965><5=i<6<7:;|q60<<72;q699;51818902>2;:37p}:4783>7}:==31=4=4=465>76?3ty>8:4?:3y>110=90>018:8:32;?xu2<10;6?u255495<3<5<>36?>7;|q615<72;q699m5181890372;:37p}:4e83>7}:=<:1=4=4=46g>76?3ty>8h4?:3y>11b=90>018:j:32;?xu2m6?>7;|q623<72;q69;>5181890012;:37p}:6083>7}:=?<1=4=4=442>76?3ty>:?4?:3y>137=90>0188=:32;?xu2>:0;6?u257395<3<5<<86?>7;|q621<72;q69;:521:89004283?7p}:6483>7}:=??1>=64=440>4?23ty?ho4?:3y>0a1=909019jm:32;?xu3l10;6?u24e`95<5<5=n36?>7;|q7`<<72;q68i65186891b>2;:37p};d`83>7}:76?3ty84l4?:3y>7=d=90901>6n:32;?xu4080;6?u239c95<5<5:2:6?>7;|q0<7<72;q6?5?5186896>52;:37p}<8283>7}:;1;1=4;4=2:0>76?3ty:<84?:2y>7=2=90>01>6;:0;6?877=38;46s|39694?4|5:2?6?>7;<1;7?7><2wx?5;50;0x96>22;:370=73;3:1>{t;1<1<776?3493?7?n0:p7=1=838p1>68:32;?85?;3;jn6s|39:94?4|5:236?>7;<1;7?7fm2wx?5750;0x96>>2;:370=73;3bb>{t13:1>v3n:0;0?8?=:920q~650;0x90<61:16476?>7;<32e?7><27:=l4>949~w5<72;q657?63:?3>76?3ty:6=4={<295<2<5809<55rs383>7}:83;2963=:32;?xu42909w0=521:897<61=1v94?:3y>0?4702796<7:;|q5>5<5s4<1>=64=982=1=z{8=1<7;t=682e6=:9>09<55211g95d5<58;i652z?4>76?3421=4;4}r35>5<5s4;<6<7<;<35>76?3ty:97>52z?25?7>;27:97b<<61=16j44>949~wg<72;q6=;4>929>f?4702wxo7>52z?a>4?334i1>=64}rf94?4|5k0:5852d;03<>{t99:1<7=t=d82=1=:m3;2963>01814==z{l0;6?u2e;03<>;c283?7p}i:1818`=:9201i4>949~w46=838p1<>521:89a<6i91v<<50;0x944=:9201<;51868yv742909w0?<:32;?872283>7p}>4;296~;6<38;463>5;3b4>{tn>0;6?u2f182=6=:n>09<55rs002>5<4s4l:6<7;;4?234;9=7b2<61=1vk<50;0x9c4=:9201k951878yv`42909w0h<:32;?8`028k;7p}i4;296~;a<38;463i7;3bf>{tn<0;6?u2f4814==:n>0:mh5rsg494?4|5o<1>=64=g595d`4?434lm6?>7;|qe=?6=:r7m57<2wxjl4?:3y>bd<58116jk4>949~wcd=838p1kl521:89c`=9h:0q~hl:1818`d2;:370hi:0ca?xual3:1>v3id;03<>;an3;ji6s|fd83>7}:nl09<552fg82ec=z{8:?6=4={<334?7>;27:<94=099~w4662909w0??1;03<>;68=0:595rs021>5<5s4;;>7<:181877;38;463>0582e5=z{8:26=4={<331?7>;27:<44=099~w4612909w0??6;03<>;6800:595rs024>5<5s4;;;77:181877038;463>0882e5=z{8;;6=4={<33e?7>;27:==4=099~w46f2908w0??a;03<>;69;0:595210095<352z?24g<58116=<>51868yv77k3:1>v3>0b814==:98:1=4;4}r33`?6=:r7:546=9h:0q~??e;296~;68l09<55210295dd52z?24c<58116=<>51`g8yv7603:1>v3>1082=6=:9821>=64}r326?6=:r7:=?4=099>54>=90>0q~?>3;296~;69:09<55210:95<352z?251<58116=<651`28yv76=3:1>v3>14814==:9821=ll4}r322?6=:r7:=;4=099>54>=9ho0q~?>7;296~;69>09<55210:95d`52z?25<<61:16=?>521:8yv76i3:1>v3>1`814==:9;:1=4:4}r32f?6=:r7:=o4=099>576=90?0q~?>c;296~;69j09<55213295d652z?25a<58116=?>51``8yv76m3:1>v3>1d814==:9;:1=lk4}r32b?6=:r7:=k4=099>576=9hl0q~?=7;296~;6:80:5>52135965>7>52z?267<58116=?951868yv75;3:1>v3>22814==:9;=1=4;4}r310?6=:r7:>94=099>571=9h:0q~?=5;296~;6:<09<55213595dd52z?263<58116=?951`g8yv75l3:1>v3>2982=6=:9;n1>=64}r3154=099>57`=90>01<<588o6<7;;|q26d<72;q6=?o521:8944c283>7p}>2c83>7}:9;h1>=64=00g>4g73ty:>n4?:3y>57e=:9201<6?>7;|q26c<72;q6=?h521:89452283?7p}>3183>7}:9::1>=64=016>4?23ty:?<4?:3y>567=:9201<=::0c3?xu6;;0;6?u2120965><589>6=521:8945228kn7p}>3583>7}:9:>1>=64=016>4ga3ty:?n4?:3y>560=90901<=l:32;?xu6;>0;6?u2125965><589h6<7;;|q27=<72;q6=>6521:8945d283>7p}>3883>7}:9:31>=64=01`>4g73ty:?l4?:3y>56g=:9201<=l:0ca?xu6;k0;6?u212`965><589h6j5181894232;:37p}>3d83>7}:9:o1>=64=067>4?33ty:?k4?:3y>56`=:9201<:;:0;6?xu6<90;6?u2152965><58>?64383>7}:9=81>=64=067>4gb3ty:8>4?:3y>515=:9201<:;:0ce?xu6j6?>7;|q203<72;q6=98521:8942f283?7p}>4683>7}:9==1>=64=06b>4?23ty:854?:3y>51>=:9201<:n:0c3?xu6<00;6?u215;965><58>j64b83>7}:9=i1>=64=072>4?33ty:8i4?:3y>51b=:9201<;>:0;6?xu6<58?:65183>7}:9<:1>=64=072>4gb3ty:944?:3y>504=90901<;6:32;?xu6=:0;6?u2141965><58?26<7;;|q211<72;q6=8:521:8943>283>7p}>5483>7}:9=64=07:>4g73ty:9;4?:3y>500=:9201<;6:0ca?xu6=>0;6?u2145965><58?2628km7p}>6183>7}:976?3ty:9o4?:3y>50d=:9201<8?:0;7?xu6=j0;6?u214a965><58<;6<7:;|q21a<72;q6=8j521:8940728k;7p}>5d83>7}:9=64=043>4ge3ty:9k4?:3y>50`=:9201<8?:0cf?xu6>10;6?u217395<5<58<36?>7;|q227<72;q6=;<521:8940?283?7p}>6283>7}:9?91>=64=04;>4?23ty::94?:3y>532=:9201<87:0c3?xu6><0;6?u2177965><58<366683>7}:9?=1>=64=04;>4ga3ty::k4?:3y>53?=90901<8i:32;?xu6>h0;6?u217c965><587p}>6b83>7}:9?i1>=64=04e>4g73ty::i4?:3y>53b=:9201<8i:0ca?xu6>l0;6?u217g965><585181894102;:37p}>7083>7}:9>;1>=64=054>4?33ty:;?4?:3y>524=:9201<98:0;6?xu6?:0;6?u2161965><58=<67483>7}:9>?1>=64=054>4gb3ty:;;4?:3y>520=:9201<98:0ce?xu6?l0;6?u216:95<5<58=n6?>7;|q23<<72;q6=:7521:8941b283?7p}>7`83>7}:9>k1>=64=05f>4?23ty:;o4?:3y>52d=:9201<9j:0c3?xu6?j0;6?u216a965><58=n68783>7}:9>l1=4=4=0:5>76?3ty:4=4?:3y>5=6=:9201<69:0;7?xu6080;6?u2193965><582=6<7:;|q2<7<72;q6=5<521:894>128k;7p}>8283>7}:9191>=64=0:5>4ge3ty:494?:3y>5=2=:9201<69:0cf?xu60<0;6?u2197965><582=65<6std<;>h50;3xyk10<90;6>=6=4>{|l4311=83;pqc984983>4}zf>=?57>51zm322f290:wp`875`94?7|ug=<8n4?:0y~j213l3:1=vsa766f>5<6std<;9h50;3xyk10=90;6?=6=4>{|l4301=83;pqc985983>4}zf>=>57>51zm323f290:wp`874`94?7|ug=<9n4?:0y~j212l3:1=vsa767f>5<6std<;8h50;3xyk10>90;6<=6=4>{|l4331=83;pqc986983>4}zf>==57>51zm320f290:wp`877`94?7|ug=<:n4?:0y~j211l3:1=vsa764f>5<6std<;;h50;3xyk10?90;691<7?t}o5431<728qvb:985;295~{i?>==6=4>{|l4321=83;pqc987983>4}zf>=<57>51zm321f290:wp`876`94?7|ug=<;n4?:0y~j210l3:1=vsa765f>5<6std<;:h50;3xyk10090;62=6=4>{|l43=1=83;pqc988983>4}zf>=357>51zm32>f290:wp`879`94?7|ug=<4n4?:0y~j21?l3:1=vsa76:f>5<6std<;5h50;3xyk10190;63=6=4>{|l43<1=83;pqc989983>4}zf>=257>51zm32?f290:wp`878`94?7|ug=<5n4?:0y~j21>l3:1=vsa76;f>5<6std<;4h50;3xyk10i90;6k=6=4>{|l43d1=83;pqc98a983>4}zf>=j57>51zm32gf290:wp`87``94?7|ug=5<6std<;lh50;3xyk10j90;6h=6=4>{|l43g1=83;pqc98b983>4}zf>=i57>51zm32df290:wp`87c`94?7|ug=5<6std<;oh50;3xyk10k90;6i=6=4>{|l43f1=83;pqc98c983>4}zf>=h57>51zm32ef290:wp`87b`94?7|ug=5<6std<;nh50;3xyk10l90;6n=6=4>{|l43a1=83;pqc98d983>4}zf>=o57>51zm32bf290:wp`87e`94?7|ug=5<6std<;ih50;3xyk10m90;6o=6=4>{|l43`1=83;pqc98e983>4}zf>=n57>51zm32cf290:wp`87d`94?7|ug=5<6std<;hh50;3xyk10n90;6l=6=4>{|l43c1=83;pqc98f983>4}zf>=m57>51zm32`f290:wp`87g`94?7|ug=5<6std<;kh50;3xyk1?890;6=:182xh00991<7?t}o5;41<728qvb:6?5;295~{i?1:=6=4>{|l4<51=83;pqc970983>4}zf>2;57>51zm3=6f290:wp`881`94?7|ug=37l3:1=vsa792f>5<6std<4=h50;3xyk1?990;65;295~{i?1;=6=4>{|l4<41=83;pqc971983>4}zf>2:57>51zm3=7f290:wp`880`94?7|ug=3=n4?:0y~j2>6l3:1=vsa793f>5<6std<4{|l4<71=83;pqc972983>4}zf>2957>51zm3=4f290:wp`883`94?7|ug=3>n4?:0y~j2>5l3:1=vsa790f>5<6std<4?h50;3xyk1?;90;6{|l4<61=83;pqc973983>4}zf>2857>51zm3=5f290:wp`882`94?7|ug=3?n4?:0y~j2>4l3:1=vsa791f>5<6std<4>h50;3xyk1?<90;6=6=4>{|l4<11=83;pqc974983>4}zf>2?57>51zm3=2f290:wp`885`94?7|ug=38n4?:0y~j2>3l3:1=vsa796f>5<6std<49h50;3xyk1?=90;6{|l4<01=83;pqc975983>4}zf>2>57>51zm3=3f290:wp`884`94?7|ug=39n4?:0y~j2>2l3:1=vsa797f>5<6std<48h50;3xyk1?>90;6{|l4<31=83;pqc976983>4}zf>2=57>51zm3=0f290:wp`887`94?7|ug=3:n4?:0y~j2>1l3:1=vsa794f>5<6std<4;h50;3xyk1??90;691<7?t}o5;31<728qvb:685;295~{i?1==6=4>{|l4<21=83;pqc977983>4}zf>2<57>51zm3=1f290:wp`886`94?7|ug=3;n4?:0y~j2>0l3:1=vsa795f>5<6std<4:h50;3xyk1?090;6{|l4<=1=83;pqc978983>4}zf>2357>51zm3=>f290:wp`889`94?7|ug=34n4?:0y~j2>?l3:1=vsa79:f>5<6std<45h50;3xyk1?190;6{|l4<<1=83;pqc979983>4}zf>2257>51zm3=?f290:wp`888`94?7|ug=35n4?:0y~j2>>l3:1=vsa79;f>5<6std<44h50;3xyk1?i90;6{|l44}zf>2j57>51zm3=gf290:wp`88``94?7|ug=3mn4?:0y~j2>fl3:1=vsa79cf>5<6std<4lh50;3xyk1?j90;6{|l44}zf>2i57>51zm3=df290:wp`88c`94?7|ug=3nn4?:0y~j2>el3:1=vsa79`f>5<6std<4oh50;3xyk1?k90;6{|l44}zf>2h57>51zm3=ef290:wp`88b`94?7|ug=3on4?:0y~j2>dl3:1=vsa79af>5<6std<4nh50;3xyk1?l90;6{|l44}zf>2o57>51zm3=bf290:wp`88e`94?7|ug=3hn4?:0y~j2>cl3:1=vsa79ff>5<6std<4ih50;3xyk1?m90;6{|l4<`1=83;pqc97e983>4}zf>2n57>51zm3=cf290:wp`88d`94?7|ug=3in4?:0y~j2>bl3:1=vsa79gf>5<6std<4hh50;3xyk1?n90;6{|l44}zf>2m57>51zm3=`f290:wp`88g`94?7|ug=3jn4?:0y~j2>al3:1=vsa79df>5<6std<4kh50;3xyk1>890;6=:182xh01991<7?t}o5:41<728qvb:7?5;295~{i?0:=6=4>{|l4=51=83;pqc960983>4}zf>3;57>51zm3<6f290:wp`891`94?7|ug=25<6std<5=h50;3xyk1>990;65;295~{i?0;=6=4>{|l4=41=83;pqc961983>4}zf>3:57>51zm3<7f290:wp`890`94?7|ug=2=n4?:0y~j2?6l3:1=vsa783f>5<6std<5:90;6{|l4=71=83;pqc962983>4}zf>3957>51zm3<4f290:wp`893`94?7|ug=2>n4?:0y~j2?5l3:1=vsa780f>5<6std<5?h50;3xyk1>;90;6{|l4=61=83;pqc963983>4}zf>3857>51zm3<5f290:wp`892`94?7|ug=2?n4?:0y~j2?4l3:1=vsa781f>5<6std<5>h50;3xyk1><90;6=6=4>{|l4=11=83;pqc964983>4}zf>3?57>51zm3<2f290:wp`895`94?7|ug=28n4?:0y~j2?3l3:1=vsa786f>5<6std<59h50;3xyk1>=90;6{|l4=01=83;pqc965983>4}zf>3>57>51zm3<3f290:wp`894`94?7|ug=29n4?:0y~j2?2l3:1=vsa787f>5<6std<58h50;3xyk1>>90;6{|l4=31=83;pqc966983>4}zf>3=57>51zm3<0f290:wp`897`94?7|ug=2:n4?:0y~j2?1l3:1=vsa784f>5<6std<5;h50;3xyk1>?90;691<7?t}o5:31<728qvb:785;295~{i?0==6=4>{|l4=21=83;pqc967983>4}zf>3<57>51zm3<1f290:wp`896`94?7|ug=2;n4?:0y~j2?0l3:1=vsa785f>5<6std<5:h50;3xyk1>090;6{|l4==1=83;pqc968983>4}zf>3357>51zm3<>f290:wp`899`94?7|ug=24n4?:0y~j2??l3:1=vsa78:f>5<6std<55h50;3xyk1>190;6{|l4=<1=83;pqc969983>4}zf>3257>51zm3l3:1=vsa78;f>5<6std<54h50;3xyk1>i90;6{|l4=d1=83;pqc96a983>4}zf>3j57>51zm35<6std<5lh50;3xyk1>j90;6{|l4=g1=83;pqc96b983>4}zf>3i57>51zm35<6std<5oh50;3xyk1>k90;6{|l4=f1=83;pqc96c983>4}zf>3h57>51zm35<6std<5nh50;3xyk1>l90;6{|l4=a1=83;pqc96d983>4}zf>3o57>51zm35<6std<5ih50;3xyk1>m90;6{|l4=`1=83;pqc96e983>4}zf>3n57>51zm35<6std<5hh50;3xyk1>n90;6{|l4=c1=83;pqc96f983>4}zf>3m57>51zm3<`f290:wp`89g`94?7|ug=2jn4?:0y~j2?al3:1=vsa78df>5<6std<5kh50;3xyk1f890;6=:182xh0i991<7?t}o5b41<728qvb:o?5;295~{i?h:=6=4>{|l4e51=83;pqc9n0983>4}zf>k;57>51zm3d6f290:wp`8a1`94?7|ug=j5<6std5;295~{i?h;=6=4>{|l4e41=83;pqc9n1983>4}zf>k:57>51zm3d7f290:wp`8a0`94?7|ug=j=n4?:0y~j2g6l3:1=vsa7`3f>5<6std{|l4e71=83;pqc9n2983>4}zf>k957>51zm3d4f290:wp`8a3`94?7|ug=j>n4?:0y~j2g5l3:1=vsa7`0f>5<6std{|l4e61=83;pqc9n3983>4}zf>k857>51zm3d5f290:wp`8a2`94?7|ug=j?n4?:0y~j2g4l3:1=vsa7`1f>5<6stdh50;3xyk1f<90;6=6=4>{|l4e11=83;pqc9n4983>4}zf>k?57>51zm3d2f290:wp`8a5`94?7|ug=j8n4?:0y~j2g3l3:1=vsa7`6f>5<6std{|l4e01=83;pqc9n5983>4}zf>k>57>51zm3d3f290:wp`8a4`94?7|ug=j9n4?:0y~j2g2l3:1=vsa7`7f>5<6std90;6{|l4e31=83;pqc9n6983>4}zf>k=57>51zm3d0f290:wp`8a7`94?7|ug=j:n4?:0y~j2g1l3:1=vsa7`4f>5<6std91<7?t}o5b31<728qvb:o85;295~{i?h==6=4>{|l4e21=83;pqc9n7983>4}zf>k<57>51zm3d1f290:wp`8a6`94?7|ug=j;n4?:0y~j2g0l3:1=vsa7`5f>5<6std{|l4e=1=83;pqc9n8983>4}zf>k357>51zm3d>f290:wp`8a9`94?7|ug=j4n4?:0y~j2g?l3:1=vsa7`:f>5<6std{|l4e<1=83;pqc9n9983>4}zf>k257>51zm3d?f290:wp`8a8`94?7|ug=j5n4?:0y~j2g>l3:1=vsa7`;f>5<6std{|l4ed1=83;pqc9na983>4}zf>kj57>51zm3dgf290:wp`8a``94?7|ug=jmn4?:0y~j2gfl3:1=vsa7`cf>5<6std{|l4eg1=83;pqc9nb983>4}zf>ki57>51zm3ddf290:wp`8ac`94?7|ug=jnn4?:0y~j2gel3:1=vsa7``f>5<6std{|l4ef1=83;pqc9nc983>4}zf>kh57>51zm3def290:wp`8ab`94?7|ug=jon4?:0y~j2gdl3:1=vsa7`af>5<6std{|l4ea1=83;pqc9nd983>4}zf>ko57>51zm3dbf290:wp`8ae`94?7|ug=jhn4?:0y~j2gcl3:1=vsa7`ff>5<6std{|l4e`1=83;pqc9ne983>4}zf>kn57>51zm3dcf290:wp`8ad`94?7|ug=jin4?:0y~j2gbl3:1=vsa7`gf>5<6std{|l4ec1=83;pqc9nf983>4}zf>km57>51zm3d`f290:wp`8ag`94?7|ug=jjn4?:0y~j2gal3:1=vsa7`df>5<6std=:182xh0j991<7?t}o5a41<728qvb:l?5;295~{i?k:=6=4>{|l4f51=83;pqc9m0983>4}zf>h;57>51zm3g6f290:wp`8b1`94?7|ug=i5<6std5;295~{i?k;=6=4>{|l4f41=83;pqc9m1983>4}zf>h:57>51zm3g7f290:wp`8b0`94?7|ug=i=n4?:0y~j2d6l3:1=vsa7c3f>5<6std{|l4f71=83;pqc9m2983>4}zf>h957>51zm3g4f290:wp`8b3`94?7|ug=i>n4?:0y~j2d5l3:1=vsa7c0f>5<6std{|l4f61=83;pqc9m3983>4}zf>h857>51zm3g5f290:wp`8b2`94?7|ug=i?n4?:0y~j2d4l3:1=vsa7c1f>5<6stdh50;3xyk1e<90;6=6=4>{|l4f11=83;pqc9m4983>4}zf>h?57>51zm3g2f290:wp`8b5`94?7|ug=i8n4?:0y~j2d3l3:1=vsa7c6f>5<6std{|l4f01=83;pqc9m5983>4}zf>h>57>51zm3g3f290:wp`8b4`94?7|ug=i9n4?:0y~j2d2l3:1=vsa7c7f>5<6std90;6{|l4f31=83;pqc9m6983>4}zf>h=57>51zm3g0f290:wp`8b7`94?7|ug=i:n4?:0y~j2d1l3:1=vsa7c4f>5<6std91<7?t}o5a31<728qvb:l85;295~{i?k==6=4>{|l4f21=83;pqc9m7983>4}zf>h<57>51zm3g1f290:wp`8b6`94?7|ug=i;n4?:0y~j2d0l3:1=vsa7c5f>5<6std{|l4f=1=83;pqc9m8983>4}zf>h357>51zm3g>f290:wp`8b9`94?7|ug=i4n4?:0y~j2d?l3:1=vsa7c:f>5<6std{|l4f<1=83;pqc9m9983>4}zf>h257>51zm3g?f290:wp`8b8`94?7|ug=i5n4?:0y~j2d>l3:1=vsa7c;f>5<6std{|l4fd1=83;pqc9ma983>4}zf>hj57>51zm3ggf290:wp`8b``94?7|ug=imn4?:0y~j2dfl3:1=vsa7ccf>5<6std{|l4fg1=83;pqc9mb983>4}zf>hi57>51zm3gdf290:wp`8bc`94?7|ug=inn4?:0y~j2del3:1=vsa7c`f>5<6std{|l4ff1=83;pqc9mc983>4}zf>hh57>51zm3gef290:wp`8bb`94?7|ug=ion4?:0y~j2ddl3:1=vsa7caf>5<6std{|l4fa1=83;pqc9md983>4}zf>ho57>51zm3gbf290:wp`8be`94?7|ug=ihn4?:0y~j2dcl3:1=vsa7cff>5<6std{|l4f`1=83;pqc9me983>4}zf>hn57>51zm3gcf290:wp`8bd`94?7|ug=iin4?:0y~j2dbl3:1=vsa7cgf>5<6std{|l4fc1=83;pqc9mf983>4}zf>hm57>51zm3g`f290:wp`8bg`94?7|ug=ijn4?:0y~j2dal3:1=vsa7cdf>5<6std=:182xh0k991<7?t}o5`41<728qvqpsO@By;4a6=nk8j959i}ABA5{GHYqvLM \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngc b/cpld/XC95144XL/MXSE.ngc index 69d0d17..46db088 100644 --- a/cpld/XC95144XL/MXSE.ngc +++ b/cpld/XC95144XL/MXSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$52e4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGKM8UTc>?006g?DJB9VUd~=>?14f8EIC6WVey<=>>6e9BH@7XWfx;<=?8d:COA4YXg{:;<<6l;@NF5Zgil9:;_`lg456795NNE17?DHC<=1JBI;;;@LG21=FFM2?7L]}fe9BWw`XKeaTECX>7:CPvcYI8j1J_hPnnv3457c3HYyjR``t12354?89B[CUE48;556OPFR@?578>3HUM_O2>3?;8EZ@TJ5;?245N_GQA843912KTJ^L317<:?DYA[K6:;374A^DPF97?601JSK]M<0;=<>GXNZH7=374A^DPF947601JSK]M<33==>GXNZH7>?0m;@]EWG:5;3;556OPFR@?668?3HUM_O2=>99B[CUE4:437LQISC>7:==FWOYI0807;@]EWG:1611JSK]M<6<;?DYA[K63255N_GQA8<8c3HUM_OQ>0^KAQCb_H@VBa=FWOYIS<GXNZHT=>QFBTDg?DYA[KU:8RGMUGf8EZ@TJV;>SDLZFe9B[CUEW8UBNXHk;@]EWGY60VCIYKj4A^DPFZ7>W@H^Jn5N_GQA[4YNJ\Lo7LQISC]14ZOE]On0MRH\B^02[LDRNm1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEWDFK]8>7OOLTs68F@TU=2HN^_>9;CGQV5tb3KOY^=|P_np3456a3KOY^=|P_np34566n2HN^_>}_^mq4567:<1II_\>6:@FVW7um2HN^_?}_^mq4567n2HN^_?}_^mq45679o1II_\>r^]lv5678;?0NH\]249AAWT4=2HN^_::;CGQV0??e:@FVWYXign;<=>>c:@FVWYXg{:;<=j4BDPQ[Ziu89:;=i5MESP\[jt789:9h6LJRS]\kw67899o7OK]R^]lv5678=n0NH\]_^mq4567=m1II_\P_np34561>2HN^_|i9:@FVWtaWG:j7OK]Rsd\J5713KOY^|j;CGQVwtXff~;<=?i;CGQVwtXff~;<=?>8:@VWZOINF;0O95L17O0?F>J<2IJOY<4CD18GIM>3JF@>UQFOCg8GIM5PVCDNRGMUG58GIMXNZHh7NBD_GQA[LDRN>1H@FQFOCa8GIMXAFHTEO[I3:AOV6=DF]30OBCBIUVF@2=DZLK_II<4CR38@0=C\HI@:6J[ABI23>BSIJAyj:5KT@AHvw`CT4:4>7H]34?68AVY7l2OXS=QPaof3456b3LYT?0d9FWZ4XWhdo<=>?1d9FWZ4XWhdo<=>?259FWZ5c3LYT?RQnne2345c?_LzlvZTb{|f0?0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2<>0d8AVYJimnTSljk0123[H~hzVXnxb{<5<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k012254??20c8AVYJimnTSljk0123[Zgil9:;>=e:GP[TY6Wfx;<=>;e:GP[TY6Wfx;<=>:e:GP[TY6Wfx;<=>9e:GP[TY6Wfx;<=>8e:GP[TY6Wfx;<=>7e:GP[TY6Wfx;<=>6e:GP[Zgcl9:;<1?1e:GP[Zgcl9:;<1<1e:GP[Zgcl9:;<1=1e:GP[Zgcl9:;<1:15:GWEFMc3L^JOFQnne2345c5Jr3`8AwYDdbUBB[?:;Dp\J526HK4:DGG@53@DBX^ZNTD18MKP23@EJOYj4INC@PZkrp9:;=e:KLEFRXe|r;<=>;e:KLEFRXe|r;<=>:e:KLEFRXe|r;<=>9e:KLEFRXe|r;<=>8e:KLEFRXe|r;<=>7e:KLEFRXe|r;<=>66:KLEFRu>2CDNH\]e:KLF@TUWge<=>>f:KLF@TUWge<=>>1g9JKGCUZVddx=>?13d8MJDBZ[Uecy>?0017?LIEE=1BCO];;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;8:6G@CR372>OHKZ;>:6G@CR351>OHKZ8>7DALS278MJET<<1BCN]:5:KLGV023@EH_:;4INAP<0=NGJY286G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?k;HMO4Zkrp9:;>2CD^H_}5:KLVR6c3@EY[=Qbuy2345c=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=<5[ilglqqGXWfx;<=>=8:Vji`ir|K;;7YgbenwwFZYhz9:;<?1038Plkbg|~ISRa}0123647<\`gncxzM_^mq4567;j1^_H\PVHQJFIC43_IH56XFEV]W]UC43_ZJo6X_A^]bja6789n0Z]OP_`lg45679k1]\LQPos2345e<^YKTSb|?0122g>PWIVUd~=>?03a8RUGXWfx;<=>o6X_A^]lv5678??0Z]O}f49UTDtul2\[M|Pnnv3457b3_ZJ~Qaou23447c3_co^LCLSVP50nc<^`nYM@M\WS47o4c<^`nYM@M\WS47o7c<^`nYM@M\WS47o6c<^`nYM@M\WS47o1c<^`nYM@M\WS47o0c<^`nYM@M\WS47o3c<^`nYM@M\WS47o2c<^`nYM@M\WS47o=7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|t99\ekb789:27Road12344??299\ip~789:87n`{2:ap7>`tjk1moQaou2345e`tjVddx=>?003g?cueWge<=>?1368mjdj<2cdn~74n@FlqqJB8h1eMIaztMG35g=iIMe~xAK?10`8jDBh}}FN<<>1b9mEVYig}:;<<h7cO\_omw4566=j1eM^Qaou2344003gKdyyAJc:lBkprHMVEIYK;4nCGQV3=iJLXY=45aBDPQ[CUEm2dII_\PFR@\KGSA12dII_\PIN@f?kDBZ[UBCOQFBTD7?kEF[m1eOL]PCmi\MKP6?2dHM^QA089mGDUXGK_Mo6`LAR]mkq6788n0bNO\_omw45669?1eHYOLK`9m@QGDCVLXNk5aDUC@OZ@TJVEIYKo4nEVBGNYNGKl0bIZNCJ]JKGYNJ\Ln7cJ[ABI\[jt789:m7cJ[ABI\[jt789::j6`KT@AH[Ziu89:;>;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?179m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046>8028jAir|FOTbbz?013:0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNi5aLEQ\MJDXGK_Mo6`CDR]bja6788n0bAJ\_`lg45669m1e@I]Paof34575k2dGH^Qaou2344b?00a8jIBTWfx;<=?>c:lO@VYhz9:;=?m4nMFP[jt789;8o6`CDR]lv5679=i0bAJ\_np34572k2dGH^Q`r12353ehKLZUd~=>?19a8jIBTWfx;<=?64:lOS@32:lLr`tkip:Tmcj?012057=iGoy`lw?_`lg4567<880bBxjrmcz4Zgil9:;<8?=;oMuawjfq9Ujbi>?014:?kIqm{fju_O24?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU23gXJ_<;4nSCP60=iZHY896`]AR66?kTF[<30b_O\_N@VB1=iZLY27c\JS^KAQC0hUGD]N;6`]OLUF5g=iZFG\IRAMUG68jPBT02d^H^QISCf8jPBTWOYISDLZF99mQAUXAFHo7c[KS^KLFZIE]Oi0bXJ\_`lg4567l2d^H^Qnne23457c3g_O_Road12347ehRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKn0b[CN_HMA[JDRNm1eZ@OP_`lg4567m2d]ALQPaof34566k2d]ALQPos2345bhQEHUTc>?011g?kPJIVUd~=>?05f8jSKFWVey<=>?5e9mRHGXWfx;<=>9d:lUIDYXg{:;<=9k;oTNEZYhz9:;<5j4nWOB[Ziu89:;5n5aVLC\ekb789:o7cXBA^cm`56788n0b[CN_`lg4567:m1eZ@OPaof34564l2d]ALQnne23452c3g\FMRoad12340bhQXH?0b[^N149mRUG502d]\LQISCf8jSVFWOYISBLZF99mRUGXAFHo7cX_A^KLFZOE]O90bZK8;oUF[CUEk2d\IRH\B^KAQC5C<528qXm;4<4d82e<<6;=<>9=4>b3f`k53j3;0b>:l:79'71g=;=?0q^o::26f>4g>289?:8;?:0`1``=c90>1<7?51zQb2?53m3;j57?<47764?7e:mi0zY=>2;295?7=:lop_l8535g95d?=9:>=98>51c0gg>"4:m0:5<5+1`c905cd3|@:8i7)==1;3:0>\>>38p>7;5}%3:4?5582cj=7>5;n1;4?6=3`9=:7>5;n632?6=3`9oo7>5;n1g5;h143?6=3f9h47>5;n14=?6=3`9o?7>5;h1;6?6=3f9=47>5;n145?6=3f9hm7>5;h635;n1a3?6=3f9>o7>5;n156?6=3`92h7>5;h;g>5<>i41o0;66a>i40k0;66a<9183>>o38k0;66a<7483>>i6k10;6)?nc;3`3>h6ik0;76a>c783>!7fk3;h;6`>ac82?>i6l80;6)?nc;3`3>h6ik0976a>d183>!7fk3;h;6`>ac80?>i6ko0;6)?nc;3`3>h6ik0?76a>cd83>!7fk3;h;6`>ac86?>i6km0;6)?nc;3`3>h6ik0=76a>cb83>!7fk3;h;6`>ac84?>i6kk0;6)?nc;3`3>h6ik0376a>c`83>!7fk3;h;6`>ac8:?>i6k00;6)?nc;3`3>h6ik0j76a>c483>!7fk3;h;6`>ac8a?>o2;3:1(;:k64?6=,8kh68<4n0ca>7=ab866>h6ik0876g;d;29 4gd2<80b5$0c`>04>6`>ac84?>o313:1(d=ab866>h6ik0i76g;5;29 4gd2<80bn7>5$0c`>04>6`>ac8e?>o213:1(42<3`??6=4+1`a917=i9hh1=854i5g94?"6ij0>>6`>ac822>=e;<>1<7?m:5`91c}O;;h0(><>:`58^<0=9r91q)?60;114>o>k3:17b?;0;29?j57k3:17b=>3;29?l142900e>j<:188k4432900e9>n:188k4b42900c?9<:188k4602900e<850;&2ef<6=2d:mo4?;:k20?6=,8kh6<;4n0ca>4=ab821>h6ik0976g>1;29 4gd28?0bab821>h6ik0>76gk:18'5de=9<1e=ll56:9jg?6=,8kh6<;4n0ca>2=5<#9hi1=85a1``9e>=n03:1(ac8g?>o6m3:1(5<51;294~"4:808>n5G3558L64e3f;j47>5;|`0fg<72<0;6=u+3339bd=O;==0D>>o>?3:17do7:188k4e32900qo=l5;291?6=8r.8><4ia:J002=O;;h0(<>l:19j=g<722cj?7>5;h;4>5<0183>>o6880;66g>0383>>o68:0;66g>0583>>o68<0;66g>0783>>i6110;66sm11;94??=83:p(><>:2g8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17d??3;29?l77<3:17d??5;29?l77>3:17b?68;29?xd68h0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=?d;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f66b29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f66a29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f67729086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?74H264?M55j2cmj7>5;h334?6=3f;247>5;|`204<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`207<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`206<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`201<72=0;6=u+33397d=O;==0D>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj:i;6=4=:183!5593;;h6F<469K77d0183>>i6110;66sm3b694?2=83:p(><>:2c8L6203A99n6gif;29?l7783:17d??1;29?j7>03:17pl>d583>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>d483>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7c>3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg7c?3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg7c03:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5ag=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=il50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`131<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`130<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`133<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`132<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`13=<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb21g>5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e;:o1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<3g83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xd4<90;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4<80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4<;0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=;3;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f623290?6=4?{%115?5f3A9?;6F<2c9jbc<722c:<=4?::k244<722e:554?::a742=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`05<<72<0;6=u+33397g=O;==0D>5<5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e;8?1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<1783>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd49>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo?=5;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?=d;291?6=8r.8><4i2B88:5G33`8mc`=831b==>50;9l5<>=831vn<5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<=?:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a567=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a564=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a565=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj88<6=4<:183!5593;2m6F<469K77d5<3290;w)==1;1b?M53?2B8>o5ffg83>>o6890;66g>0083>>i6110;66sm13;94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd6:h0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>2c83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg75k3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900q~850;6xZ3=:9921==?4=23:>c`<5:;j6kk4}r594?2|V>16==651108967>28:;70=>b;df?xu?290?wS64=02;>464349:57??1:?05f54z\:?87703;;863<158245=:9;o1jk5rs`83>0}Yi27:<54>049>74?=99801>?k:gg8944b28:;7p}m:180[d<58:36<>9;<120?`a3tyh6=4;{_a8946>2ol01>=k:023?875l3lm7p}k:187[b<58:26<>?;<10`?`a34;9h7??0:pa?6=;4;m0:<<5213f955755?=99801>=k:021?875l3;;>6s|1083>2}Y9816==751118966c2ol01>m?:gg897122oo01>=j:gd8944e2ol0q~?<:18:[7434;;57??4:?04`:85fd9>76c=99:01<<::gg8945728:;7p}>4;29g~X6<27:<44>049>75b=99:01<:>:gg896da28:;70?k4;df?87c=3lm70<88;de?854n3;;<63>308ea>;6;:0mj63>358eb>{t9?0;64uQ179>55?=99<01>>i:gg894242oo01>m=:gg894b02oo01?98:gg896272oo01<098245=:;821==>4}r50>5<4sW=870=l6;df?85en3lm7p}6c;297~X>k278no467:?0g0<>?2wx==950;0xZ46034;;m7?68:p55>=838p1<>7:0;;?877i3lm7p}>0883>7}:9931=464=02b>4673ty:>94?:3y]572<588h6<77;|q260<72;q6=?;518:8945428:;7p}>2783>7}:9;<1=464=004>4673ty:>:4?:3y>571=90201<<7:gd8yv7503:1>v3>2982===:9;31==>4}r31=?6=:r7:>44>999>57g=99:0q~?=a;296~;6:h0:555213`955652z?26g<61116=?m51128yv75l3:1>v3>2e82===:9;31jk5rs00f>5<5s4;9i7?68:?26=<6891v<358245=z{89;6=4={<304?7>027:>;4if:p567=838p1<=>:0;;?875>3;;<6s|12094?4|58996<77;<31=50;0x9454283370?=a;de?xu6;=0;6?u212695<><588<6kh4}r374?6=:rT:8=5215695<>52z?204<61116=9:51128yv73:3:1>v3>4382===:9=>1jk5rs060>5<5s4;??7?68:?201<6881v<7::18185303lh70=l5;c0?xu61?0;6?u235;95d><5:hi6l64}r3g7?6=:rT:h>521e`95<>52z?2`1<61116=i651128yv7c=3:1>v3>d482===:9m31jk5rs0f5>5<5s4;o:7?68:?2`<<6891vdc8245=z{8n36=4={<3g027:hl4if:p5a?=838p14?:3y]625<5;=j6<77;|q131<72;q6>::518:8971?28:;7p}=7483>7}::>?1=464=35b>c`52z?133<61116>:75fg9~w7102909w0<87;3:<>;5?00:<=5rs35;>5<5s48<47?68:?13d<6891v?96:18184013;2463=7`8244=z{::h6=4={_13g>;4980:555rs22g>5<5s49;h7?68:?0540;de?xu48o0;6?u231d95<><5:;;6<>?;|q055<72;q6?<>518:8967628:;7p}<1283>7}Y;8901>?8:0;;?xu49=0;6?u230695<><5:;>6kh4}r121?6=:r78=84>999>741=no1v>?9:181856>3;2463<168245=z{:;36=4={<120278=h4>019~w67>2909w0=>9;3:<>;49?0mj6s|30c94?4|5:;j6<77;<12b?`a3ty8=o4?:3y>74d=90201>?i:023?xu49j0;6?u230a95<><5:;m6<>>;|q05a<72;q6?7}:;8o1=464=236>4673ty8=k4?:3y>74`=90201>?9:023?xu4;j0;6?u235695<><5:;n6kh4}r10`?6=:r78?i4>999>712=99:0q~=02788?4if:p716=838p1>:?:0;;?853:3;;<6s|35394?4|5:>:6<77;<170?7792wx?9<50;0x9625283370=;3;334>{t;=91<74??349?87hi;|q0fg<72;q6?ol51b6896e22h20q~=md;296~;4jk0j?63li:0;;?85d<3;;<6s|3b294?4|5:i;6<77;<1`7?`a3ty8o<4?:3y>7f7=90201>m<:023?xu4k;0;6?u23b095<><5:i?6<>>;|q0g6<72;q6?n=518:896e32ol0q~=l5;293~;4k<0:o9521e49b`=:9m21jk522669b`=:;:l1jk5213d9b`=:9::1jk5rs2a4>5<5s49h977m;<1`2?7>02wx?i=50;0xZ6b4349io7hj;|q74d<72=qU8=o4=061>cc<5:>:6kk4=011>cco5+33395a4v<54;'5<6=;;:0e4m50;9j750=831d>n950;9l7`3=831b?;;50;9l7a>=831d?nm50;9l72?=831b?=:50;9j36<722e8n:4?::k0=f<722c?4?::m0`0<722c9;>4?::m1ec<722e8n=4?::m2g=<72-;jo7?l7:l2eg<732e:o;4?:%3bg?7d?2d:mo4>;:m2`4<72-;jo7?l7:l2eg<532e:h=4?:%3bg?7d?2d:mo4<;:m2gc<72-;jo7?l7:l2eg<332e:oh4?:%3bg?7d?2d:mo4:;:m2ga<72-;jo7?l7:l2eg<132e:on4?:%3bg?7d?2d:mo48;:m2gg<72-;jo7?l7:l2eg5=ab826>h6ik0:76gi:18'5de=9;1e=ll52:9ja?6=,8kh6<<4n0ca>6=07dm50;&2ef<6:2d:mo4:;:ka>5<#9hi1=?5a1``92>=ni3:1(10e44?:%3bg?753g;jn764;h:94?"6ij0:>6`>ac8:?>o0290/=lm5139m5dd=i21b:7>5$0c`>446`>ac8`?>o6l3:1(c=ab826>h6ik0:<65f1883>!7fk3;97c?nb;32?>o603:1(2:l2eg<6<21i?9650;394?6|,:8:6kj4H264?M55j2emo7>5;|`2b7<72<0;6=u+3339bd=O;==0D>>o>?3:17do7:188k4e32900qo?6d;291?6=8r.8><4ia:J002=O;;h0(<>l:19j=g<722cj?7>5;h;4>5<<1<7=50;2x 6462o30D>:8;I11f>o>?3:17do7:188k4e32900qo<4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3th9=>4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e9mn1<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f66429086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4i8594?=ni10;66a>c583>>{e:>h1<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f7>e29086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4$02`>5=n1>0;66gn8;29?j7d<3:17pl=b483>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl=b`83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd4m?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4j80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4l?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6nl0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6no0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5890;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=8e;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f61f29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6b>29086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn>l7:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>l6:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f6c?29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6c>29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6cf29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6ce290>6=4?{%115?5e3A9?;6F<2c9jbc<722c:<=4?::k244<722c:k;I173>N4:k1bjh4?::m2==<722wi?o:50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?o;50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?o850;794?6|,:8:6>l4H264?M55j2cmj7>5;h334?6=3`;;=7>5;h336?6=3f;247>5;|`174<72:0;6=u+33397<=O;==0D>5<5<7>52;294~"4:80:5<53;294~"4:80856F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;926=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm22c94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm22`94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5;j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=3g83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4383:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4393:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?:=:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f72229086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>9850;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi>9950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`10=<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj;>n6=4<:183!5593;2m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:<81<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e:<91<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl=5583>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5=>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<:8;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo<:9;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f73f29086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn?;k:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a60c=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a60`=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`126<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;<26=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm27c94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm27`94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5>j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=a383>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5i=0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4i2B88:5G33`8mc`=831b==>50;9l5<>=831vn?o6:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a6dg=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>ll50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`1e6<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1==j4H264?M55j2cmi7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`1=<<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1=d<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:f>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:1l1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl=9183>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4>93:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?7=:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f7?429086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>4:50;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a6<3=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>4850;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`1=2<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`13a<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1<6<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1<1<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:;>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3::>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:b>5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;=n6=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm26d94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5090;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<71;290?6=8r.8><4=831vn?6=:187>5<7s-99=7?6b:J002=O;;h0ekh50;9j556=831b==?50;9l5<>=831vn<7i:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7=m;I173>N4:k1bjk4?::k245<722c:<<4?::k247<722e:554?::a5d2=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi><;50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`165<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16<<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16d<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16g<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16f<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16a<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16`<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`153<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`152<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`15=<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:8h1<7:50;2x 6462:k0D>:8;I11f>oan3:17d??0;29?l7793:17b?68;29?xd59j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=1e83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd59l0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=1g83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4593:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?<=:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a675=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>?:50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`160<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:;4?:283>5}#;;;1?45G3558L64e3`lm6=44i023>5<5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8oo6=4=:183!5593;;h6F<469K77d0183>>o6880;66a>9983>>{e9o;1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e9l:1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e9l;1<7:50;2x 646283i7E=;7:J06g=nno0;66g>0183>>o6880;66a>9983>>{e9l81<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>e283>1<729q/???53`9K711<@:8i7dhi:188m4672900e<>>:188k4??2900qo?j4;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f4c229086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=h850;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a5`1=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=h650;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`2a<<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`0ga<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0g`<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0gc<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0`5<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`0`4<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<3290;w)==1;1b?M53?2B8>o5ffg83>>o6890;66g>0083>>i6110;66sm1g594?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g:94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g;94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1gc94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g`94?3=83:p(><>:2`8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd6nj0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>fe83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7a=3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo<4=831vn?>m:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a65e=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65b=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65c=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65`=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a646=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a647=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a644=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a652=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>=;50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`143<72:0;6=u+33397<=O;==0D>5<5<54;294~"4:80:5o5G3558L64e3`lm6=44i023>5<5<54;294~"4:808m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{t>3:1>vP9;<007?`a3ty<6=4={_58975e2ol0q~650;0xZ==::=;1jk5rs883>7}Y12798:4if:pe?6=:rTj70<;d;de?xue2909wSl4=370>c`60?=no1vi4?:3y]`>;5=o0mj6s|e;296~Xb348=97hi;|q24?6=:rT:<63=308245=z{8;1<728:;7p}>7;296~X6?279?44>019~w4>=838pR<64=31e>4673ty:57>52z\2=>;5<<0:<=5rs0c94?4|V8k01?:m:023?xu6j3:1>vP>b:?114<6891v?;|q2`?6=:rT:h63=5e8245=z{8o1<7l95fg9>64o5112897?a2oo01?69:023?87f:3ln70<=0;df?845l3ln70<=2;de?87bi3ln70?jc;de?87ai3ln703:19v386;3`0>;51k0mi63=2b8ea>;6mm0mi63=058eb>{t1j0;6nuQ9b9>5c4=1>16=4j5969>33<>?279<<467:?156<>?27:hi467:?046<>?279;o467:?1?279m=467:?03d4=0d7>467348;n7hi;|q2=`<72;q6=4j59c9>5d2=9020q~?6f;296~;61o0:55521`1955652z?2e5<61116=l=51138yv7f93:1>v3>a082===:9h91==<4}r3b6?6=:r7:m?4>999>5d2=99:0q~?n3;296~;6i:0:55521`69bc=z{8i>6=4={_3`1>;5;=0:555rs0a5>5<5sW;87S?l6:p5f>=839pRk5Q1b:8970e2ol0q~?l9;296~X6k016>>m518:8yv7di3:1>vP>c`9>614=9020q~?lb;296~X6kk16>96518:8yv7dk3:1>vP>cb9>61c=9020q~?ld;296~X6km16>8:518:8yv7dm3:1>vP>cd9>60g=9020q~?lf;296~X6ko16>;>518:8yv7c83:1>vP>d19>630=9020q~?k1;296~X6l816>;m518:8yv7c;3:1jvP>d29>6g3=nl16>l:5fg9>6585fg9>5d5=no16>?l5fd9>67c=nl16>5`d=nl16=hk5fd9>7fb=nl16=kl5fg9>65d=99:01?>k:gg8yv7ck3:18v3=0182===:;lh1jk523c49bc=:;jo1jh5rs0fg>5<5s4;oh7?l4:?2bc<58o<6kh4}r3f4?6=:r7:i=4>999>5`5=99;0q~?j1;296~;6m80:55521d695567>52z?2a7<61116=h;51128yv7b;3:1>v3>e282===:9l31==?4}r3f0?6=:r7:i94>999>5`0=no1ve78245=z{8o=6=4={<3f2?7>027:i:4>019~w4c02909w0?j7;3:<>;6m10mj6s|1d:94?4|58o36<77;<3f=?7782wx=ho50;0x94cf283370?j1;de?xu6mk0;6?u21d`95<><58o:6<>>;|q2af<72;q6=hm518:894c22ol0q~?jd;296~;6mm0:55521d09bc=z{8on6=4={<3fa?7>027:i?4>019~w4ca2909w0?jf;3:<>;6m10:<=5rs0d3>5<5s4;m<7?68:?2a<fe8eb>{t9o<1<74??34;mo7hi;|q2b2<72;q6=k9518:894`e28:;7p}>f983>7}:9o21=464=0da>4663ty:j44?:3y>5c?=90201<58l>6<>?;|q2bg<72;q6=kl518:894`d28:;7p}>fb83>7}:9oi1=464=0dg>4673ty:ji4?:3y>5cb=90201v3>fd82===::9:1jk5rs0de>5<5s4;mj7?68:?145<6891v?>>:18184793;h863=b`8eb>{t:981<7d><5;:j6<77;|q146<72;q6>==518:8976>2ol0q~6=4={<031?7>0279<54>009~w7612909w0;58>0mj6s|21594?4|5;:<6<77;<03=650;0x976?283370{t:931<74??348;m7hi;|q14g<72;q6>=l518:8976028:;7p}=0b83>7}::9i1=464=327>4673ty965b=90201?>9:023?xu58l0;6?u221g95<><5;:<6<>>;|q14c<72;q6>=h518:8976?2ol0q~<>0;296~;5990:55522179bc=z{;;:6=4={<025?7>0279<84>019~w7752909w0<>2;3:<>;58h0:<=5rs330>5<6>r79=>4>c59>72c=nl16>>?5fg9>664=nl16>>75fg9>66g=nl16>>h5fg9>616=nl16>9;5fg9>610=nl16>9l5fg9>61e=nl16>8?5fg9>604=nl16>895fg9>60>=nl16>8j5fg9>60c=nl16>;=5fg9>632=nl16>;75fg9>63g=nl1v??;:181846;3k370<=7;3:<>{t:8?1<74??348:47hi;|q153<72;q6><8518:8974328:;7p}=1683>7}::8=1=464=305>4673ty9=54?:3y>64>=90201??k:gd8yv4613:1>v3=1882===::;?1jk5rs33b>5<5s48:m7?68:?15`e;334>{t:8i1<74??348:j7??0:p64b=838p1??k:0;;?84593;;<6s|20g94?4|5;;n6<77;<016?7782wx>{t:;:1<74??348:47??0:p677=838p1?<>:0;;?845?3lm7p}=2383>7}::;81=464=300>c`52z?166<61116>?:5fg9~w7432909w0<=4;3:<>;5:<0:<=5rs306>5<5s48997?68:?163?850;0x9741283370<=7;334>{t:;21<74??348:h7??0:p67?=838p1?<6:0;;?84613;;<6s|23c94?4|5;8j6<77;<02e?7782wx>?l50;0x974e283370<>b;de?xu5:j0;6?u223a95<><5;;i6<>>;|q16a<72;q6>?j518:8977d2ol0q~<=e;296~;5:l0:555220a955652z?174<61116>>:5fg9~w7562909w0<<2;3:<>;5;:0:<=5rs311>5<5s488?7?68:?171<6891v?=7:18184413;2463=3b8eb>{t::31<74??3488n7??0:p66g=838p1?=m:0;;?844k3;;<6s|22g94?4|5;9m6<77;<076?`a3ty9?k4?:3y>616=90201?:>:023?xu5<90;6?u225395<><5;>96<>?;|q101<72;q6>9;518:8972?2ol0q~<;5;296~;552z?102<61116>9651128yv43i3:1>v3=4c82===::=o1jk5rs36a>5<5s48?o7?68:?10a<6891v?:l:181843l3;2463=4d8245=z{;?;6=4={<065?7>0279994if:p607=838p1?;=:0;;?842;3;;<6s|24094?4|5;?86<77;<060?7782wx>8850;0x9730283370<:a;de?xu5=>0;6?u224:95<><5;?26<>?;|q11=<72;q6>87518:8973f28:;7p}=5b83>7}::c`h7>52z?11`<61116>8h51128yv42m3:1>v3=5g82===::?:1==>4}r056?6=:r79:>4>999>630=no1v?8<:181841<3;2463=648245=z{;0279:;4>019~w70?2909w0<99;3:<>;5>j0mj6s|27;94?4|5;;o50;0x970e283370<9c;334>{t:>91<73ln7p}=7c83>47|5;=i67??0:?1e2<68816>465fg9>672ol01:gg8977228:;70<>7;df?87bn3ln70?j3;334>;6n?0mj63>f68ea>;58:0mj63=0g8ea>{t:>i1<7d><5;296<77;|q13a<72;q6>:j518:897>f2ol0q~<8e;296~;5?l0:55522909bc=z{;=m6=4={<04b?7>02794<4if:p6=6=838p1?6?:0;;?84?:3;;<6s|29394?4|5;2:6<77;<0;6?7792wx>5=50;0x97>4283370<7a;334>{t:1>1<74??3485;518:8971b28:;7p}=8783>7}::1<1=464=3:2>4673ty94:4?:3y>6=1=90201?9i:gd8yv4?03:1>v3=8982===::>l1==>4}r0;=?6=:r79444>999>6=7=99;0q~<7a;296~;50h0:55522929556515y>6=d=9j>01?oj:gd897g52ol01?o8:021?84>l3ln70<7e;de?840l3ln70<74;de?84?03ln70?n0;df?84503ln70<>6;df?84613lm70?kf;de?87a83;;<63>f08ea>;6n?0:<=521g:9b`=::991==>4=333>cc52z?1999~w7>c2909w0<7d;3:<>;50l0:<=5rs3:f>5<5s483i7?68:?1=2<6891v?6i:18184?n3;2463=958eb>{t:0:1<74??3482>7??0:p6<7=838p1?7>:0;;?84>?3;;=6s|28094?4|5;396<77;<0:7?`a3ty95>4?:3y>6<5=90201?7;:023?xu51=0;6?u228695<><5;3>6<>?;|q1=0<72;q6>4;518:897?12ol0q~<66;296~;51?0:55522859bc=z{;336=4={<0:0279584if:p66<5;386<>?;|q1=a<72;q6>4j518:897?128:;7p}=9d83>7}::0o1=464=3;2>c`52z?1=c<61116>4?51128yv4f83:1=cc<5;336<>?;<0;7?`b348387??0:?1<<<4if:?2`c<68916=k>5113894c72oo01;5980mi6s|2`394?4|5;k;6l64=3c0>4??3ty9m?4?:3y>6d4=90201?on:gd8yv4f<3:1>v3=a582===::h31jk5rs3c6>5<5s48j97?68:?1e=<6891v?o9:18184f>3;2463=ac8245=z{;k<6=4={<0b3?7>0279m>4>019~w7g?2909w0;5i00:<=5rs3c:>5<5s48j57?68:?1ed<6891v?on:18184fi3;2463=ac8eb>{t:hh1<74??348j?7hi;|q1ef<72;q6>lj518:897gb28:;7p}=ag83>7}Y:hl01?ln:0;;?xu5j<0;6?u22c795<><5;hj6<>?;|q046<72:qU>n94=220>4e3349hj7hj;|q041<72c`<5;;i6<>?;<3fg?778279>9;<0b3?778279m54if:?1=`<0;6;uQ377896b>28:;70=m9;de?85b03ln70=m3;df?85c83;;<6s|36;94?4|V:=270=89;3`0>{t;>h1<7<1<5:=j6<77;|q03a<72;q6?:75a99>72c=9020q~=6c;296~X41j16?o;5fd9~w6d72909wS=m0:?0f4<6111v>l=:18185e93ln70=m6;3:<>{t;k91<74??349i:7??0:p7g2=838p1>l;:0;;?85e>3;;=6s|3c794?4|5:h>6<77;<1a2?77:2wx?o950;0xZ6d0349i57?68:p7g>=838p1>l7:0;;?85e13;;<6s|3ba94?4|V:ih70=k1;3:<>{t;jn1<74??349o<7hi;|q0g`<72;q6?nk518:896b728::7p}7}:;jl1=464=2f2>4673ty8h=4?:3y>7a6=90201>j>:gd8yv5c=3:1>vP7a0=9020q~=k8;296~X4l116?i7518:8yv5cj3:1>vP7`g=nl1v>k::181[5b=278i;4>999~w6c02909w0=j6;df?85bj3;246s|3d:94?4|5:o36<77;<1ff?7782wx?h750;0x96c>283370=jb;335>{t;lk1<74??349nn7??2:p05g=83?pR9>n;<1g=?`a349i47hj;<1f=?`b349i87hj;|a70d=83;86;o575yK77d<,:8:6<<<;%3:4?5582c2o7>5;h32`?6=3`;?<7>5;h33a?6=3`9=97>5;n54>5<91<75`15794?=n;0i1<75f13694?=h9<81<75f41c94?=n;mh1<75`12794?=h:hl1<75`39`94?=h91>1<75`1g83>>i5?3:17o=;8;295?6=8r.8><4id:J002=O;;h0ckm50;9~f406290>6=4?{%115?`f3A9?;6F<2c9j=g<722cj?7>5;h;4>5<=1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=4j50;794?6|,:8:6ko4H264?M55j2.:5<>of03:17b?l4;29?xd61:0;6>4?:1y'777=n01C?994H20a?l?02900el650;9l5f2=831vn?950;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm1g83>6<729q/???5f89K711<@:8i7d78:188md>=831d=n:50;9~f42229086=4?{%115?`>3A9?;6F<2c9j=2<722cj47>5;n3`0?6=3th:=n4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e91>1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=>;50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm14094?3=83:p(><>:gc8L6203A99n6g6b;29?lg42900e4950;9je=<722e:o94?::a5=d=83?1<7>t$202>cg<@:><7E==b:k:f?6=3`k86=44i8594?=ni10;66a>c583>>{e9:i1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=;>50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm1`f94?5=83:p(><>:g;8L6203A99n6*>0b83?l?02900el650;9l5f2=831vn:180>5<7s-99=7h6;I173>N4:k1/==m50:k:3?6=3`k36=44o0a7>5<52;294~"4:80:5<52;294~"4:80:5<0183>>i6110;66sm17194?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm17694?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd6k80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6k;0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=7c;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f6>c29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6>b29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=8:50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`210<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`213<72:0;6=u+33397<=O;==0D>5<5<;7>53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8936=4=:183!5593;;h6F<469K77d0183>>i6110;66sm12`94?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e91<1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>8683>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>8983>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>8883>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7?i3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo?m3;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?m8;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg7e13:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5gb=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a5gc=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8h>6=4<:183!5593;2m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e9k=1<7:50;2x 646283i7E=;7:J06g=nno0;66g>0183>>o6880;66a>9983>>{e9hl1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>b183>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5j<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jh0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jo0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4>9c9K711<@:8i7dhi:188m4672900e<>>:188k4??2900qo?;7;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?;9;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg73i3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn<:m:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<:l:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<:k:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a51c=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`215<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e;=0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl<5;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`0N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb07:>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e99983>>{e99983>>{e99983>>{e9:8;I11f>oan3:17d??0;29?j7>03:17pl>5d83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd6=o0;694?:1y'777=;h1C?994H20a?l`a2900e<>?:188m4662900c<77:188yg>f29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f=d=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a<7E==b:kea?6=3f;247>5;|`;`?6=:3:15<5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e0o0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>9g83>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a183>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a083>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a383>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a283>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7f<3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f4g129086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=l950;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a6d<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1f?6=;3:1N4<>1C??l4igd94?=n99:1<75`18:94?=zj;i1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e:m0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5m3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?h50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`04?6=<3:1N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb2394?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{t9o0;6?uQ1g9>5c<6k=1v?950;33[40348<697hj;<303?`a34;847hj;<3;2?`a34;3;7hj;<3a5<3s48<6l64=0;0>d><58<;64l4=2:95<>5<5s48j6<77;<0f>4673ty9n7>52z?1f?7>0278<7??0:p6f<72;q6>n4>999>6c<6891v?j50;0x97b=90201>>51138yv4b2909w0{t;:0;6?u23582===:;>0mj6s|3583>7}:;<0:5552368245=z{:?1<7<5:21==?4}r15>5<5s49<6<77;<1;>c`l3k37067:gd894032ol014e33ty3;7>52z?43?g?34236<77;|q;=?6=;r7<;77m;<355??e342m6<77;|q;e?6=:r73m7?68:?;a?7782wx4o4?:3y>009~w=e=838p15m518:89=c=9980q~6k:1818>c2833706i:023?xu?m3:1>v37e;3:<>;?n3lm7p}6c;2954}Y1j16=;?5969>32<>?27:5i467:?2=6<>?279;778;<3e><1<58>>6494=03`><1<582?6494=016><1<58?96494=0:a><1<589h6494=043><1<58ko6494=0`2><152z\24`=:98i1m55rs03`>5<1s4;:o7?l4:?2f6019>5d`=99:01<:8:gg89=d=nl1v?;<36=?`a34;j:7hi;<0e>c`52z\270=:9:?1=n:4}r302?6=:r7:?84n8:?27g<6111v<=8:181874?3;2463>3c8eb>{t9:21<74??34;8m7hi;|q27<<72;q6=>7518:8945f28:;7p}>3`83>7}:9:k1=464=01a>4673ty:?n4?:3y>56e=9j>01<=8:023?xu6;m0;6?u212a9e==:9:o1=464}r374?6=;rT:8=522cd9bc=:9h91jk5rs066>5<5sW;?963>4482g1=z{8>=6=4={<371?g?34;?47?68:p511=838p1<:8:0;;?873l3;;<6s|15;94?4|58>26<77;<37b?`a3ty:8l4?:3y>51g=90201<:j:gd8yv73j3:1>v3>4c82===:9=o1==>4}r37g?6=:r7:8n4>999>506=99:0q~?;d;296~;6n6=4={<37a?7>027:8k4>019~w42a2909w0?;f;3:<>;6=90mj6s|14294?4|58?;6<77;<37>7?l4:p505=838p1<;=:`:8943028337p}>5583>7}:9<>1=464=074>c`97>52z?210<61116=8851128yv72>3:1>v3>5782===:9<=1==>4}r365e8eb>{t94??34;>h7??0:p50d=838p1<;m:0;;?872n3lm7p}>5b83>7}:94663ty:9i4?:3y>50b=90201<;j:023?xu6=l0;6?u214g95<><58?m6<>?;|q225<72;q6=;>51b68943328:;7p}>6083>7}:9?;1=n:4=3a3>4673ty::?4?:3y>537=i116=;:518:8yv71;3:1>v3>6282===:9?>1==>4}r3;0?6=:rT:495219695f252z?2<1999~w4>12909w0?76;3:<>;60h0mj6s|19594?4|582<6<77;<3;=?`a3ty:454?:3y>5=>=90201<66:023?xu6000;6?u219;95<><582j6<>?;|q2128:;7p}>8b83>7}:91h1m55219f95<>53z?2=6<6k=16=5l59c9>56e=1k1v<7::18a85303lh70?91;c0?8102h901<7k:`18971=i:16=5:5a29>563=i:16=8<5a29>5=d=i:16=>m5a29>536=i:1v<7k:18487>l3;h863>b`8ea>;6l33i70?n7;3:<>{t90l1<74??34;j?7??0:p5d6=838p1a083>7}:9h;1=464=0c7>4673ty:m?4?:3y>5d4=90201<58k>6kh4}r3b0?6=:r7:m94>999>5d3=99:0q~?n5;296~;6i<0:55521`4955652z?2e3<61116=l95fg9~w4gc290iw0?nd;3`0>;6k80mi63>bc8ea>;6jj0mj63>ag8eb>;6v3>ae8b<>;6j90:555rs0ce>5<5s4;jj7?68:?2f5<6891v:18g87e93;h863>c38eb>;6j10mj63>be8ea>;6jl0mj63>b18eb>;6<00mj63>4e8eb>;4<3;;<63>5c8ea>;?i3ln70?n1;df?84b2ol0q~?m2;296~;6j80j463>b682===z{8h86=4={<3a7?7>027:nh4>019~w4d32909w0?m4;3:<>;6j>0:<<5rs0`6>5<5s4;i97?68:?2f3<58h>6kh4}r3a=?6=:r7:n44>999>5g`=no1vbg8245=z{8hi6=4={<3af?7>027:n;4>019~w4dd2909w0?mc;3:<>;6j=0mj6s|1cf94?4|58ho6<77;<3a0?7782wx=ok50;0x94db283370?m7;334>{t9kl1<74??34;i97??0:p5f6=838p1:0;;?87d:3;;<6s|1b194?2|58l1m5521969=g=:9:?15o521b095<>52z\1ec=::j:1=464}r0a1?6=:r79n84>999>6g`=99:0q~52z?1fc<61116>n>5fg9~w6022909wS=95:?0e3493i7?68:p7=e=838p1>6l:0;;?85?m3lm7p}<8e83>7}:;1n1=464=2:f>4673ty85n4?:2y]7cc53z\0`g=:91n1jh5219:9b`=z{=:j6=4;{_63e>;6>90j463<8b8245=:9<<1jk5r}c161?6=k38i6>=tH20a?!5593li7)?60;114>i38:0;66a<7g83>>o4><0;66a83;29?l`?2900e:650;9j3a<722c9n=4?::k1f0<722c9nl4?::m036<722c:<:4?::`00=<7280;6=u+3339ba=O;==0D>5<55;294~"4:80mm6F<469K77d>o>?3:17do7:188k4e32900qo<4ia:J002=O;;h0e4l50;9je6<722c2;7>5;hc;>5<N4<>1C??l4i8`94?=ni:0;66g67;29?lg?2900c50z&0644?::k:3?6=3`k36=44o0a7>5<5;hc0>5<>i6k=0;66sm33g94?3=83:p(><>:gc8L6203A99n6g6b;29?lg42900e4950;9je=<722e:o94?::a7c<72:0;6=u+3339b<=O;==0D>>i6k=0;66sm7583>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl85;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg4d<3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4d=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4d>3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn9=50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?5;50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?5850;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a766=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:52;294~"4:80:5<<>:02g?M53?2B8>o5ffd83>>i6110;66sm7b83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5jm0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jl0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=b983>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl=b883>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5j:0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5j=0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl;0;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo:?4;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo:?5;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg50<3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo9k:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi4>4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:52;294~"4:80:5<6=4::183!55939i7E=;7:J06g=nno0;66g>0183>>o6880;66g>0383>>i6110;66sm32094?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e;:91<7=50;2x 646283j7E=;7:J06g=nno0;66g>0183>>i6110;66sm32694?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm32794?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm32494?3=83:p(><>:2`8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd4;>0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17p}v3d><5;h=6l64=3`2>d><5>?1=464=2:6>cc<5:9;6kh4=929b`=:?k0mi63=be8ea>;5j10mi63=b28ea>;4;<0mi6s|7583>7}:?=0:5552748245=z{>=1<7=t=257>c`<51?1=464=215>c`999~w2d=838p1:l518:892e=99:0q~9k:180[1c342:6kh4=909b`=z{>o1<7o15o528082===z{1:1<7<51;1==>4}r:1>5<5s4=o6<77;<:6>c`4??342>6<>?;|q;0?6=:r73?7?68:?;1?7792wx484?:3y><1<61116484>039~wc>=833pRk64=25e><1<5;h:6494=3`5><1<5;hi6494=6;9=2=:?l02;63<2d8:3>;383ln7p}>0683>6}Y99=01>69:gd8965728:;7p}>9483>=}:;=21jn5236d9e6=::k;1m>522c49e6=::kh1m>52788b7>;0m3k870==e;c0?xu5j90;6>uQ2c2897d32ol01>=<:gd8yv4e93:1>v3=b082g1=:;:91==>4}r0a6?6=:r79n<46b:?1f1<6111v?l<:18184e;3;2463=b58245=z{;h>6=4<{_0a1>;5k<0mi63=b88eb>{t:k<1<74e3348h87hj;|q1f2<72;q6>o859c9>6g?=9020q~53z\1fd=::ko1jk523209bc=z{;hi6=4={<0af?7d<278??4>019~w7dd2909w0n=50;0x97e1283370=<4;df?xu5k=0;6?u22b695<><5;i=6kh4}r0`1?6=:r79o84>999>6f0=99:0q~==e;296~;4:l0:o9524169b`=z{:8m6=4={<11a?g?3498<7?68:p767=839p1>=8:0;;?xu4;;0;6?u232095<><5:9=6<>?;|q076<72;q6?>=518:8965128::7p}<3583>7}:;:>1=464=215>4653ty8?84?:3y>763=90201>=8:023?xu4;?0;6?u232495<><5:9<6kh4}r151?6==rT8:852758ea>;3;3ln70:?5;de?850<3;;<6s|36194?4|V:=870=84;3:<>{t;>l1<74??3ty8484?:3y>7=3=90201>69:023?xu38:0;6?uQ4118916228337p};0583>7}:<9>1=464=526>4673twi?8o50;36>d?=j=qC??l4$202>4453-;2<7==0:m25a<722c?<>4?::k03c<722c:4k4?::m24`<722e8:84?::ka5?6=3`9o?7>5;h371?6=3f9<=7>5;h;:>5<>d4<10;6<4?:1y'777=nm1C?994H20a?j`d2900qo=jc;291?6=8r.8><4ia:J002=O;;h0e4l50;9je6<722c2;7>5;hc;>5<5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xddj3:1?7>50z&0645}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rbb194?5=83:p(><>:g;8L6203A99n6g67;29?lg?2900c3A9?;6F<2c9j=2<722cj47>5;n3`0?6=3th85=4?:283>5}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rb0d94?5=83:p(><>:g;8L6203A99n6g67;29?lg?2900c50z&0645}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rb353>5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xd>;3:1?7>50z&064750;194?6|,:8:6k74H264?M55j2c2;7>5;hc;>5<5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xd4lk0;6>4?:1y'777=n01C?994H20a?l?02900el650;9l5f2=831vn>7l:180>5<7s-99=7h6;I173>N4:k1b5:4?::kb5;|`020<72:0;6=u+3339b<=O;==0D>5<0;66gn8;29?j7d<3:17pli4;297?6=8r.8><4i9:J002=O;;h0e4950;9je=<722e:o94?::a63`=8391<7>t$202>c?<@:><7E==b:k:3?6=3`k36=44o0a7>5<0;66gn8;29?j7d<3:17pl<3983>6<729q/???5f89K711<@:8i7d78:188md>=831d=n:50;9~fdd=8391<7>t$202>c?<@:><7E==b:k:3?6=3`k36=44o0a7>5<55;294~"4:80mm6F<469K77d>o>?3:17do7:188k4e32900qo?:1;297?6=8r.8><4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3thnm7>53;294~"4:80m56F<469K77d<,8:h6=5f9683>>of03:17b?l4;29?xd6><0;6>4?:1y'777=n01C?994H20a?!77k3:0e4950;9je=<722e:o94?::a530=8391<7>t$202>c?<@:><7E==b:&24f<73`3<6=44i`:94?=h9j>1<75rb04a>5<4290;w)==1;d:?M53?2B8>o5+11a94>o>?3:17do7:188k4e32900qo?86;297?6=8r.8><4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3th:;:4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e9>21<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f41>29086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4$02`>4=n1>0;66gn8;29?j7d<3:17plk2;297?7=;rB8>o5+33395<4>i48k0;66l<4e83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xufn3:1>vPnf:?00a<6891vo>50;0xZg6<5:>o6kh4}r13f?6=:rT853;397~N4:k1/???51808md`=831bn=4?::m04g<722h88i4?:283>5}#;;;1?984H264?M55j2cmj7>5;h334?6=3f;247>5;|qbb?6=:rTjj63<4e8245=z{k:1<7>d44?:1y'777=;=<0D>:8;I11f>oan3:17d??0;29?j7>03:17p}nf;296~Xfn2788i4>019~wg6=838pRo>4=26g>c`52z\04g=:;=n1=464}|``b?6=;3;1?vF<2c9'777=9080elh50;9jf5<722e8<7E==b:keb?6=3`;;<7>5;n3:52z\bb>;4:k:gd8yv57j3:1>vP<0c9>71b=9020qpl=6d83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg1c29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wiml4?:283>5}#;;;1?45G3558L64e3`lm6=44i023>5<5<5<7>52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2g1>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{eil0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4;k0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd>=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg40:3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5f=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5b<3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5a83:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188ygec29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~ffc=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:52;294~"4:80:5<0183>>i6110;66smc483>7<729q/???511f8L6203A99n6gie;29?j7>03:17pll6;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~ff7=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::ag7<72:0;6=u+33397<=O;==0D>5<5<0183>>i6110;66smd783>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188ygb?29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wij;4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:7>52;294~"4:80:5<<>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5=3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo<9:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f4>729096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f4>629086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn<6=:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5=5=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=:l50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`23f<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`23a<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<7>55;294~"4:808n6F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj8=?6=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm16794?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e9?21<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>6883>0<729q/???518a8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd6>h0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=9b;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9c;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9d;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9e;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9f;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=80;292?6=8r.8><4=831vnhm50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wiii4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:52;294~"4:80:5<9983>>{en80;6:4?:1y'777=;m1C?994H20a?l`a2900e<>?:188m4662900e<>=:188m4642900e<>;:188k4??2900qo=ic;291?6=8r.8><43A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?kk50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?kh50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi8=>50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`744<72:0;6=u+33397<=O;==0D>5<5<;>7>53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;7>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;6>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;5>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;4>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;;>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;:>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;b>5<0290;w)==1;1g?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66g>0283>>o68=0;66a>9983>>{e;0h1<7=50;2x 646283j7E=;7:J06g=nno0;66g>0183>>i6110;66sm3g094?1=83:p(><>:2f8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17d??3;29?l77<3:17b?68;29?xd4n:0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n=0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n>0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n10;694?:1y'777=;h1C?994H20a?l`a2900e<>?:188m4662900c<77:188yg5a13:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo=ia;290?6=8r.8><4=831vn>o8:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>o7:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>o6:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>on:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>om:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>ol:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a7db=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`0ec<72=0;6=u+33397d=O;==0D>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj88;6=4::183!5593;2o6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{elh0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xdcm3:187>50z&064<4i2B88:5G33`8mc`=831b==>50;9j557=831d=4650;9~fa`=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::aa5<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`f5?6=:3:15<5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rbd194?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sme583>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188ygc2290?6=4?{%115?5f3A9?;6F<2c9jbc<722c:<=4?::k244<722e:554?::a`g<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:54;294~"4:808m6F<469K77d0183>>i6110;66smb883>7<729q/???511f8L6203A99n6gie;29?j7>03:17plma;291?6=8r.8><4>9b9K711<@:8i7dhi:188m4672900e<>>:188m4652900c<77:188ygde29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~fge=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:53;294~"4:80856F<469K77d<>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e98:1<7;50;2x 646283h7E=;7:J06g=nno0;66g>0183>>o6880;66g>0383>>i6110;66sm10694?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd69<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69>0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6910;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6900;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69h0;684?:1y'777=;k1C?994H20a?l`a2900e<>?:188m4662900e<>=:188k4??2900qo?>b;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg7693:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f47429086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831v5<5s4;m6l64=3495<>4??348=6kh4}r07>5<5s48?6<77;<06>4673ty997>52z?11?7>0279:7??0:p32<72999>53b=nl16=;75fg9>576=no16=<;5fd9~w<6=838p14>51b6892b=99:0q~7>:18b8?720=01>jm:85896?d20=01>68:858960220=01>=7:858970a20=01<;>:8589dd=1>165?4>999~w<5=838p14=51b6892b=no1v4:50;6x9<5=i1165=4n8:?25a<1<5k=15:52cc8:3>;d?33<70m<:8589g`=1>16?4>5969>5c<>?27:<1<5:926494=`a9=2=:98n15:52e`8:3>;6><02;63>678:3>;6>k02;63>778:3>;6?>02;63>798:3>;6?002;63>7d8:3>;>:3ln7p}69;29<~X>127m:7hj;<3;5?`a34;178ea>{tik0;6?u2ac82g1=:ih0:<=5rs`a94?4|5hi1=n:4=`c9bc=z{hn1<7=t=`a9e==:ik0j463ne;3:<>{tj80;6?uQb09>b1f2<6k=16ok4nf:?g0?77827no7hj;<1eg?77:278j?4if:?0b1cc<5kh1jh5rsc:94?4|5k=1m552bd82===z{k31<7<5ki1==>4}r`b>5<5s4hj6<77;<`g>c`4??34ho6<>?;|qag?6=:r7io7?68:?aa?`a3tyih7>52z?a`?7>027ii7??0:pf6<72hq6nk4>c59>gccc<5:lo6kh4=2d1>467349m?7hj;46734nm6kk4=cc9bc=z{j:1<74e334n;6o>4=e49bc=:n90mi63cc<5l91jh52b`8245=z{j>1<76=47{4e334n:6o>4=e:9bc=:mo0mi63;4n>0mi63j2;df?8df28::7p}l8;296~;d?3k370mn:0;;?xud13:1>v3l9;3:<>;di3;;<6s|b783>=}:kk0:o952d38a4>;a93;;<63;4n?0mi63ke;335>;b93ln70ln:021?xudk3:1>v3lb;c;?8eb28337p}ld;296~;dl3;2463le;334>{tl:0;6>u2d18bb>;c<3;2463k6;334>{tl<0;6>u2d08bb>;c>3;2463k8;334>{tl>0;6?u2d38bb>;c03;246s|d883>0}:km0mi63l9;df?8e22oo01n?5fd9>`a<6111vio50;0x9ag=90201h:51128yvbe2909w0jm:0;;?8bd28:;7p}kc;296~;ck3;2463kd;334>{tll0;6?u2dd82===:lk0mj6s|dg83>7}:lo0:5552e48245=z{l:1<7<5l?1jk5rsd394?4|5l;1=464=d795574??34nh6kh4}rg0>5<5s4o86<77;4663tyn87>52z?f0?7>027oh7hi;|qf1?6=:r7n97?68:?gf?7782wxi;4?:3y>gc<48k16o?4if:pa2<72;q6h=4<0c9>g3`4<48k16ol4if:pa<<72;q6h?4<0c9>g`ad<6k=16=;h5fd9>53?=99;01<:0;;?xubk3:1>v3jc;3:<>;a93lm7p}jd;296~;bl3;2463i1;335>{tml0;6?u2ed82===:n80:<>5rsdd94?4|5ll1=464=g395544??34l:6<>;;|qe0?6=4e334nj6kk4=c;9b`=z{o?1<7=t=g795f2<5l>1jk52bb8eb>{tn>0;6?u2f58:3>;a>3;246s|11g94?2|V8:n70??e;3`0>;4nj0mj631083>7}:98;1=464=031>4673ty:=?4?:3y>544=90201<58;96kh4}r321?6=:r7:=84>999>54g=no1v3;2463>1`8245=z{8;<6=4={<323?7>027:=l4>009~w47?2909w0?>8;3:<>;69h0:5<5s4;:57?68:?25g<6891v1c8eb>{t98h1<74??34;:=7??0:p54b=838pR:0;;?xu69o0;6?u210d95<><588:6kh4}r314?6=:r7:>=4>999>577=99:0q~?6483>7}:9??1=n:4=0:0>c`53z?220c59>7<1=nl1v<88:181871>3k370?9a;3:<>{t9?21<74??34;=m7hi;|q22<<72;q6=;7518:8940f28:;7p}>6c83>d}:9?h1=n:4=2:b>c`<5;91==<4=057>c`<58<36kh4=24f>cc<5:3>6kk4=2ca>cc<58;m6kh4=032>c`52z?22g999~w40c2909w0?9d;3:<>;6?;0mj6s|17g94?4|58{t9>:1<74??34;<>7??2:p527=838p1<9>:0;;?870;3;;<6s|16094?4|58=96<77;<347?`a3ty:;>4?:3y>525=90201<9;:023?xu6?=0;6?u216695<><58=>6<>?;|q233<721q6=:851b6896>f28:;70<::gd894122ol01>8k:gg896?42oo01>on:gg8947728:97p}>7683>2}:9><1m55216595f2<5;91==?4=24a>cc<5:3?6kk4=2c:>cc<58;;6<>>;|q23=<72>q6=:95a99>52>=9j>01?=5fg9>73e=nl16?465fd9>7d>=nl16=<>5fg9~w41>290;4>o0mi63<978ea>;4i>0mi63>118245=z{8=j6=4={<34=?g?34;7b83>7}:9>i1=464=05g>4673ty:;h4?:4y>52c=9j>01?:5fg9>5=4=no16=:l51128947328:;7p}>7g83>7}:9>o1m55219195<>52z?2<5<61116=5?51128yv7?93:1>v3>8082===:9181==>4}r3;6?6=:r7:4?4>999>5=5=99:0q~?7e;296~X60l16?h<5fg9~w4>a2908wS?7f:?0=<;6:90:019~w7172909w0<80;3`0>;5>l0mj6s|26394?5|5;=;6l64=34e>d><5;=96<77;|q07=<72:q6?>651b6896`d28::70=i2;337>{t;:31<7=t=21:>4e3349mo7??0:?0b7<68=1v>=n:18085413k370=<8;c;?854j3;246s|37794?4|V:<>70=95;3`0>{t;?k1<7d><5:=;6<77;|q02g<72;q6?;l518:8961728:;7p}<6b83>7}:;?i1=464=253>c`52z?02a<61116?:>51138yv51m3:1>v3<6d82===:;>:1==<4}r15b?6=:r78:k4>999>726=9990q~=81;290~X4?816?965fb9>7`e=i:16=5<5sW93;63<8682g1=z{:226=4={<1;3?g?3493m7?68:p7<6=838pR>7?;<1:4?7d<2wx?4<50;0x96?72h201>7m:0;;?xu41:0;6?u238195<><5:3j6<>?;|q0=1<72;q6?4:518:896?f2ol0q~=65;296~;41<0:555238c955752z?0=3<61116?4o51118yv5>?3:1>v3<9682===:;0k1==<4}r1:999>70q~=69;296~;4100:555238`955652z?0=d<61116?4l5fg9~w6?d2909wS=6c:?0=f<6k=1v>o>:18185f;3;2463{t;h81<74??349j?7??0:p7d2=838p1>7l:`:896g228337p}6}:;h81jh523d39b`=:;hl1=464}r1b3?6=:r78m:4>999>7de=no1v>o7:18185f03;24630278mk4if:p7dg=838p1>on:0;;?85fl3lm7p}7}:;hh1=464=2cg>4673ty8mn4?:3y>7de=90201>oj:023?xu4im0;6?u23`f95<><5:km6<>>;|q0e`<72;q6?lk518:896ga28:;7p}7}Y;m901?9=:gg8yv5cj3:1>vP7ad=9j>0q~=j0;296~;4m;0:55523d69b`=z{:o:6=4={<1f5?7>0278i?4>019~w6c42909w0=kb;c;?85b<3;246s|3da94?5|V:oh70=jc;3`0>;bl3ln7p}7}:;li1m5523g295<>52z?0af<>j278jl4>999~w6`52909w0=i2;3:<>;4n00mj6s|3g194?4|5:l86<77;<1e<5:l36<>>;|q0b3<72;q6?k8518:896`f2ol0q~=i7;296~;4n>0:55523gc955752z?0b=<61116?k751128yv5a13:1>v34}r1ef?6=:r78j=4ie:?747<6111v>hl:18185ak3;2463;038eb>{t;on1<74??34>;=7hi;|q0b`<72;q6?kk518:891672ol0q~=if;296~;4no0:55524129556;<7>52z?745<611168=?51128yv2793:1>v3;0082===:<981==>4}r637?6=:rT?<>5232`9b`=zuk9>?7>57;0e>64|@:8i7)==1;c7?!7>8399<6a<0783>>o5k>0;66a<0583>>oa03:17b==2;29?j55>3:17d7n:188f62?290:6=4?{%115?`c3A9?;6F<2c9lbf<722wi??<50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm2g694?3=83:p(><>:gc8L6203A99n6*>0b83?l?e2900el=50;9j=2<722cj47>5;n3`0?6=3th8>;4?:483>5}#;;;1jl5G3558L64e3`3i6=44i`194?=n1>0;66gn8;29?j7d<3:17pl=d183>6<729q/???5f89K711<@:8i7)??c;28m<1=831bm54?::m2g1<722wi>i?50;194?6|,:8:6k74H264?M55j2.:5<50z&0645;|`1`1<72:0;6=u+3339b<=O;==0D>>i6k=0;66sm2e794?5=83:p(><>:g;8L6203A99n6*>0b83?l?02900el650;9l5f2=831vn?j9:180>5<7s-99=7h6;I173>N4:k1/==m50:k:3?6=3`k36=44o0a7>5<53;294~"4:80m56F<469K77d<,8:h6=5f9683>>of03:17b?l4;29?xd5ll0;6>4>:2yK77d<,:8:6<7=;hce>5<=7E=;7:J06g=nno0;66g>0183>>i6110;66s|ag83>7}Yio16?9j51128yvd72909wSl?;<17`?`a3ty8o6<77;|a6ab=8391=7=tH20a?!5593;2>6gnf;29?ld72900c>>m:188f62c29086=4?{%115?53>2B88:5G33`8mc`=831b==>50;9l5<>=831vlh50;0xZd`<5:>o6<>?;|qa4?6=:rTi<63<4e8eb>{t;9h1<75<42808wE==b:&064<61;1bmk4?::ka4?6=3f9;n7>5;c17`?6=;3:15<5<7}Yj916?9j5fg9~w66e2909wS=?b:?00a<6111vqoo5+33395<4>i48k0;66l<4e83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xufn3:1>vPnf:?00a<6891vo>50;0xZg6<5:>o6kh4}r13f?6=:rT86}O;;h0(><>:0;1?lga2900eo>50;9l75d=831i?9j50;194?6|,:8:6>:9;I173>N4:k1bjk4?::k245<722e:554?::pec<72;qUmk5235f95567}Y;9h01>:k:0;;?x{e:m31<7=51;1xL64e3-99=7?62:kbb?6=3`h;6=44o22a>5<o6=4<:183!55939?:6F<469K77d:k:023?xue83:1>vPm0:?00a"4:80:5?5fag83>>oe83:17b=?b;29?g53l3:1?7>50z&064<4?:188k4??2900q~oi:181[ga349?h7??0:pf5<72;qUn=5235f9bc=z{::i6=4={_13f>;45<5<5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj;o86=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm2d794?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5m>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f7ce29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>ih50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?=950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`04=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`04<<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3da>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3d`>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3dg>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3df>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3de>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb223>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb222>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb221>5<>290;w)==1;1f?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66g>0283>>o68=0;66g>0483>>o68?0;66a>9983>>{e;;21<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<2883>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl<2`83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xu>i3:19vP6a:?067;4n8:?06144ie:pb=<72jqUj5523309=2=::o>15:523349=2=::m:15:522e39=2=::m815:522e19=2=::m>15:522e79=2=::m<15:522e59=2=z{83>6=4;{<177o<;<0e0?g43499:7o<;|q1g2<72;qU>n94=3d;>c`55z?1`5<6k=16>i65ag9>6`7=99:01?ji:gg897`f2oo0q~p1?j<:0a7?84ci3h;701}::m>1=n:4=3fa>g6<5;o<6kh4=3df>cc55z?1`0<6k=16>im5b19>6`?=no16?=75fg9>6c`=nl1v?mj:18684c>3;h863=de8a4>;5mk0mj63<068245=:;9:1jh5rs3ae>5<3s48o;7?l4:?1``h>50;1x97b>2hl01?k>:0;;?84b;3;;<6s|2d094?5|5;nj6lh4=3g0>4??348n97??0:p6`2=839p1?jm:`d897c2283370{t:l<1<7=t=3f`>d`<5;o<6<77;<0f=?7782wx>h650;1x97bc2hl01?k6:0;;?84bj3;;<6s|2dc94?4|5;nn6lh4=3ga>4??3ty9in4?:3y>6a6=i116>ih518:8yv4bl3:1>v3=d08b<>;5l1085<5s48o>7o7;<0g=?57j2wx>hh50;0x97b42h201?jn:22a?xu5n90;6?u22e69e==::mh1?=l4}r0e5?6=:r79h84n8:?1`f<48k1v?h=:18184c>3k370{t:o91<7d><5;nn6>>m;|q1b1<72:q6>k:51b6896622oo01>>7:gg8yv4a=3:1>v3=f58b<>;5n?0:555rs3d4>5<5s48m877m;<0e02wx>k750;7x96422ol01?h7:023?84a>3ln70=?2;3:<>;4:10mj6s|2gc94?4|5;lj6<77;<136?`a3ty9jo4?:3y>6cd=90201>>=:023?xu5nj0;6?u22ga95<><5::96<>>;|q1ba<72;q6>kj518:8966528:97p}=fd83>7}::oo1=464=221>4643ty9jk4?:3y>6c`=90201>>=:027?xu4890;6?u231295<><5::96<>:;|q044<72;q6?=?518:8966528:=7p}<0583>7}Y;9>01>>::0;;?xu48?0;6?uQ3148966f28337p}<0683>7}:;9=1=464=22b>c`52z?04=<61116?=751128yv5713:1>v3<0882===:;9k1==>4}r116?6=;rT8>?5233095f2<5:836<>?;|q066<72;q6??<59c9>773=9020q~==4;296~;4:=0:5552337955652z\063=:;;<1=n:4}r113?6=:r78>;46b:?06d<6111v><7:18185503;2463<2`8eb>{t;;31<74??3499m7??0:~f60429096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f63729096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f63629086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?8k50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?8h50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?;>50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`01=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`01<<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:7>52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<;57>52;294~"4:80:5<52;294~"4:80:5<9983>>{en:0;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd38j0;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd1i3:1>7>50z&064<68k1C?994H20a?l`b2900c<77:188yg0>29096=4?{%115?77j2B88:5G33`8mcc=831d=4650;9~f3>=8381<7>t$202>46e3A9?;6F<2c9jb`<722e:554?::a22<72;0;6=u+333955d<@:><7E==b:kea?6=3f;247>5;|`51?6=:3:15<5<5290;w)==1;33f>N4<>1C??l4igg94?=h9021<75rb7194?4=83:p(><>:02a?M53?2B8>o5ffd83>>i6110;66sm6383>7<729q/???511`8L6203A99n6gie;29?j7>03:17pl91;296?6=8r.8><4>0c9K711<@:8i7dhj:188k4??2900qo8?:181>5<7s-99=7??b:J002=O;;h0ekk50;9l5<>=831vn8h50;094?6|,:8:6<>m;I173>N4:k1bjh4?::m2==<722wi9h4?:383>5}#;;;1==l4H264?M55j2cmi7>5;n3:h7>52;294~"4:80:5<81<7<50;2x 64628:i7E=;7:J06g=nnl0;66a>9983>>{e?80;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd083:1>7>50z&064<68k1C?994H20a?l`b2900c<77:188yg0a29096=4?{%115?77j2B88:5G33`8mcc=831d=4650;9~f3c=8381<7>t$202>46e3A9?;6F<2c9jb`<722e:554?::a2a<72;0;6=u+333955d<@:><7E==b:kea?6=3f;247>5;|`5g?6=:3:15<5<5290;w)==1;33f>N4<>1C??l4igg94?=h9021<75rb7494?4=83:p(><>:02a?M53?2B8>o5ffd83>>i6110;66sm39394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm41594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3ec94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3e094?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3b;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36a94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36094?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3b`94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3ed94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3cc94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37694?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3e594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm39:94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3`294?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3dg94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm39d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm38394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36494?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22494?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22794?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm27594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm27394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm24`94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm24794?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25194?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22f94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm23d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66s|2183>7}:;4`<5:?m6kk4}r04>5<5s49>n7<8;<157?`b3ty?87>52z\70>;2k3ln7p};5;296~X3=27>h7hj;|q72?6=:rT?:63:e;df?xu3?3:1>vP;7:?6b?`b3ty?47>52z\7<>;183ln7p};9;296~X3127==7hj;|q7e?6=:rT?m6392;df?xu3j3:1>vP;b:?57?`b3ty?o7>52z\7g>;1<3ln7p};d;296~X3l27=97hj;|q7a?6=:rT?i6396;df?xu3n3:1>vP;f:?53?`b3ty><7>52z\64>;103ln7p}:1;296~X2927=57hj;|q67?6=:rT>?639a;df?xu2<3:1>vP:4:?5f?`b3ty>97>52z\61>;1k3ln7p}:6;296~X2>27=h7hj;|q63?6=:rT>;639e;df?xu203:1>vP:8:?5b?`b3ty>57>52z\6=>;083ln7p}:a;296~X2i27<=7hj;|q6f?6=:rT>n6382;df?xu2k3:1?v3<5585?827l3<018m518:8yv3c2908w0=:4;58916c2>169i4>999~w0c=839p1>;;:99>05b=027>i7?68:p1c<72:q6?8:59:?74a<>34?m6<77;|q54?6=;r78994n;<63`?g<5?:1=464}r42>5<4s49>87l4=52g>g=:>80:555rs7094?5|5:??6n5241f9g>;1:3;246s|6283>6}:;<>1h63;0e8g?80428337p}94;297~;4==0n70:?d;g8932=9020q~8::180852<3l019>k:g9>20<6111v;850;0x916c28:01;8518:8yv002908w0=:4;32?827l3;:7088:0;;?xu103:1?v3<55827>;38m0:?6398;3:<>{t>00;6?u2346951=:>00:555rs7c94?4|5:??6<84=7c95<>8:?5g?7>02wx:i4?:3y>05b=9016:i4>999~w3c=838p19>k:0c893c=9020q~8i:181827l3;i708i:0;;?xu083:1>v3;0e82g>;083;246s|7083>6}:;<>1=i5241f95a=:?80:555rs6094?5|5:??64c<5>81=464}r50>5<2s49>879<;<63`?14349>n79<;<161?14349>?77n;|q;2?6=:r789o487:?010<0l2wx5i4?:3y]=a=:1l0mi6s|9d83>7}:;50;4x963320i019>k:8a8963e20i01>;::g:896342o201l>518:8yvg62909wSo>;cc34k96<77;|qe6?6=:rTm>63i3;df?xua;3:1>v3<5`8a5>;a;3;246s|11594?4|5:??6<>8;<161?77?2wx==k50;0x963e28:n70=:a;33a>{t98n1<747c349>m7?>d:p572=838p1>;;:007?852j3;986s|12794?4|5:?i6<=:;<16e?74n2wx=9>50;0x963328>;70=:b;374>{t9=?1<7422349>m7?;5:p504=838p1>;m:071?852i3;3j6s|19694?4|5:?i6<6;;<16e?7?m2wx=n;50;0xZ4e23489j7?68:p5f0=838pR02wx=n650;0xZ4e?3488:7?68:p5f?=838pR02wx=no50;0xZ4ef3488h7?68:p5fd=838pR02wx=nm50;0xZ4ed348?57?68:p5fb=838pR02wx=nk50;0xZ4eb348>97?68:p5f`=838pR02wx=i>50;0xZ4b7348==7?68:p5a7=838pR;<053?7>02wx=i=50;0x963328n870:?d;3g7>{t:;l1<74e23489j7hj;|q170<72;q68=j51b4897522oo0q~<<6;296~;38m0:o5522249b`=z{;9<6=4={<63`?7d1279?:4ie:p66b=838p19>k:0ab?844l3ln7p}=4283>7}:<9n1=nl4=360>cc52z?74a<6kj16>975fd9~w72a2909w0:?d;3``>;505b=9jl01?;m:gg8yv4193:1>v3;0e82`5=::?;1jh5rs344>5<5s4>;h7?k1:?122:=50;0x96332;=870:?d;047>{t:j;1<77ga349>97k:3ce?852=38i<6s|2b594?4|5=:o6?m8;<167?4d?2wx?=:50;0x916c2::?70=:3;130>{t;9<1<7661349>?7=?6:p75e=838p1>;;:22`?85283ln7p}<1283>7}:;<>1?<=4=27;>cc7>52z?016<4:;16?875fg9~w6412909w0=:3;112>;4=80mj6s|35d94?4|5:?>6:64=272>4??3ty89=4?:3y>706=90201>;>:023?xu4=?0;6?u234796gg<5:?26<77;|q012<72;q6?86518:8963>28:;7p}<5b83>7}Y;8>:0;;?xu4=m0;6?u234g95<><5:<;6kh4}r16a?6=:r789k4>999>736=99:0q~=91;296~;4>90:55523739b`=z{:<96=4={_156>;4>=0:555rs247>5<5s49=?7?68:?02170=:5;151>;4>>0:555rs24;>5<5sW9=463<6882===z{:<26=4={<16e?51=278:44ie:p727=838pR>9>;<146?7>02wx?:<50;0x963f2:=:70=82;df?xu4?<0;6?uQ3678961128337p}<7783>7}:;cc52z\032=:;>21jh5rs25;>5<5s49>m7=83:?03=<6111v>96:181[501278;n4>999~w61d2909w0:?d;14=>;4?j0mi6s|39294?4|V:2;70=71;3:<>{t;1;1<761a3493=7hj;|q0<7<72;qU?5<4=2:0>cc52z?01d<4?o16?5=518:8yv5??3:1>vP<869>7=>=9020q~=78;296~;4=h084:5239:9b`=z{:2i6=4={_1;f>;40o0:555rs2:e>5<5s49>n7=7b:?050;0xZ6?73492=7?68:p7<7=838p1>;n:2;3?85>93ln7p}<9e83>7}Y;0n01>7j:gg8yv5>m3:1?v3;0e80=f=:;4??3ty85k4?:3y]7<`<5:k;6<77;|q0e5<72;q6?8o538a896g72oo0q~=m7;296~X4j>16?oo518:8yv5ei3:1>v3;0e80f2=:;kk1jh5rs2a;>5<5sW9h463mn;<1`f?7>02wx?nl50;0x916c2:o>70=lb;df?xu4kj0;6?uQ3ba896b528337p}7}:<9n1?nm4=2f1>cc52z\0`6=:;m>1jh5rs2f7>5<4s49>87=k3:?01d<4l:16?i:518:8yv5c=3:1>vP7a1=9020q~=k7;296~;38m08h8523e59b`=z{:n36=4={_1g<>;4lh0:555rs2fb>5<5s4>;h7=k8:?0`d{t;mo1<7jm;<1gb?`b3ty8ii4?:3y]7`b<5:on6<77;|q0a`<72;q6?8o53da896cb2oo0q~:?6;296~X38?168=9518:8yv27?3:1>v3<548746=:<9=1jh5rs52;>5<5sW>;463;088ea>{t<931<716434>;57?68:p05d=838pR9>m;<63g?`b3ty?702=<9k019>k:52b?852j3>;m63;0b82===zugo3>7>52zJ06g=zfl286=4={I11f>{im1>1<73:1=vF<2c9~j`>0290:wE==b:ma=>=83;pD>o5rnd:a>5<6sA99n6sae9a94?7|@:8i7p`j8e83>4}O;;h0qck7e;295~N4:k1vbh6i:182M55j2wei4>50;3xL64e3tdn5<4?:0yK77d7>51zJ06g=zfl386=4>{I11f>{im0>1<7?tH20a?xhb1<0;6>3:1=vF<2c9~j`?0290:wE==b:ma<>=83;pD>o5rnd;a>5<6sA99n6sae8a94?5|@:8i7p`j9e83>6}O;;h0qck6e;297~N4:k1vbh7i:180M55j2weil>50;1xL64e3tdnm<4?:2yK77d7>53zJ06g=zflk86=4<{I11f>{imh>1<7=tH20a?xhbi<0;69uG33`8ykcf>3:1>vF<2c9~j`g02908wE==b:mad>=83>pD>o5rndca>5<5sA99n6sae`a94?4|@:8i7p`jae83>7}O;;h0qckne;296~N4:k1vbhoi:181M55j2weio>50;0xL64e3tdnn<4?:2yK77d7>53zJ06g=zflh86=49{I11f>{imk>1<7=tH20a?xhbj<0;63:1>vF<2c9~j`d0290:wE==b:mag>=83o5rnd`a>5<6sA99n6saeca94?4|@:8i7p`jbe83>6}O;;h0qckme;297~N4:k1vbhli:180M55j2wein>50;1xL64e3tdno<4?:2yK77d7>53zJ06g=zfli86=4<{I11f>{imj>1<7=tH20a?xhbk<0;6>uG33`8ykcd>3:1=vF<2c9~j`e0290:wE==b:maf>=83;pD>o5rndaa>5<6sA99n6saeba94?7|@:8i7p`jce83>4}O;;h0qckle;295~N4:k1vbhmi:182M55j2weii>50;3xL64e3tdnh<4?:0yK77d7>53zJ06g=zfln86=4={I11f>{imm<1<70;6?uG33`8ykcc03:1>vF<2c9~j`b>2909wE==b:maag=838pD>o5rndfg>5<5sA99n6saeeg94?4|@:8i7p`jdg83>6}O;;h0qckj0;297~N4:k1vbhk>:180M55j2weih<50;1xL64e3tdni>4?:2yK77d53zJ06g=zflo>6=4={I11f>{iml<1<70;6?uG33`8ykcb03:1>vF<2c9~j`c>2909wE==b:ma`g=83;pD>o5rndgg>5<6sA99n6saedg94?7|@:8i7p`jeg83>4}O;;h0qcki0;295~N4:k1vbhh>:182M55j2weik<50;3xL64e3tdnj>4?:0yK77d54zJ06g=zfll>6=4>{I11f>{imo<1<70;6vF<2c9~j``>290:wE==b:macg=838pD>o5rnddg>5<6sA99n6saegg94?4|@:8i7p`jfg83>4}O;;h0qch?0;296~N4:k1vbk>>:182M55j2wej=<50;0xL64e3tdm<>4?:0yK77d52zJ06g=zfo:>6=4>{I11f>{in9<1<70;6vF<2c9~jc6>290:wE==b:mb5g=839pD>o5rng2g>5<6sA99n6saf1g94?4|@:8i7p`i0g83>4}O;;h0qch>0;296~N4:k1vbk?>:182M55j2wej<<50;0xL64e3tdm=>4?:0yK77d52zJ06g=zfo;>6=4>{I11f>{in8<1<7=tH20a?xha9>0;6vF<2c9~jc7>290:wE==b:mb4g=838pD>o5rng3g>5<6sA99n6saf0g94?4|@:8i7p`i1g83>4}O;;h0qch=0;296~N4:k1vbk<>:182M55j2wej?<50;0xL64e3tdm>>4?:0yK77d52zJ06g=zfo8>6=4>{I11f>{in;<1<7:tH20a?xh6i=0;6=83;pD>o5rn0ca>5<6sA99n6sa1`a94?7|@:8i7p`>ae83>4}O;;h0qc?ne;295~N4:k1vb50;3xL64e3td:n<4?:0yK77d7>51zJ06g=zf8h86=4>{I11f>{i9k>1<7?tH20a?xh6j<0;6o5rn0`g>5<6sA99n6sa1cg94?7|@:8i7p`>bg83>4}O;;h0qc?l0;295~N4:k1vb:182M55j2we=n<50;3xL64e3td:o>4?:0yK77d51zJ06g=zf8i=6=4>{I11f>{i9j=1<7?tH20a?xh6k10;6o5rn0ae>5<6sA99n6sa1e394?7|@:8i7p`>d383>4}O;;h0qc?k3;295~N4:k1vb51zJ06g=zf8n36=4>{I11f>{i9m31<7?tH20a?xh6lh0;6o5rn0g3>5<6sA99n6sa1d394?7|@:8i7p`>e383>4}O;;h0qc?j3;295~N4:k1vb51zJ06g=zf8o36=4>{I11f>{i9l31<7?tH20a?xh6mh0;6o5rn40b>5<6sA99n6sa53`94?7|@:8i7p`:2b83>4}O;;h0qc;=d;295~N4:k1vb8?=4?:0yK77d51zJ06g=zf<9m6=4>{I11f>{i==:1<7?tH20a?xh2<80;6o5rn464>5<6sA99n6sa55:94?7|@:8i7p`:4883>4}O;;h0qc;;a;295~N4:k1vb8:m:182M55j2we99m50;3xL64e3td>8i4?:0yK77d51zJ06g=zf<>m6=4>{I11f>{i=<:1<7?tH20a?xh2=80;6o5rn474>5<6sA99n6sa54:94?7|@:8i7p`:5883>4}O;;h0qc;:a;295~N4:k1vb8;m:182M55j2we98m50;3xL64e3td>9i4?:0yK77di7>51zJ06g=zf{I11f>{i=?:1<7?tH20a?xh2>80;6o5rn444>5<6sA99n6sa57:94?7|@:8i7p`:6883>4}O;;h0qc;9a;295~N4:k1vb88m:182M55j2we9;m50;3xL64e3td>:i4?:0yK77d51zJ06g=zf<{I11f>{i=>:1<7?tH20a?xh2?80;6o5rn454>5<6sA99n6sa56:94?7|@:8i7p`:7883>4}O;;h0qc;8a;295~N4:k1vb89m:182M55j2we9:m50;3xL64e3td>;i4?:0yK77d51zJ06g=zf<=m6=4>{I11f>{i=1:1<7?tH20a?xh2080;64290:wE==b:m1=2=83;pD>o5rn4:4>5<6sA99n6sa59:94?7|@:8i7p`:8883>4}O;;h0qc;7a;295~N4:k1vb86m:182M55j2we95m50;3xL64e3td>4i4?:0yK77d51zJ06g=zf<2m6=4>{I11f>{i=0:1<7?tH20a?xh2180;6:3:1=vF<2c9~j0?4290:wE==b:m1<2=83;pD>o5rn4;4>5<6sA99n6sa58:94?7|@:8i7p`:9883>4}O;;h0qc;6a;295~N4:k1vb87m:182M55j2we94m50;3xL64e3td>5i4?:0yK77d51zJ06g=zf<3m6=4>{I11f>{i=h:1<7?tH20a?xh2i80;6o5rn4c4>5<6sA99n6sa5`:94?7|@:8i7p`:a883>4}O;;h0qc;nb;295~N4:k1vb8ol:182M55j2we9lj50;3xL64e3td>mh4?:0yK77d51zJ06g=zf{I11f>{i=k;1<7?tH20a?xh2j;0;6o5rn4`;>5<6sA99n6sa5c;94?7|@:8i7p`:b`83>4}O;;h0qc;mb;295~N4:k1vb8ll:182M55j2we9oj50;3xL64e3td>nh4?:0yK77d51zJ06g=zf{I11f>{i=j;1<7?tH20a?xh2k;0;6o5rn4a;>5<6sA99n6sa5b;94?7|@:8i7p`:c`83>4}O;;h0qc;lb;295~N4:k1vb8ml:182M55j2we9nj50;3xL64e3td>oh4?:0yK77d51zJ06g=zf{I11f>{i=m;1<7?tH20a?xh2l;0;6o5rn4f;>5<6sA99n6sa5e;94?7|@:8i7p`:dc83>4}O;;h0qc;kf;295~N4:k1vb8k=:182M55j2we9h=50;3xL64e3td>i;4?:0yK77d51zJ06g=zf{I11f>{i=l31<7?tH20a?xh2mk0;6o5rn4d5>5<6sA99n6sa5g594?7|@:8i7p`:f983>4}O;;h0qc9ne;295~N4:k1vb:oi:182M55j2we;o>50;3xL64e3td7>51zJ06g=zf>h86=4>{I11f>{i?k>1<7?tH20a?xh0j<0;63:1=vF<2c9~j2d0290:wE==b:m3g>=83;pD>o5rn6`a>5<6sA99n6sa7cg94?7|@:8i7p`8bg83>4}O;;h0qc9l0;295~N4:k1vb:m>:182M55j2we;n<50;3xL64e3td4?:0yK77d51zJ06g=zf>i<6=4>{I11f>{i?jh1<7?tH20a?xh0kj0;6o5rn6f7>5<6sA99n6sa7e794?7|@:8i7p`8d783>4}O;;h0qc9k7;295~N4:k1vb:j7:182M55j2we;i750;3xL64e3td51zJ06g=zf>nh6=4>{I11f>{i?mn1<7?tH20a?xh0lo0;6o5rn6g6>5<6sA99n6sa7d494?7|@:8i7p`8e683>4}O;;h0qc9j8;295~N4:k1vb:k6:182M55j2we;ho50;3xL64e3td51zJ06g=zf>oo6=4>{I11f>{i?ll1<7?tH20a?xh0n90;6o5rn6d5>5<6sA99n6sa7g594?7|@:8i7p`8f983>4}O;;h0qc9i9;295~N4:k1vb:hn:182M55j2we;kl50;3xL64e3td51zJ06g=zf>ln6=4>{I11f>{i?ol1<7?tH20a?xh?890;6793:1=vF<2c9~j=65290:wE==b:m<55=83;pD>o5rn925>5<6sA99n6sa81594?7|@:8i7p`70983>4}O;;h0qc6?9;295~N4:k1vb5>n:182M55j2we4=l50;3xL64e3td351zJ06g=zf1:n6=4>{I11f>{i09l1<7?tH20a?xh?990;6693:1=vF<2c9~j=75290:wE==b:m<45=83;pD>o5rn934>5<6sA99n6sa80:94?7|@:8i7p`71c83>4}O;;h0qc6>c;295~N4:k1vb5j8:182M55j2we4i650;3xL64e3td3h44?:0yK77d51zJ06g=zf1nh6=4>{I11f>{i0mo1<7?tH20a?xh?lo0;6b83:1=vF<2c9~j=c5290:wE==b:m<`5=83;pD>o5rn9g5>5<6sA99n6sa8d594?7|@:8i7p`7e983>4}O;;h0qc6jb;295~N4:k1vb5kk:182M55j2we4hk50;3xL64e3td3ik4?:0yK77d51zJ06g=zf1l96=4>{I11f>{i0o91<7?tH20a?xh?n<0;6a>3:1=vF<2c9~j=`0290:wE==b:m=83;pD>o5rn9da>5<6sA99n6sa8ga94?7|@:8i7p`7fe83>4}O;;h0qc6ie;295~N4:k1vb5hi:182M55j2we5=>50;3xL64e3td2<<4?:0yK77d7>51zJ06g=zf0:=6=4>{I11f>{i19=1<7?tH20a?xh>800;6290:wE==b:mffg=83;pD>o5rncag>5<6sA99n6sabbg94?7|@:8i7p`mcg83>4}O;;h0qclk0;295~N4:k1vboj<:182M55j2weni:50;3xL64e3tdih84?:0yK77d51zJ06g=zfkn36=4={I11f>{ijm31<7?tH20a?xhelh0;6o5rncg3>5<6sA99n6sabd394?7|@:8i7p`me383>7}O;;h0qclj3;295~N4:k1vbok;:182M55j2wenh;50;0xL64e3tdii;4?:0yK77d51zJ06g=zfko36=4={I11f>{ijl31<7?tH20a?xhemh0;6vF<2c9~jgcd2909wE==b:mf`b=838pD>o5rncd3>5<6sA99n6sabg394?7|@:8i7p`mf383>4}O;;h0qcli3;295~N4:k1vboh;:182M55j2wenk;50;3xL64e3tdij;4?:0yK77d51zJ06g=zfkl36=4>{I11f>{ijo31<7?tH20a?xhenh0;6?uG33`8ykdaj3:1>vF<2c9~jg`d2909wE==b:mfcb=838pD>o5rnb23>5<6sA99n6sac1394?7|@:8i7p`l0383>4}O;;h0qcm?3;295~N4:k1vbn>;:182M55j2weo=;50;3xL64e3tdh<;4?:0yK77d51zJ06g=zfj:26=4>{I11f>{ik9k1<7?tH20a?xhd8k0;6o5rnb32>5<6sA99n6sac0094?7|@:8i7p`l1283>4}O;;h0qcm>4;295~N4:k1vbn?9:182M55j2weo<950;3xL64e3tdh=54?:0yK77d51zJ06g=zfj;h6=4>{I11f>{ik8n1<7?tH20a?xhd9l0;6o5rnb07>5<6sA99n6sac3794?7|@:8i7p`l2783>4}O;;h0qcm=7;295~N4:k1vbn<7:182M55j2weo?750;3xL64e3tdh>l4?:0yK77d51zJ06g=zfj8h6=4>{I11f>{ik;n1<7?tH20a?xhd:l0;6o5rnb17>5<6sA99n6sac2794?7|@:8i7p`l3783>4}O;;h0qcm<9;295~N4:k1vbn=n:182M55j2weo>l50;3xL64e3tdh?n4?:0yK77d51zJ06g=zfj9n6=4>{I11f>{ik:l1<7?tH20a?xhd<80;6o5rnb6a>5<6sA99n6sac5f94?7|@:8i7p`l4d83>4}O;;h0qcm;f;295~N4:k1vbn;?:182M55j2weo8?50;3xL64e3tdh9?4?:0yK77d?7>51zJ06g=zfj??6=4>{I11f>{ik0;6290:wE==b:mg0g=83;pD>o5rnb7g>5<6sA99n6sac4g94?7|@:8i7p`l5g83>4}O;;h0qcm90;295~N4:k1vbn8>:182M55j2weo;<50;3xL64e3tdh:84?:0yK77d51zJ06g=zfj<<6=4>{I11f>{ik?31<7?tH20a?xhd>h0;6o5rnb53>5<6sA99n6sac6394?7|@:8i7p`l7383>4}O;;h0qcm83;295~N4:k1vbn9;:182M55j2weo:;50;3xL64e3tdh;;4?:0yK77d51zJ06g=zfj=36=4>{I11f>{ik>31<7?tH20a?xhc>l0;6vF<2c9~ja162909wE==b:m`24=838pD>o5rne56>5<5sA99n6sad6494?4|@:8i7p`k7683>7}O;;h0qcj88;296~N4:k1vbi96:181M55j2weh:o50;0xL64e3tdo;o4?:3yK77d52zJ06g=zfm=o6=4={I11f>{il>o1<7?tH20a?xhc?o0;6?uG33`8ykb?83:1>vF<2c9~ja>62909wE==b:m`=4=838pD>o5rne:6>5<5sA99n6sad9494?7|@:8i7p`k8683>4}O;;h0qcj78;295~N4:k1vbi66:182M55j2weh5o50;3xL64e3tdo4o4?:0yK77d51zJ06g=zfm2o6=4>{I11f>{il1o1<7?tH20a?xhc0o0;683:1=vF<2c9~ja?6290:wE==b:m`<2=83;pD>o5rne;;>5<6sA99n6sad8;94?7|@:8i7p`k9c83>4}O;;h0qcj6c;295~N4:k1vbi7k:182M55j2wvqpNOCz3150sO@Cy3yEFWstJK \ No newline at end of file +$53;4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGIL=>0MCJ:4:CM@32374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW1>DFK];>7OOLT378FDESz=1II_\:;CGQV50=5:@FVW713KOY^<|j;CGQV4tXWfx;<=>i;CGQV4tXWfx;<=>>f:@FVW7uWVey<=>?249AAWT5=2HN^_=:;CGQV13DBZ[UTc>?010g?GCUZVUd~=>?02f8F@TUWVey<=>?4e9AAWTXWfx;<=>:d:@FVWYXg{:;<=89;CGQVw`>3KOY^hPN1c8F@TUzoUE<<84BDPQvwcE6>D90O5C;;BC@P7=DM:1H@F74CMI1\ZOHJl1H@F1H@FQISCa8GIMXNZHTEO[I7:AOOZOHJj1H@FQFOC]JFP@43JFY?6MAT89@KHKN\]OO;6M]E@VF@7=D[?1H`ho}1g9@h`gu9VUjbi>?01d8Gicfz8Ujbi>?0132?A3Bf|h6:2<5J5:GP85823LY7=3;4ER>1:0=B[59596K\<5<7?@UX8m1N_R>P_`lg4567m2OXS=QPaof34566m2OXS=QPaof34565m2OXS=QPaof34564m2OXS=QPaof34563m2OXS=QPaof34562m2OXS=QPaof34561<2OXS95JS^0g?@UX:VUjbi>?01g8AVY5WVkeh=>?00g8AVY5WVkeh=>?0368AVY4l2OXS>QPaof3456b3LYT?RQnne23457b3LYT?RQnne2345433LYT8i5JS^6\[dhc89:;i6K\_5]\ekb789::i6K\_5]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=6=5<=B[VGjhiQPaef3456XWhdo<=>?1`9FWZKflmUTmij?012\[dhc89:;=<74ER]NeabXWhno<=>?_^cm`56798k0I^QBaef\[dbc89:;SRoad123547>3LYTAljk_^cg`5678VUjbi>?033b?@UXEhnoSRokd1234ZYffm:;i;DQ\UZ7Xg{:;<=?>f:GP[TY6Wfx;<=>>2d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>d9FWZYflm:;<=2;>49FPDELl2O_MNEPaof3456b3L^JOFQnne23457b3L^JOFQnne23454b3L^JOFQnne23455b3L^JOFQnne23452b3L^JOFQnne23453b3L^JOFQnne2345023LUBCOo4E^KLFZOE]O80I=4Es0a?@tXKeaTECX>5:Gq[K633OIGG?5ID59E@FC43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ21>OFKZ8>7DOLS268MGSA12CEEY][AUG0?LHQ=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?10d8MJDBZ[Uecy>?000e?LIEM[XTbbz?01300>OHJD>0EBL\4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=?5[ilglqqGXWfx;<=>>0038Plkbg|~JSRa}0123647<\`gncxzN_^mq4567;8;0XdcjotvB[Ziu89:;8?5038Plkbg|~JSRa}0123247<\`gncxzN_^mq4567?8;0XdcjotvB[Ziu89:;4?999Wmhch}}Hi7YgbenwwFUtb9:1_e`k`uu@Sv`YXg{:;<=?;;UknajssJYxnSRa}0123542<\`gncxzMPsg\[jt789:9==5[ilglqqDXWfx;<=>>1:Vji`ir|KUTc>?01325>Rnele~xOQPos23454692^bahaztC]\kw67899h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1]\Lm4VQC\[dhc89:;h6X_A^]bja6789;i7[^N_^mq4567k2\[MRQ`r12344e<^YKTSb|?0121g>PWIVUd~=>?02a8RUGXWfx;<=>;c:TSEZYhz9:;<8m4VQC\[jt789:=96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669m1]ei\NMBQTV32lm2\bh_OBCRUQ21m6m2\bh_OBCRUQ21m5m2\bh_OBCRUQ21m4m2\bh_OBCRUQ21m3m2\bh_OBCRUQ21m2m2\bh_OBCRUQ21m1m2\bh_OBCRUQ21m0m2\bh_OBCRUQ21m?9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON<2RD^?84XRVOMG12RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0?010;?Zkrp9:;<>5lnu08gv5?01310>ohjd>0ebl|9:lB@jssDL:j7cOKotvOA57e3gKOcxzCE132f>hFLf@H>>2c9mEAir|EO;=>k4n@FlqqJB8VEIYK74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKi0bL]PIN@\KGSAj2dJ_R``t1235f=iIZUecy>?003`?kGTWge<=>>2b9mEVYig}:;<<=l;oCP[kis89::8n5aAR]mkq6788?h7cO\_omw4566>>1eMb{{ODa8jDir|FOTCO[I5:lAAWT13gHN^_?6;o@FVWYA[Ko0bOK]R^DPFZIE]O30bOK]R^KLF`=iJLXYSDAM_H@VB1=iKHYo7cMNS^AooZOI^8=0bNO\_O2:?kEF[VEIYKm4nBCP[kis89::h6`LAR]mkq6788;=7cJ[ABIb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^Jh5aDUC@OZYhz9:;hCagFNSb|?012f?kBnfEOTc>?0135?kBnfFO<7cJfnNG23>hCagEN>:5aDhlLA6d>2028jAir|FOTbbz?013055=iLfCHQaou23442682dOcxz@E^llp5679<;;7cJ`uuMF[kis89:::<>4nEmvpJCXff~;<=?8119m@jssGLUecy>?00:24>hCg|~DIR``t1235<2hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679hKLZUd~=>?1868jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNi5aUEQ\MJDXGK_Mo6`ZDR]bja6789n0bXJ\_`lg45679m1eYI]Paof34565k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7d:lUIDYXg{:;<=7l;oTNEZgil9:;hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_M?6`XE69mS@YA[Ki0bZKPFR@\MGSA;2xja55wc8734}jzHIz:>>o4@Az2=44g1289?:;>m:0`451}i;<>1=6`<5485?!52;39?i6s\968012<6i?0:?9890c82f2713m;2=7>51;3xW<>=;<=1=l85126525d=9k=:86x[31d94?7=938m8v]68;163?7f>3;88;8?b;3a342<,:9=6<6k;%3b3?26?2h:5<4?:51914v<55;'5=e=;8n0e4=50;9l7=?=831b?;h50;9l05`=831b?h;50;9l7`7=831d?i;50;9j7=6=831d?i?50;9l7=4=831b?im50;9j7=d=831d?:?50;9l72g=831d?i=50;9j047=831d?h950;9l7f6=831d?;;50;9l73d=831b?l850;9j5;n1ga?6=3`o<6=44o2;3>5<5<5<5<6=4+1`;95f25<#9h31=n:4n0c;>4=5<#9h31=n:4n0c;>6=5<#9h31=n:4n0c;>0=5<#9h31=n:4n0c;>2=5<#9h31=n:4n0c;><=5<#9h31=n:4n0c;>g=a8870>h6i10;76g;3;29 4g>2=>0b5$0c:>1254i2d94?"6i00?86`>a987?>o4m3:1(j50;&2e<<3<2d:m549;:k0g?6=,8k269:4n0c;>2=a8870>h6i10376g2=>0b5$0c:>12a98`?>o4>3:1(c=a8870>h6i10:<65f4`83>!7f13>?7c?n8;32?>o313:1(40<3k9>h7>51c87f?3asA9886*<1d8:=>\?03;p?7s+19a974b<0;66g>i6900;66g;1283>>i6l90;66a=7183>>iak3:17d?9:18'5d?=9<1e=l650:9j51<72-;j57?:;o3b65f1083>!7f13;>7c?n8;18?l`=83.:m44>5:l2e=<332cn6=4+1`;950=i9h21965fd;29 4g>28?0ba8821>h6i10376gn:18'5d?=9<1e=l659:9j=?6=,8k26<;4n0c;>d=5<#9h31=85a1`:9`>=n9l0;6)?n9;36?k7f03o07d?k:18'5d?=9<1e=l65f:9a707=83;1<7>t$23f>c4<@:?;7E=<4:me5?6=3th89?4?:083>5}#;8o1?>;4H273?M54<2e:m84?::a7f2=83?1<7>t$23f>``<@:?;7E=<4:&244<73`2o6=44i8794?=n000;66g6d;29?j7d93:17pl0<729q/?5<52;294~"49l0:5<52;294~"49l0:5<5;hdb>5<;?;I100>oa<3:17dh::188mc0=831bj:4?::ke9483>>{eno0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>>n:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`04g<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04f<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04a<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<=3:17pl>3783>7<729q/?=3:17pl>3683>7<729q/?=3:17pl>3983>7<729q/?=3:17pl>3883>1<729q/?5;hd6>5<6=44}c1`=?6=:3:1=;;hd0>5<6=44}c1`e?6=:3:1=;;hd0>5<6=44}c1`f?6=:3:1=;;hd0>5<6=44}c1`g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;jn1<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4b629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4b529086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:h>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h84?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0f5>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6l>0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?k8;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a627=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a624=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a625=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a622=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a623=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9;;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb354>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=;6;291?6=8r.8=h4=c:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c173?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;=21<7=50;2x 67b2:>m7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl<4883>7<729q/?=3:17pl<4`83>7<729q/?=3:17pl<4c83>6<729q/?<6F<359jb1<722cm97>5;n3:1?6=3th88i4?:583>5}#;8o1>o5G3428L6533`l?6=44ig794?=nn?0;66a>9483>>{e;8;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=>5;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f671290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<6F<519K7626F<519K7626F<519K7626F<519K762=3:17pl<1b83>0<729q/?5;|`057<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm30694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg76i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg75:3:197>50z&05`<5k2B89=5G3268mc2=831bj84?::ke2?6=3`l<6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb007>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb006>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6:?0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:10;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn<<6:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25g<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm10f94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25c<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm13394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xu1290?wS84=gf9b3=:;8<1j9523059b6=z{>0;69uQ7:?e`?`0349::7h:;<1274?=n:1v44?:5y]=>;al3l270=>1;d6?875;3l?7p}n:186[g<5on1jl523049b2=:;8k1j>521319b0=z{k0;6>uQb:?e`?`e349:=7h;;|q`>5<3sWi01kk5f59>710=n<16=?<5f59~wa<72=qUh63ie;d6?853>3l?70?=2;d6?xub290?wSk4=gg9b3=:;=<1j;521309b3=z{o0;69uQf:?ea?`0349?:7h8;<316?`03ty:=7>57z\25>;am3l370=?a;d7?85d13l870<82;d0?853?3l?70?=0;d7?xu6;3:15vP>3:?ea?`>349;n7h<;<1`e?`434;o>7h:;<047?`4349?;7h:;<32e?`434;997h:;|q20?6=krT:863ie;db?857i3l>70?<6;d0?85d03l>70?k1;d0?87c:3l?70<85;d7?85303l>70?=6;d0?87503l?70?=9;d7?xu6>3:15vP>6:?ea?`e349;o7h<;<30e;297~X6m27mh7h:;<121?`23ty=97>53z\51>;4ko0m?63{t0l0;6>uQ8d9>7f2=0016?nk5889~wce=838pRkm4=gd95<34?234lm6k:4}rdf>5<5s4ln6<7:;c352z\25<=:9;;1=4;4}r32e?6=:r7:=l4>949>57>=n<1v1b8e1>{t98i1<74?234;:h7h;;|q25a<72;q6=e;296~;69l0:585210d9b0=z{8;m6=4={<32b?7>=27:>=4i5:p576=838p1<7p}>2383>7}:9;81=4;4=03f>c252z?266<61<16=;6:00m96s|13794?4|588>6<7:;<32f?`33ty:>;4?:3y>570=90?01v3>2682=0=:98n1j;5rs00;>5<5s4;947?65:?25c283>70?>c;d7?xu6;<0;6?uQ1278945>283>7p}>3783>7}:9:<1=4;4=01:>c352z?272<61<16=>75f59~w45?2909w0?<8;3:1>;6;00m:6s|18094?4|5:?:6k?4=2af><352z?017<6i<16?n:59e9~w4b72909wS?k0:?2`=<61<1v:18187c93;2963>d48e1>{t9m81<74?234;o:7h;;|q2`6<72;q6=i=5187894b12o?0q~?k4;296~;6l=0:58521e:9b0=z{8n>6=4={<3g1?7>=27:h:4i4:p5a0=838p17p}>d683>7}:9m=1=4;4=0f;>c252z\135=::>=1=4;4}r045?6=:r79;<4>949>623=n<1v?9=:181840:3;2963=768e0>{t:>91<74?2348<:7h;;|q131<72;q6>::5187897112o?0q~<85;296~;5?<0:58522659b0=z{;==6=4={<042?7>=279;:4i6:p75?=838pR>>6;<13a?7>=2wx?=o50;0x966f283>70=?e;d7?xu48k0;6?u231`95<3<5::o6k:4}r13g?6=:r78949>75b=n<1v>>k:181857l3;2963<0d8e1>{t;8:1<7744=90?01>?;:g68yv56;3:1>v3<1282=0=:;8>1j85rs236>5<5s49:97?65:?05g70=>3;d7?xu49>0;6?u230595<3<5:;h6k:4}r12949>74e=n<1v>?6:18185613;2963<1b8e2>{t;8k1<74?2349:o7h8;|q05g<72;q6?c;296~;49j0:58523019b0=z{:>>6=4={<17`?7>=278=o4i4:p710=838p1>:9:0;6?853l3l>7p}<4683>7}:;==1=4;4=26`>c252z?00=<61<16?9l5f59~w62>2909w0=;9;3:1>;4j6<7:;<17`?`13ty88o4?:3y>71d=90?01>:l:g78yv53k3:1>v3<4b82=0=:;=n1j95rs2a7>5<5s49h87?l1:?0g`<>l2wx?n850;0x96e320?01>m::0;6?xu4k>0;6?u23b69949>7fb=n<1v>m6:18185d13;2963{t;jk1<74?2349ho7h:;|q0gg<72;q6?nl5187896ec2o<0q~=lc;296~;4kj0:58523bf9b1=z{:in6=48{<1`a?7d927:h>4i3:?2`094i3:?26050;0x96eb21n01>mi:0;6?xu4lj0;6?uQ3ea896e22o90q~:>3;290~X39:16=>95f29>71g=n:16=?95f29~yg26>3:1?94l8;g4M54<2.8=h4>cg9Y<=<5s;0?6p*>8b805a=n0l0;66g<0283>>i5k=0;66a>o4>l0;66a>i4l<0;66a<8383>>o4880;66g95;29?j5d83:17d=n5;29?l26;3:17d=j4;29?l7c83:17b=ke;29?l4083:17b28i?7c?n8;28?j7d;3:1(28i?7c?n8;08?j7dl3:1(28i?7c?n8;68?j7dj3:1(28i?7c?n8;48?j7d13:1(28i?7c?n8;:8?j7d?3:1(28i?7c?n8;c8?j7d:3:1(a8826>h6i10976gj:18'5d?=9;1e=l653:9j`?6=,8k26<<4n0c;>1=5<#9h31=?5a1`:93>=n13:1(6`>a98b?>o1290/=l75139m5d>=j21b=h4?:%3b=?753g;j47m4;h3g>5<#9h31=?5a1`:9`>=n9j0;6)?n9;31?k7f03o07d?m:18'5d?=9;1e=l65f:9j5d<72-;j57?=;o3b5$0c:>445<#9h31=?5a1`:957=a8826>h6i10:?65f1183>!7f13;97c?n8;37?>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo?jf;291?6=8r.8=h4jf:J015=O;:>0(<>>:19j5;h::>5<=;;h::>5<:188yg4683:1?7>50z&05`5;|`2`d<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm31294?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn>6=:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`13=<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm29:94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?7k:180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<7>52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb2ge>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2`b>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2fe>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0da>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0d`>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0dg>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd40>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ih0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ik0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>6<:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn>k=:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a7f7=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a7f4=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8j<4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j?4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j94?:483>5}#;8o1>n5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?j7>=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl0<729q/?5;|`16`<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K762=3:17pl=3083>6<729q/?3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>>950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi>>650;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c00=?6=;3:1=;;hd7>5<=3:17pl=3e83>7<729q/?=3:17pl=3d83>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi>9<50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c077?6=:3:1=;;hd0>5<6=44}c070?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=?1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl=4983>6<729q/?29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f72f29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th98o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c07a?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=l1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:<:1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<:1;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f73329086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th9984?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th99;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb374>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;?;I100>oa<3:17dh::188k4?22900qo<:b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<:c;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a60b=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9:=4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb342>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb341>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd5>:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo<96;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a631=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a63>=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3;e>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:h;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c0b1?6=;3:1=;;hd7>5<=3:17pl=a683>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>l>50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`15;|`1=0<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm28:94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4>k3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg4?j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>5m50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c0;`?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:1o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<7f;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f7?729086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th95<4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c0:6?6=;3:1=;;hd7>5<=3:17pl=9583>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6?:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6>:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`1<7<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1<6<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K7626=4=:183!56m3;;>6F<519K7626F<519K7621<75ff483>>i61<0;66sm26`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg40k3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>:j50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c04a?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl=7g83>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7j:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7i:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb0c2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:881<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=a;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>5;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a640=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm20:94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn??6:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a64g=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9=o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c02g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:8o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>f;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a676=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9><4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb301>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;91<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<=4;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f4bd29086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi=h950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3fe?6=:3:1=;;hd0>5<6=44}c3ff?6=:3:1=;;hd0>5<6=44}c3fg?6=:3:1=;;hd0>5<6=44}c3f`?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl>ed83>7<729q/?=3:17pl>de83>7<729q/?=3:17pl>dd83>1<729q/?5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`2a5<72=0;6=u+30g96g=O;<:0D>=;;hd7>5<>i61<0;66sm1d394?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7b:3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=h=50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`2a1<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1d494?2=83:p(>?j:0;;?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=k6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k9;290?6=8r.8=h4>999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi?io50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3e5?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9o91<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4`329096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`229096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`129096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`029096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`?290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<1<75ff483>>i61<0;66sm1gc94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7a:3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn?>?:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm21;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21`94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21a94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21f94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd58;0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn?><:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`141<72=0;6=u+30g95<><@:?;7E=<4:ke0?6=3`l>6=44ig494?=h90?1<75rb326>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo969K706<@:9?7dh;:188mc3=831d=4;50;9~f76029086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3ty=6=4={_4897572o>0q~950;0xZ2=:::21j95rs983>7}Y0279?h4i4:p=?6=:rT270<;4;d7?xuf2909wSo4=36b>c2606=n=1vn4?:3y]g>;5=?0m86s|d;296~Xc348>o7h;;|qf>5<5sWo01?8=:g68yv772909wS??;<01a?`23ty:=7>52z\25>;5>?0m96s|1683>7}Y9>16>>85f49~w4>=838pR<64=31`>c37p}>a;296~X6i279854i5:p5g<72;qU=o5225g9b0=z{8i1<7m7h:;|q2a?6=:rT:i63=618e1>{t><0;6<=1m16=hh59e9>5l?5f49>6d2=n=16>485f29>6<1=n<16>4m5f29>6=5=n<16=4h5f29>64b=n:16>?o5f29>64`=n=16=h95f29>5`?=n=16=k95f29>65d=n:1v;650;7x93>=9j;01?77:g18974>2o9010q~6j:18`[>b34;nj766;<3:e?>>34<36574=0df>=?<5;;;6574=0fb>=?<5::;6574=35;>=?<5;236574=3;g>=?<5:286k=4}r3:6?6=;r789<4i1:?2ac<>=27:5l465:p570949>5d6=n<1v<7k:18187>l3;2963>a18e2>{t90o1<74?234;j<7h8;|q2=c<72;q6=4h5187894g62o?0q~?n0;296~;6i90:58521`39b1=z{8i96=4={_3`6>;5;80:585rs0a0>5<5sW;87S?l3:p5f3=839pRk5Q1b78970?2o>0q~?l6;296~X6k?16>>751878yv7d?3:1>vP>c69>66`=90?0q~?l8;296~X6k116>9;51878yv7d13:1>vP>c89>61d=90?0q~?la;296~X6kh16>8?51878yv7dj3:1>vP>cc9>601=90?0q~?lc;296~X6kj16>8j51878yv7dl3:1>vP>ce9>635=90?0q~?le;296~X6kl16>;751878yv7c83:1jvP>d19>6g4=n:16>l?5f59>65=5f59>5d6=n=16>?65f29>67d=n:16><95f59>5`>=n:16=hl5f29>7a0=n:16=k65f59>65>=n<16>=o5f29~w4b>290?w0?id;3:1>;4n=0m863;4l>0m?6s|1ec94?4|58nj6;<3eg?`43ty:ho4?:3y>5ag=1m16=h851878yv7ck3:1>v3>db82=0=:9l>1j95rs0fg>5<5s4;oh7?65:?2a52wx=ik50;0x94bb283>70?j1;d6?xu6lo0;6?u21ed95<3<58o96k;4}r3f4?6=:r7:i=4>949>5`0=n?1v:18187b93;2963>e28e0>{t9l81<74?234;n?7h:;|q2a6<72;q6=h=5187894c32o?0q~?j4;296~;6m=0:58521d79b1=z{8o>6=4={<3f1?7>=27:i;4i5:p5`1=838p1e983>7}:9l21=4;4=0ff>c052z?2a<<61<16=h<5f59~w4cf2909w0?ja;3:1>;6lo0m86s|1d`94?4|58oi6<7:;<3gb?`23ty:in4?:3y>5`e=90?01v3>ee82=0=:9l<1j95rs0gf>5<5s4;ni7?65:?2a5949>5cg=n=1vf88e0>{t9o>1<74?234;m47h:;|q2b0<72;q6=k;5187894`?2o<0q~?i6;296~;6n?0:58521g:9b2=z{8l<6=4={<3e3?7>=27:j?4i5:p5c>=838p17p}>f883>7}:9o31=4;4=0db>c352z?2bd<61<16=k<5f59~w4`e2909w0?ib;3:1>;6nm0m86s|1ga94?4|58lh6<7:;<3e`?`23ty:jh4?:3y>5cc=9j;01?l8:g68yv7an3:1>v3>fd8:`>;58>0:585rs323>5<5s48;<7?65:?143=?50;0x9766283>706k84}r037?6=:r79<>4>949>652=n=1v?>;:181847<3;2963=048e1>{t:9?1<74?2348;:7h:;|q143<72;q6>=85187897602o>0q~=279<<4i5:p65g=838p1?>n:0;6?847;3l>7p}=0c83>7}::9h1=4;4=327>c052z?14f<61<16>=;5f59~w76c2909w0;58;0m86s|21g94?4|5;:n6<7:;<036?`23ty965`=90?01?>8:g78yv4683:1=;u220295f7<5:2<6k=4=30f>c2<5;8m6k=4=315>c2<5;9<6k=4=31`>c2<5;9o6k=4=361>c2<5;>86k=4=36;>c2<5;>26k=4=36f>c2<5;>m6k=4=377>c2<5;?>6k=4=37b>c2<5;?i6k=4=343>c2<5;<:6k=4=345>c2<5;<<6k=4}r025?6=:r79==46d:?161<61<1v??=:181846:3;2963=148e0>{t:891<74?23489=7h:;|q151<72;q6><:5187897442o?0q~<>5;296~;59<0:585220c9b1=z{;;=6=4={<022?7>=279>?4i4:p641=838p1??8:0;6?846j3l?7p}=1983>7}::821=4;4=33a>c352z?15<<61<16>a;3:1>;59l0m96s|20`94?4|5;;i6<7:;<02b?`23ty9=n4?:3y>64e=90?01?v3=1e82=0=::8?1j85rs33f>5<5s48:i7?65:?16170<=0;d7?xu5:90;6?u223295<3<5;8:6k:4}r015?6=:r79><4>949>674=n<1v?<=:181845:3;2963=228e0>{t:;91<74?2348987h:;|q160<72;q6>?;51878977f2o?0q~<=6;296~;5:?0:58522049b0=z{;8<6=4={<013?7>=279=:4i5:p67>=838p1?<7:0;6?84603l?7p}=2883>7}::;31=4;4=33;>c052z?16d<61<16><75f59~w74e2909w0<=b;3:1>;5900m96s|23f94?4|5;8n6<7:;<005?`33ty9>h4?:3y>67`=90?01?=?:g78yv45n3:1>v3=3182=0=:::;1j85rs316>5<5s488:7?65:?17<>850;0x9750283>70<<8;d6?xu5;>0;6?u222:95<3<5;926k;4}r00f?6=:r79?n4>949>66`=n=1v?=l:181844l3;2963=3d8e1>{t::n1<74?23488j7h:;|q104<72;q6>9<5187897222o>0q~<;2;296~;5<:0:58522569b0=z{;>86=4={<070?7>=279884i5:p611=838p1?:7:0;6?843j3l?7p}=4983>7}::=31=4;4=36b>c352z?10d<61<16>9l5f49~w72c2909w0<;e;3:1>;5=80m86s|25g94?4|5;>m6<7:;<064?`23ty98k4?:3y>606=90?01?;>:g78yv42;3:1>v3=5582=0=::<=1j95rs377>5<5s48>97?65:?1138;50;0x9731283>70<:7;d6?xu5=00;6?u224c95<3<5;?o6k:4}r06e?6=:r799o4>949>60e=n<1v?;m:181842k3;2963=5e8e1>{t:4?2348=?7h;;|q125<72;q6>;?5187897052o?0q~<91;296~;5>;0:58522719b0=z{;<>6=4={<052?7>=279:44i4:p630=838p1?88:0;6?84103l>7p}=6683>7}::?21=4;4=34:>c352z\135=:;ml1j>5rs35;>5<69r79;54>c09>6dg=n:16>4h5f49>6d2=n?16>4;5f59>65<5f29>62b=n=16=4k5f29>644=n<16><:5f29>5`e=n:16=h>5f49>5c5=n=16=k:5f29>656=n=16>=m5f29~w71>2909w0<88;;g?840n3;296s|26c94?4|5;=j6<7:;<0;3?`33ty9;o4?:3y>62d=90?01?9i:g68yv40k3:1>v3=7b82=0=::>o1j95rs35g>5<5s48:k50;0x971b283>70<8f;d5?xu5090;6?u229295<3<5;2<6k;4}r0;5?6=:r794<4>949>62d=n=1v?6=:18184?:3;2963=7c8e1>{t:191<74?23485:51878971d2o>0q~<75;296~;50<0:585226a9b0=z{;2=6=4={<0;2?7>=279;h4i6:p6=1=838p1?68:0;6?840l3l>7p}=8983>42|5;236;<0bf?`33482j7h;;<0b0?`03482m7h<;<0;f?`33486=>=1m16>4:51878yv4?i3:1>v3=8`82=0=::1h1j85rs3:a>5<5s483n7?65:?1=15m50;0x97>d283>70<61;d7?xu50m0;6?u229f95<3<5;2m6k;4}r0;a?6=:r794h4>949>6<2=n?1v?6i:18184?n3;2963=918e0>{t:0:1<74?23482=7h:;|q1=4<72;q6>4?5187897?52o?0q~<62;296~;51;0:58522819b1=z{;386=4={<0:7?7>=279594i4:p6<3=838p1?7::0;6?84>:3l?7p}=9783>7}::0<1=4;4=3:`>c352z?1=2<61<16>5j5f59~w7??2909w0<68;3:1>;50m0m96s|28;94?4|5;326<7:;<0:4?`23ty95l4?:3y>6j3:1>v3=9c82=0=::1o1j95rs3;`>5<5s482o7?65:?1<`4j50;3284>l3;h=63=a28e7>;50h0m?63=948e1>;5090m?63=808e1>;50?0m?63>9b8e7>;5:?0m?63=1d8e0>;6lj0m963>ee8e2>;6lm0m?63>f28e2>;6n?0m?63=018e2>;58l0m?6s|28g94?4|5;3o64j4=3c3>4?23ty95k4?:3y>6<`=90?01?o8:g68yv4f93:1>v3=a082=0=::h<1j95rs3c1>5<5s48j>7?65:?1e0l=50;0x97g4283>70949>6d0=n<1v?o9:18184f>3;2963=a68e1>{t:h=1<74?2348j47h;;|q1e=<72;q6>l65187897g72o>0q~;5j>0:585rs3`1>5<5s48i>7?65:?1f250;1xZ7e3349;<7?l1:?0`=4?:by]755<5;k?6k;4=3c6>c2<5;3i6k:4=3:`>c2<5;2m6k:4=331>c2<5;;h6k:4=0gg>c2<58o:6k:4=0d2>c2<5;:m6k=4}r16f?6=:r78<=46d:?1eg<61<1v>8j:185[51m278i?4i5:?0g76=;<1;6?7d92wx?5:50;0x96>521301>6<:0;6?xu40?0;6?u23909=a=:;1=1=4;4}r1b1?6=:rT8m8523cg9b6=z{:h26=4={_1a=>;4jh0:585rs2`a>5<5s49im7h<;<1ab?7>=2wx?om50;0x96dd283>70=mf;d6?xu4jm0;6?u23cf95<3<5:hm6k84}r1aa?6=:r78nh4>949>7g`=n>1v>m?:181[5d8278o?4>949~w6e62909w0=l1;3:1>;4k;0m96s|3e794?4|V:n>70=ka;3:1>{t;m<1<74?2349o57h;;|q0`2<72;q6?i95187896b>2o<0q~=k8;296~;4l10:58523ec9b0=z{:n26=4={<1g=?7>=278hl4i4:p7ac=838pR>jj;<1gb?7>=2wx?h?50;0xZ6c6349n>7?65:p7`2=838pR>k;;<1e7?`43ty8ih4?:3y]7`c<5:om6<7:;|q0b5<72;q6?hh5f29>7c2=90?0q~=i1;296~;4n80:58523g69b0=z{:l96=4={<1e6?7>=278j94i6:p7c5=838p1>h<:0;6?85a<3l<7p};1283>0}Y<8901>k=:g6896e62o901>h=:g1896dc2o90qpl<6583>45=><0=jvF<359'74c=9820(<6l:23g?l>b2900e<:188m60b2900c;750;9j20<722e:?l4?::k0e0<722c:=44?::m202<722c?=>4?::k0a1<722e:>l4?::m1ef<722e8594?::m2<5<722e:j7>5;n04>5<:183!56m3l97E=:0:J071=hn80;66sm14f94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a2<<72<0;6=u+30g9ac=O;<:0D>=;;h:g>5<>o>l3:17b?l1;29?xd61h0;684?:1y'74c=mo1C?8>4H217?!7793:0e5j50;9j=0<722c357>5;h;g>5<5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188mt$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762>i6k80;66sm1g83>6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th:=<4?:283>5}#;8o1ih5G3428L6533-;;=7>4i9;94?=n1m0;66a>c083>>{e91:1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=?o50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm15594?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a5=1=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e9:;1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=8;50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm1`c94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<=3:17pl>3283>7<729q/?=3:17pl>8883>7<729q/?=3:17pl8a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a50`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a536=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<1<75ff483>>i61<0;66sm1cg94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm1cd94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5>=3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?4850;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?4950;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c37=?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9=k1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9=h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?;c;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f44d29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:>i4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>h4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>k4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb013>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e9181<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?73;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?74;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?75;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5=0=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th:n=4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:n84?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c3a2?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k21<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k31<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?ma;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?mb;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5ge=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1c094?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd6j:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn5<7s-9:i7?68:J015=O;:>0ek:50;9jb0<722cm:7>5;n3:1?6=3th:mn4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0cg>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:k81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:ki1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi=>m50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=>k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`27c<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<;6=4=:183!56m3;;>6F<519K762:6=4=:183!56m3;;>6F<519K76296=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4283>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=9;50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c30`?6=;3:1=;;hd7>5<=3:17pl>5983>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=8m50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<n6=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4g83>7<729q/?=3:17pl>5183>7<729q/?=3:17pl>5083>7<729q/?=3:17pl>5383>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=8:50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<i1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd0m3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg1a29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f=6=83?1<7>t$23f>7e<@:?;7E=<4:ke0?6=3`l>6=44ig494?=nn>0;66a>9483>>{e080;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?n0;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5d7=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb0c0>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6i=0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900q~?i:181[7a34;m6;|q13?6=99qU>:522682g4=:1j0m963>488e0>;62b8e0>;6:m0m?63>838e0>;60:0m?63>b48e1>;5j;0m?63>3d8e1>;6=00m?63>528e0>;0m3l870?6c;d0?xu503:1>v3=7;:g?84>283>7p}95;29e~X1=27:5l46d:?4e?`334;=<7h;;<3a2?`234;8j7h:;<363?`334;?i7h:;<5e>c5<583m6k=4}r4:>5<5sW<27086:0a2?xu013:1>v399;;g?81f283>7p}8b;297~;1132o70?:d;:g?8>6283>7p}8c;296~;0k3;296370;d6?xu0l3:1>v38d;3:1>;?83l=7p}8e;296~;0m3;296370;d4?xu0n3:1>v38f;3:1>;?93l>7p}70;296~;?83;296371;d7?xu?m3:1=?uQ8d9>50b=0016:4479:?2=d=?<582m6574=0d9<<=:9:k144521039<<=:91:1445213c9<<=:9==144521959<<=:9:;144521479<<=:9hk144521`g9<<=z{0k1<7;69802h6s|10394?0|58;:6;<3a4?`434;i57h:;<3bg?`234;8o7h<;<5g>c57>53z\257=:?h0m963>5g8e7>{t9831<76t^03:?85>=3l?70?m6;d7?84e?3l870?70?;e;d7?87f;3l?7p}>2`83>7}Y9;k01<n4>949>566=n=1v<2g8e0>{t9;o1<74?234;9j7h:;|q26c<72;q6=?h5187894572o?0q~?<1;296~;6;80:o<5213a9b0=z{8996=4={<305??c34;8?7?65:p563=839pR<=:;<0ag?`334;j<7h;;|q27d<72;qU=>o4=01b>4e63ty:?o4?:3y>56g=1m16=>j51878yv74k3:1>v3>3b82=0=:9=81j85rs01f>5<5s4;8i7?65:?201h50;0x945a283>70?;3;d7?xu6<90;6?u215295<3<58>86k;4}r375?6=:r7:8<4>949>513=n<1v<:=:181873:3;2963>3e8e0>{t9=91<74?234;?87h:;|q201<72;q6=9:5187894222o>0q~?;5;296~;6<<0:585212f9b0=z{8><6=4={_373>;6<>0:o<5rs06;>5<5s4;?;77k;<37g?7>=2wx=9750;0x942>283>70?;c;d7?xu6i6k;4}r37f?6=:r7:8o4>949>51e=n<1v<:k:181873?32o70?:4;3:1>{t9=o1<74?234;>>7h;;|q20c<72;q6=9h5187894352o?0q~?:0;296~;6=90:58521469b1=z{8?:6=4={<365?7>=27:994i6:p504=838p1<;=:0;6?872;3l>7p}>5283>7}:9<91=4;4=077>c397>52z?210<6k816=975f49~w4312909w0?:7;3:1>;6=j0m96s|14594?4|58?36<7:;<36f?`33ty:954?:3y>50?=90?01<;m:g78yv7213:1>v3>5`82=0=:95<5s4;>n7?65:?21f949>536=n<1v<6?:181[7?827:4=4>c09~w4>62909w0?70;;g?87?>3;296s|19094?4|58296<7:;<3;2?`33ty:4>4?:3y>5=5=90?01<6::g68yv7?<3:1>v3>8582=0=:91?1j85rs0:6>5<5s4;397?65:?2<3028i:70?72;d6?xu6010;6?u21959=a=:9131=4;4}r3;b?6==r79;77k;<3;b?7d927:4:47d:?274503=0m16=8m51878yv7>:3:1nv3<508e5>;6=m0296399;;6?87>i33>70<8:87894>720?01<i3:1:v3>9`82g4=:9k=1j>521529b6=:95215d9b6=:090m86s|18`94?4|583j65j4=0c7>4?23ty:5n4?:3y>5l3:1>v3>9e82=0=:9h;1j95rs0;f>5<5s4;2i7?65:?2e470?n4;d6?xu6i90;6?u21`295<3<58k96k:4}r3b5?6=:r7:m<4>949>5d4=n<1va28e1>{t9h91<74?234;j87h;;|q2ed<720q6=lo51b3894db2o9012o>01v3>a`8:`>;6im0:585rs0c`>5<5s4;jo7?65:?2ea70?:0;d0?81d2o901<7j:g18yv7fn3:1>v3>ad8:`>;6j=0:585rs0`3>5<5s4;i<7?65:?2fg70?m4;d5?xu6j;0;6?u21c095<3<58h86k:4}r3a7?6=:r7:n>4>949>5g2=n=1vb38e0>{t9k<1<74?234;io7h;;|q2f2<72;q6=o95187894dd2o?0q~?m8;296~;6j10:58521c19b0=z{8h26=4={<3a=?7>=27:n<4i4:p5gg=838p17p}>bc83>7}:9kh1=4;4=0`7>c352z?2ff<61<16=o<5f49~w4dc2909w0?me;3:1>;6jo0m96s|1b294?3|58l15i521929;6jo0:585rs3c`>5<5sW8jo63=be82=0=z{;h96=4={<0a6?7>=279nn4i5:p6g1=838p1?l8:0;6?84el3l=7p}=bb83>7}::ki1=4;4=3`g>c252z\02`=:;0<1j>5rs2;7>5<5sW92863<9682=0=z{:3>6=4={<1:1?7>=2785:4i4:p7<0=838p1>79:0;6?85>?3l>7p}6}Y;h?01<=<:g18944b2o90q~=j4;297~X4m=16=575f29>5=2=n:1v9?<:187[26;27:9846d:?0=04$0:`>67c3f>;o7>5;n1;5;n46>5<>o1n3:17d<6F<359lb4<722wi?5650;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm2`g94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a6g5=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e:k21<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi:o4?:483>5}#;8o1ik5G3428L6533`2o6=44i8794?=n000;66g6d;29?j7d93:17pl80;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg5629086=4?{%12a?cb3A9><6F<359'557=82c357>5;h;g>5<5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb7594?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd5k80;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>;50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5k50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5h50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`07<<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo8k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn;k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`1fd<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1fg<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3`3>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb3`2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e;;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38l0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=8d;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f3`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a31<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`41?6=:3:1=;;hd0>5<6=44}c55>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb6594?3=83:p(>?j:3a8L6373A9886gi4;29?l`22900ek850;9jb2<722e:584?::a76d=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8?n4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c10`?6=:3:1=;;hd0>5<6=44}c10a?6=:3:1=;;hd0>5<6=44}c10b?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg5383:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831v>?50;0x967=9j;01;95f59~w65=838p1>?5889>77<61<1v>:50;0x967=1m16?84>949~w33=83lpR;;4=629=a=:>k02h63=b98:`>;5j:02h63=ad8:`>;1?3;2963<8d8e7>;4;00m86382;d0?80c2o901?ln:g1897d22o901?l?:g18965b2o90q~89:181801283>7088:g78yv0>2908w0=8d;d7?810283>70=5<5s4;<4e>c5=b<5?o1=4;4}r4g>5<5s4c301::5f29~w26=838p1:>51b38923=n:1v:?50;0x926=0m16;>4>949~w24=838p1:<51878925=n<1v::50;0x93`=90?01:95f59~w23=838p1::51878921=n<1v:850;0x923=90?01:95f79~w21=838p1:851878921=n>1vhj50;;xZ`b<5:236574=3cf>=?<5;h86574=3`;>=?<5?h14452718;=>;4;>03563<2;d0?xuak3:1?vPic:?0;>:g3896>?20?01?oj:87897d420?01?l7:87893d=1<16;=465:?072<>=2wx>lj50;1xZ7gc348i=7h;;<10g?`33ty9mh4?:3y>6dc=9j;01>=l:g78yv4fn3:1>v3=ad8;`>;5j80:585rs3`3>5<5s48i<7?65:?1f4o<50;1xZ7d5348h>7h<;<0a2?`33ty9n>4?:3y>6g5=9j;01?m>:g18yv4e<3:1>v3=b28;`>;5j?0:585rs3`6>5<5s48i97?65:?1f3o950;1xZ7d0348in7h;;<10f?`33ty9n54?:3y>6g>=9j;01>=m:g78yv4e13:1>v3=b98;`>;5jk0:585rs3`b>5<5s48im7?65:?1fgn>50;0x97e4283>70=949>6f5=n<1v>=8:181854?3;h=63;0e8e7>{t;:21<7958e9>7=>=0m16?9>51878yv54j3:1>v3<3c82=0=:;:l1j85rs21`>5<5s498o7?65:?07c2wx?>j50;0x965c283>70=;6k;4}r10b?6=:r78?k4>949>716=n=1v>8j:186[51m27=:7h<;<16>c5<5=:n6k:4=25g>c352z\03f=:;>n1=4;4}r1;52z?0<=<>l2784k4>949~w6>b2909w0=7e;3:1>;40o0m96s|41a94?4|V=:h70:?e;3:1>{t<9n1<74?234>;i7h:;|a735=83;>6l75b5yK762<,:;n64?::m02`<722cj:7>5;h1gg?6=3`;8m7>5;n14e?6=3`2i6=44i9c94?=h;h?1<75f12694?=h;l>1<75f19c94?=n;>i1<75`38294?=h;031<75`1g83>>i4n<0;66l<5083>4<729q/?:188yg5a=3:197>50z&05`5<0e5750;9j=a<722e:o<4?::aff<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qol;:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`0=<<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qo??3;297?6=8r.8=h4je:J015=O;:>0e5750;9j=a<722e:o<4?::aad<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<0e5750;9j=a<722e:o<4?::a714=8391<7>t$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th8:h4?:283>5}#;8o1ih5G3428L6533`226=44i8f94?=h9j;1<75rb2;3>5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xdb13:1?7>50z&05`;m50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xd4<80;6>4?:1y'74c=ml1C?8>4H217?l>>2900e4j50;9l5f7=831vnl>50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg73>3:1?7>50z&05`5;|`gb?6=;3:1N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl>6083>6<729q/?5<:188yg70:3:1?7>50z&05`5;|`236<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm16694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn<9::180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762<,8::6<5f8883>>o>l3:17b?l1;29?xdd?3:1?7?53zJ071=#;8o1=5k4i`694?=ni<0;66a<0983>>d4=?0;6>4?:1y'74c=;=l0D>;?;I100>oa<3:17dh::188k4?22900q~o;:181[g3349>:7h:;|qb1?6=:rTj963<578e0>{t;921<73;296srbb494?5=939pD>=;;%12a?7?m2cj87>5;hc6>5<5<4290;w)=>e;17b>N4=91C?>:4ig694?=nn<0;66a>9483>>{ti=0;6?uQa59>700=n<1vl;50;0xZd3<5:?=6k:4}r1353;397~N4;=1/?5}#;8o1?9h4H273?M54<2cm87>5;hd6>5<6=44}rc7>5<5sWk?70=:6;d6?xuf=3:1>vPn5:?013:7?65:~ff2=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=6c83>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pl<9283>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg5fk3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?ho50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?hl50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}cc0>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb267>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb9594?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm27d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3`g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3df94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3g;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66smc383>7<729q/?=3:17pll3;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::af`<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`ab?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{ejh0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xdej3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722win;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3thi;7>53;294~"49l09m6F<519K7621<75ff483>>i61<0;66smc883>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pljb;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo6;:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?=50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb3694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4229086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi>;4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c34g?6=:3:1=;;hd0>5<6=44}c34`?6=;3:1=;;hd7>5<=3:17pl>7g83>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=:650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=:750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c35=?6=:3:1=;;hd0>5<6=44}c35e?6=:3:1=;;hd0>5<6=44}c35f?6=:3:1=;;hd0>5<6=44}c35g?6=:3:1=;;hd0>5<6=44}c35`?6=:3:1=;;hd0>5<6=44}c35a?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg71n3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn<9?:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`234<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm17794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f40129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th8;94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;84?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;:4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;54?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;44?:783>5}#;8o1>i5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?l`?2900c<7::188ygc629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f`4=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::aa6<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`f0?6=:3:1=;;hd0>5<6=44}cg6>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbd494?1=83:p(>?j:3g8L6373A9886gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<6=44}c631?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg27>3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi8=950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c63e?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e<9h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n0;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n2;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n3;293?6=8r.8=h4=e:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<>i61<0;66sm3`694?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd4nk0;6:4?:1y'74c=:l1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;hd;>5<6F<519K7626F<519K7626F<519K7626F<519K7626F<519K76250z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn9><:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<52;294~"49l0:5<52;294~"49l0:5<7>52;294~"49l0:5<52;294~"49l0:5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm3c494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5e?3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn>l7:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm10794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f47129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3thhj7>52;294~"49l0:5<t$23f>4653A9><6F<359jb6<722e:584?::a`3<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`g3?6=:3:1=;;hd0>5<6=44}cf;>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbe;94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygbf290?6=4?{%12a?4e3A9><6F<359jb1<722cm97>5;hd5>5<6=44}cf3>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{el80;694?:1y'74c=:k1C?8>4H217?l`32900ek;50;9jb3<722e:584?::a`7<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<6F<519K76250;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722win<4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rbc094?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygd429086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi==;50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb02:>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd68h0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68k0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68j0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68l0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68o0;684?:1y'74c=:j1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;n3:1?6=3th:==4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c332?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e99=1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>0983>6<729q/?4e63ty9>7>52z?2b??c348=6<7:;|q17?6=:r79?7?65:?12?`33ty987>52z?10?7>=27997h:;|q11?6=:r7997?65:?12?`23ty=57>55z?5b?7>=27::44i3:?220<7<6k816:k4i5:p<6<72hq64?479:?0a14?23ty397>52z?;1?7d927=j7h;;|q;2?6=az\;e>;4n<03563nc;::?8e721301om5889>f=>34;m6574=020>=?<5lk1445227f9<<=:0<03563<438;=>;f932270?>2;::?8ba21301<8>:9;8940521301<88:9;8941521301<9<:9;8941321301<9::9;8941f213015:5f29~w=d=832pR5l4=d`9b6=:9>n1j95216:9b6=:9?n1j>521769b0=:98>1j85211g9b6=z{0o1<7;t=8d95<3<58c3<58;>6k;4=02a>c54e6343m6k;4}rc2>5<5s4k:6;<;e>c2g<7}:ij02h63m3;3:1>{til0;6?u2ad82=0=:j80m96s|ag83>7}:io0:5852b38e0>{tj90;6?u2b182=0=:j;0m96s|b083>7}:j80:5852b28e0>{tj;0;6?u2b382=0=:j:0m96s|a983>d}:j=0:o<52c58b1>;d13l?70k<:g1891612o>01>hm:g7896`d2o901i=5f49>`14?23tyi:7>52z?a2?7>=27i;7h:;|qb=?6=1r7i47?l1:?`1?g234ii6k:4=d79b6=:<9<1j8523g`9b3=:;oo1j>52d98e7>;fn3l>7p}m9;296~;e033o70lm:0;6?xuei3:1>v3ma;3:1>;ej3l>7p}na;29<~;ek3;h=63l6;c6?8ec2o>01h:5f29>051=n:168=>5f29>`24?23tyii7>52z?aa?7>=27ij7h:;|qbf?6=0r7h<7?l1:?`3?g234o=6k;4=52;>c5<5:lm6k=4=e19b3=:l?0m?63nf;d4?xud93:1>v3l0;;g?8e4283>7p}l2;296~;d:3;2963l3;d6?xud03:1?v3l5;c7?8e>283>70mm:g78yvef2908w0m9:`689fd=90?01nj5f49~wfe=838p1n95a59>ga<61<1vnk50;7x9f4=n:16nh4i3:?ae?`434h=6k=4=e095<34?234n26k;4}rf3>5<5s4n;6<7:;c34?234n96k;4}rf0>5<5s4n86<7:;c24?234nj6k;4}rf6>5<5s4n>6<7:;c24?234nj6k84}rf4>5<5s4n<6<7:;c24?234n:6k84}rf:>5<5s4n26<7:;c24?234n;6k;4}rfa>5<5s4i?6>>7;<`4>c266?34hi6k:4}rfg>5<5s4i=6>>7;<`e>c266?34i86k:4}rfe>5<2s4nm6;<35f?`434;=97h9;<321?`134;;o7h<;|qf4?6=:r7oj77k;4?23tyn=7>52z?f5?7>=27n:7h;;|qf6?6=:r7n>7?65:?f2?`13tyn?7>52z?f7?7>=27n:7h7;|qf0?6=:r7n87?65:?f2?`03tyn97>52z?f1?7>=27n:7h6;|qf=?6=4e634im6k=4=`g9b6=z{lk1<7=t=dc95f7<5m31j952b08e0>{tmj0;6?u2e88;=>;bj3;296s|11194?2|V8:870??3;3`5>;38<0m863{t99>1<70q~??6;296~;68?0:58521159b0=z{8:<6=4={<333?7>=27:<54i5:p55?=838p1<>6:0;6?877?3l?7p}>0`83>7}:99k1=4;4=02e>c252z?24g<61<16==h5f49~w46d2909w0??c;3:1>;68o0m:6s|11f94?4|58:o6<7:;<33b?`03ty:55c=90?01v3>0g82=0=:98:1j95rs033>5<5s4;:<7?65:?2437?l1:p545=838p17p}>1583>7}:98>1=4;4=035>c252z?250<61<16=<85f49~w4532909wS?<4:?0efo50;0xZ45f34;?:77k;|q203<72521=n=16==75f59~w4062909w0?91;3`5>;6?o0m86s|17094?5|58<:64j4=041>4e6349j<7h<;|q226<72;q6=;<59e9>530=90?0q~?94;296~;6>=0:58521749b1=z{8<>6=4={<351?7>=27::;4i5:p531=83kp1<88:0a2?85>;3l?70<<:g5894172o>01<8;:g6896102o901>7j:g1896d32o9010q~?98;296~;6>>02h63>7082=0=z{8<26=4={<35=?7>=27::h4i4:p53g=838p1<8n:0;6?871m3l>7p}>6c83>7}:9?h1=4;4=04f>c052z?22f<61<16=;k5f69~w40c2909w0?9d;3:1>;6>o0m96s|17g94?4|5853`=90?01<9?:g78yv7083:1>v3>7182=0=:9>;1j85rs051>57?l1:?0=64?:6y>524=1m16=:=51b38975=n?16?::5f29>7553=n?1v<9;:184870;33o70?84;3`5>;5;3l?70=85;d0?85f93l870=m1;d0?877=3l?7p}>7483>2}:9>>15i5216795f7<5;91j85236:9b6=:;0l1j>523c29b6=:99?1j85rs055>5<5s4;<977k;<34=?7>=2wx=:950;0x9410283>70?89;d7?xu6?10;6?u216:95<3<58=26k;4}r34e?6==r7:;l4>c09>617p}>7b83>7}:9>i1=4;4=05g>c352z?23a<61<16=:k5f49~w41b2909w0?8e;3:1>;6?o0m96s|19c94?4|V82j70=jb;d7?xu60k0;6>uQ19`896g52o901>l8:g68yv41i3:19v3=6c82=0=:9?i1j>521779b2=:98?1j:5211f9b6=z{;6}::?n15i5227a9=a=::?l1=4;4}r175?6=;r788<4>c09>053=n?16?kl5f99~w6252908w0=;2;3`5>;38<0m963{t;=91<7=t=261>:64j4=267>4?23ty8:h4?:3y]73c<5:;|q036<72;q6?;k59e9>72?=90?0q~=84;296~;4?=0:585236;9b0=z{:=>6=4={<141?7>=278;44i4:p720=838p1>99:0;6?85013l=7p}<7683>7}:;>=1=4;4=25:>c152z?03=<61<16?:75f99~w61f290?wS=8a:?014=2wx?:m50;0xZ61d342<6k=4}r1;{t;0:1<783;h=6s|38094?4|5:3;64j4=2;0>4?23ty8544?:3y]7;|q0=g<72;q6?4759e9>7d2=90?0q~=6c;296~;41j0:58523`19b0=z{:3o6=4={<1:`?7>=278m>4i4:p77j:0;6?85f;3l=7p}<9g83>7}:;0l1=4;4=2c0>c>52z?0e5<61<16?l=5f69~w6g62909w0=n1;3:1>;4i:0m56s|3`094?4|5:k96<7:;<1b0?`23ty8m>4?:3y>7d5=90?01>o;:g68yv5f=3:1>vP7d3=9j;0q~=na;296~;4ij0:58523`g9b6=z{:ki6=4={<1bf?7>=278mn4i5:p7db=838p1>o::8f896gb283>7p}6}:;hh1j>523dc9b6=:;k21=4;4}r1a4?6=:r78n=4>949>7g3=n=1v>l>:18185e93;2963{t;k81<74?2349i47h;;|q0f6<72;q6?o=5187896d12o>0q~=m4;296~;4j=0:58523c49b0=z{:h>6=4={<1a1?7>=278n:4i5:p7g0=838p1>l9:0;6?85e03l=7p}7}:;k=1=4;4=2`;>c352z\0`f=::?l1j>5rs2g7>5<5sW9n863=278ii4i3:p7`g=838p1>kn:0;6?85bj3l>7p}7}:;l>15i523df95<353z\0b0=:;o?1=n?4=d09b6=z{:l36=4={<1e1??c349m57?65:p7cg=838p1>h::9f89164283>7p}7}:;oh1=4;4=521>c252z?0bf<61<168=?5f49~w6`c2909w0=id;3:1>;3880m86s|3gg94?4|5:ln6<7:;<635?`13ty8jk4?:3y>7c`=90?019><:g68yv2783:1>v3;0182=0=:<991j;5rs522>5<5s4>;=7?65:?74770:?3;d6?xu38=0;6?u23g;9b6=:<9h1=4;4}r631?6=:r7?<84>949>05d=n=1v9>9:181827>3;2963;0`8e0>{t<9=1<74?234>;57h;;|q74=<72;q68=651878916>2o?0q~:?9;296~;3800:585241c9b0=z{=:j6=4={<63e?7>=27?l;<170?`43twi?8m50;597d<4lrB8?95+30g9=3=#91i1?5<5<>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo=>f;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg4a93:197>50z&05`5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188m<6F<359'557=82c357>5;h;g>5<N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl=cg83>6<729q/?i>50;194?6|,:;n6hk4H273?M54<2.:<<4?;h::>5<:188yg4c:3:1?7>50z&05`5;|`1`6<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm2e694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?jm:180>4<4sA9886*<1d82<`=ni=0;66gn5;29?j5703:17o=:6;297?6=8r.8=h4<4g9K706<@:9?7dh;:188mc3=831d=4;50;9~wd2=838pRl:4=275>c36=4={_c6?852>3l?7p}<0983>7}Y;9201>;9:0;6?x{e:mk1<7=51;1xL6533-9:i7?7e:kb0?6=3`k>6=44o22;>5<1<75ff483>>i61<0;66s|a583>7}Yi=16?885f49~wd3=838pRl;4=275>c252z\04==:;<<1=4;4}|`1`<<72:0:6>uG3268 67b282n7do;:188md3=831d?=650;9a700=8391<7>t$23f>62a3A9><6F<359jb1<722cm97>5;n3:1?6=3tyj87>52z\b0>;4=?0m96s|a483>7}Yi<16?885f59~w66?2909wS=?8:?013<61<1vqo1<75fa483>>i4810;66l<5783>6<729q/?52z\b1>;4=?0m86s|31:94?4|V::370=:6;3:1>{zj;n<6=4<:080M54<2.8=h4>8d9je1<722cj97>5;n13:7>53;294~"49l088k5G3428L6533`l?6=44ig794?=h90?1<75rs`694?4|Vh>01>;9:g78yvg22909wSo:;<162?`33ty8<54?:3y]75><5:?=6<7:;|a6a0=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=d483>6<62:qC?>:4$23f>4>b3`k?6=44i`794?=h;921<75m34494?5=83:p(>?j:26e?M5282B8?95ff583>>oa=3:17b?65;29?xuf<3:1>vPn4:?0135<5sW9;463<5782=0=zuk9;>7>52;294~"49l0:5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3d6>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:o91<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:mo1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo0ek:50;9jb0<722e:584?::a6`4=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm2d494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4b03:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>im50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?=:50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c131?6=:3:1=;;hd0>5<6=44}c132?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;9=1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17dhm:188k4?22900qo==1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==a;290ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17b?65;29?xd4:;0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=<1;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a764=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a765=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3ty3o7>57z\;g>;4:j02h63<1g8:`>;4:k02h63<2d8e7>;4:00m?63<338e7>{tmm0;6iuQee9>74`=0016??m5889>6c7=0016??l5889>6fb=0016>nk5889>6f`=0016>i>5889>6a7=0016>i<5889>6a5=0016>i:5889~w4?5290>w0=:1;d2?856n33>70==c;;6?84a933>70==b;;6?xu5k=0;6?uQ2b6897`22o>0q~523339b6=z{;i=6=4:{<0`a?7d9279h84n5:?1``n950;7x97ea28i:700}::m:1=n?4=3f4>d3<5;o96k:4=3db>c5<5:8>6k=4}r0`=?6==r79h<4>c09>6a>=i<16>h:5f59>6cd=n:16??95f29~w7ef290=w0;5l00j963=e78e0>;48?0m863=fb8e7>;4:?0m?6s|2b`94?0|5;n86;<0ge?g2348n47h;;<130?`2348mh7h<;<116a2=9j;01?jm:`7896632o>01?hj:g18yv4cl3:1?v3=d78b0>;5ll0:58522d29b0=z{;nm6=4<{<0g3?g3348n<7?65:?1a7h?50;1x97b?2h>01?k=:0;6?84b<3l>7p}=e283>6}::m31m9522d695<3<5;o=6k;4}r0f1?6=;r79hl4n4:?1a3<61<16>h65f49~w7c02909w04?23ty9il4?:3y>6fc=1m16>i;531:8yv4bj3:1>v3=cg8:`>;5l?08<55rs3g`>5<5s48o<77k;<0g3?5702wx>hj50;0x97b620n01?j7:22;?xu5ml0;6?u22e09=a=::m31?=64}r0fb?6=:r79h>46d:?1`d<4811v?h?:18184c<33o70{t:o;1<7=t=3d2>4e6349;>7h<;<131?`43ty9j?4?:3y>6c7=1m16>k=51878yv4a<3:1>v3=f08;`>;5n<0:585rs3d5>5<2s499j7h;;<0e1?`2348m?7h<;<0eb?7>=278?<4i4:p6c1=838p1?h8:0;6?84an3l?7p}=f983>7}::o21=4;4=3de>c352z?1b<<61<16>kh5f79~w7`f2909w0;5no0m;6s|2g`94?4|5;li6<7:;<0eb?`?3ty9jn4?:3y>6ce=90?01?hi:g;8yv4al3:1>v3=fe82=0=::ol1jl5rs3df>5<5s48mi7?65:?1bc7?65:p755=838pR>><;<133?7>=2wx?=:50;0x9663283>70=?7;d7?xu48<0;6?u231795<3<5::=6k;4}r132?6=:r78<;4>949>751=n<1v>?i:181[56n278=k4>c09~w6472909w0=>f;:g?855:3;296s|33394?4|5:8:6<7:;<11e?`33ty8>>4?:3y>775=90?01>v3<2582=0=:;;k1j;5rs206>5<5s49997?65:?06d70==a;d:?xu4:>0;6?u233595<3<5:8j6k64}r1154>949>77g=nh1v><6:18185513;2963<238e1>{t;;k1<74?23499>7h;;|q06g<72;qU??l4=20a>4e63ty8>n4?:3y>77e=9j;01>=>:g78yv55l3:1>v3<2b8;`>;4:o0:585rs20f>5<5s499i7?65:?06c>50;0x964e21n01>=<:0;6?xu4;80;6?u232395<3<5:986k:4}r106?6=:r78??4>949>765=n<1vqo=9c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a731=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73>=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73?=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb8694?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm36294?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3d494?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39394?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3ef94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39a94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm40094?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3`594?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm9183>7<729q/?=3:17pl62;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qok7:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn9?::181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn8m50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi9o4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th>m7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=?0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd2=3:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg3329096=4?{%12a?7782B89=5G3268mc5=831d=4;50;9~f05=8381<7>t$23f>4673A9><6F<359jb6<722e:584?::a17<72;0;6=u+30g9556<@:?;7E=<4:ke7?6=3f;297>5;|`65?6=:3:1=;;hd0>5<6=44}c73>5<5290;w)=>e;334>N4=91C?>:4ig194?=h90?1<75rb5d94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm4d83>7<729q/?=3:17pl94;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qo8<:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn;<50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi:<4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th=<7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=m0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd203:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg5?i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg2683:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5cj3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5?=3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50j3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5d;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51l3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b83:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>93:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5f13:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5a?3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50n3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg41<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42m3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4203:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43>3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4383:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg45k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yv472909w0=93;3e?851?3l87p}=1;296~;4>=0:j63<698e7>{t:>0;6?u2376962=:;?i1j>5rs2494?4|V:<019k5f29~w61=838pR>94=5d9b6=z{:21<7750;0xZ6?<5<;1j>5rs2c94?4|V:k018<5f29~w6d=838pR>l4=419b6=z{:i1<7j50;0xZ6b<55rs2g94?4|V:o01885f29~w6`=838pR>h4=459b6=z{=:1<7=n:1v9?50;0xZ17<5<31j>5rs5094?4|V=8018o5f29~w15=838pR9=4=4`9b6=z{=?1<75rs5594?4|V==018k5f29~w1>=838pR964=4d9b6=z{=31<75rs5`94?4|V=h01;<5f29~w1e=838pR9m4=719b6=z{=n1<7=2wx8k4?:2y>70b=?27?=;48;<6e>4?23ty><7>53z?01a::764=4295<3d=:<8<1m63:2;3:1>{t=:0;6>u234f9f>;39?0i70;<:0;6?xu2<3:1?v3<5e8`?826>3i018:51878yv322908w0=:d;f891712m16984>949~w00=839p1>;k:d9>040=m27>:7?65:p12<72:q6?8j5f:?7530:?6=2wx944?:2y>70b=98168<85109>1<<61<1v8o50;1x963c289019?9:01890g=90?0q~;m:181852l3;?70;m:0;6?xu2k3:1>v3<5e822>;2k3;296s|5e83>7}:<8<1=:525e82=0=z{4><55<5s4>::7?6;<7e>4?23ty=<7>52z?753<6i27=<7?65:p24<72;q68<851c9>24<61<1v;<50;0x917128i01;<51878yv042908w0=:d;3g?826>3;o708<:0;6?xu1<3:1?v3<5e82a>;39?0:i6394;3:1>{t><0;68u234f920=:<8<1:852376920=:;21<73?<5:?n6;h4}r:e>5<5sW2m707?:g18yv?72909w0=93;:b?8?7283>7p}61;296~X>9272>7h<;|q:6?6=>r789i47e:?753=2wx5>4?:3y]=6=:1=0m?6s|9583>7}:;?914o529582=0=z{l=1<7=n:1vh650;0x96042h<01h651878yv`d2909w0=:d;d`?852m3lh7p}>0283>7}:;?>1===4=240>4643ty:=?4?:3y>732=98801>8<:031?xu6900;6?u234f954?<5:3483>7}:;;4=247>4523ty:?l4?:3y>732=9:k01>8<:01b?xu6<>0;6?u23769511<5:<86<6m;|q2<5<72;q6?;:519289604282j7p}>c383>7}Y9j801?7p}>c483>7}Y9j?01?=<:0;6?xu6k?0;6?uQ1b489753283>7p}>c683>7}Y9j=01?=n:0;6?xu6k10;6?uQ1b:89727283>7p}>c883>7}Y9j301?:9:0;6?xu6kh0;6?uQ1bc8972d283>7p}>cc83>7}Y9jh01?;=:0;6?xu6kj0;6?uQ1ba8973?283>7p}>ce83>7}Y9jn01?;j:0;6?xu6kl0;6?uQ1bg89703283>7p}>d183>7}:;4=535>4b73ty9>n4?:3y>040=9j801?v3;1782g6=:::81j>5rs310>5<5s4>::7?l5:?176>:50;0x917128i=70<<4;d0?xu5;h0;6?u240495f1<5;9j6k=4}r074?6=:r7?=;4>c99>616=n:1v?:9:181826>3;h563=478e7>{t:=i1<74ef348?o7h<;|q117<72;q68<851b`897352o90q~<:8;296~;39?0:on5224:9b6=z{;?n6=4={<622?7dl2799h4i3:p632=838p19?9:0af?841<3l87p}=7183>7}:;:>4=535>7173ty9nh4?:3y>732=:hi01>;j:3`1?xu5jo0;6?u240496de<5:?n6?ok;|q1g1<72;q68<852b68963d2;i?7p}<0083>7}:<8<1?=?4=27`>6663ty8<>4?:3y>040=;9901>;l:220?xu4800;6?u234f975?<5:?26k=4}r124?6=:r789i4<119>737=n:1v>?i:181852k39:j63<638e0>{t;;h1<764e349>m7h;;|q01=<72;q6?8k56`9>70g=90?0q~=:9;296~;4=00:585234c9b0=z{:?m6=4={<16a?4e?278:?4>949~w6072909w0=91;3:1>;4>;0m96s|37794?4|V:<>70=9a;3:1>{t;?<1<74?2349=57h;;|q022<72;q6?;651878960>2o?0q~=9a;296~;4>00:585237c9b6=z{:;4>m0:585rs24g>5<5s49=o7?65:?02a;4?90:585rs252>5<5sW9<=63<7382=0=z{:=96=4={<157?51m278;?4i3:p72g=838pR>9n;<14f?7>=2wx?:l50;0x96042:=j70=8b;d0?xu4?l0;6?uQ36g8961a283>7p}<7g83>7}:;c552z\0<5=:;1;1j>5rs2:2>5<5s49=?7=8c:?0<4<61<1v>6=:181[5?:278484>949~w6>22909w0:>6;1;6>;40<0m?6s|39;94?4|V:2270=7a;3:1>{t;1k1<76>?3493m7h<;|q0c552z?026<40116?5m51878yv5>83:1>vP<919>7<7=90?0q~=61;296~;4>:085=523839b6=z{:3?6=4={_1:0>;4110:585rs2;;>5<5s49=87=64:?0==3492m7?65:p78<:2;:?85>i3l87p}7}Y;h<01>o8:g18yv5f?3:1?v3;1780e0=:;?>1?l;4=2c4>4?23ty8m54?:3y]7d><5:k26<7:;|q0e<<72;q6?;=53`7896g>2o90q~=l0;296~X4k916?n=51878yv5d;3:1>v3;1780g5=:;j91j>5rs2f2>5<5sW9o=63j<;<1g0?7>=2wx?i:50;0x91712:on70=k4;d0?xu4l<0;6?uQ3e7896be283>7p}7}:<8<1?i;4=2fa>c552z\0`f=:;mn1j>5rs2fg>5<4s49>h7=kc:?026<4lj16?ij51878yv5cm3:1>vP7`6=90?0q~=j0;296~;39?08hh523d29b6=z{:o:6=4={_1f5>;4m:0:585rs2g0>5<5s4>::7=j1:?0a6{t;l=1<7k;;<1f51878yv2683:1>v3<5d874f=:<8:1j>5rs532>5<5sW>:=63;138e7>{t<881<716d34>:>7?65:p042=838pR9?;;<621?`43ty?=84?:5y>70b=<89019?9:530?851<3>:?63;1482=0=zugoj=7>52zJ071=zflk96=4={I100>{imh91<7=;;|lfe=<728qC?>:4}ogb=?6=9rB8?95rndcb>5<6sA9886sae``94?7|@:9?7p`jab83>4}O;:>0qcknd;295~N4;=1vbhoj:182M54<2weilh50;3xL6533tdnn=4?:0yK76251zJ071=zflh96=4>{I100>{imk91<7?tH217?xhbj=0;6=;;|lff=<728qC?>:4}oga=?6=9rB8?95rnd`b>5<6sA9886saec`94?5|@:9?7p`jbb83>6}O;:>0qckmd;297~N4;=1vbhlj:180M54<2weioh50;1xL6533tdno=4?:2yK76253zJ071=zfli96=4<{I100>{imj91<7=tH217?xhbk=0;69uG3268ykcd=3:1>vF<359~j`e12908wE=<4:maf1=83>pD>=;;|lfg=<72;qC?>:4}og`=?6=:rB8?95rndab>5<5sA9886saeb`94?4|@:9?7p`jcb83>7}O;:>0qckld;296~N4;=1vbhmj:181M54<2weinh50;0xL6533tdnh=4?:2yK76253zJ071=zfln96=49{I100>{imm91<7=tH217?xhbl=0;6vF<359~j`b1290:wE=<4:maa1=83=;;|lf`=<728qC?>:4}ogg=?6=:rB8?95rndfb>5<6sA9886saee`94?4|@:9?7p`jdb83>6}O;:>0qckkd;297~N4;=1vbhjj:180M54<2weiih50;1xL6533tdni=4?:2yK76253zJ071=zflo96=4<{I100>{iml91<7=tH217?xhbm=0;6>uG3268ykcb=3:1=vF<359~j`c1290:wE=<4:ma`1=83;pD>=;;|lfa=<728qC?>:4}ogf=?6=9rB8?95rndgb>5<6sA9886saed`94?7|@:9?7p`jeb83>4}O;:>0qckjd;295~N4;=1vbhkj:182M54<2weihh50;3xL6533tdnj=4?:0yK76253zJ071=zfll96=4={I100>{imo?1<7vF<359~j``?2909wE=<4:mac?=838pD>=;;|lfbd<72;qC?>:4}ogef?6=:rB8?95rndd`>5<5sA9886saegf94?4|@:9?7p`jfd83>6}O;:>0qckif;297~N4;=1vbk>?:180M54<2wej=?50;1xL6533tdm53zJ071=zfo:?6=4={I100>{in9?1<7vF<359~jc6?2909wE=<4:mb5?=83;pD>=;;|le4d<72;qC?>:4}od3f?6=9rB8?95rng2`>5<6sA9886saf1f94?7|@:9?7p`i0d83>4}O;:>0qch?f;295~N4;=1vbk??:182M54<2wej54zJ071=zfo;?6=4>{I100>{in8?1<7vF<359~jc7?290:wE=<4:mb4?=838pD>=;;|le5d<728qC?>:4}od2f?6=:rB8?95rng3`>5<6sA9886saf0f94?4|@:9?7p`i1d83>4}O;:>0qch>f;296~N4;=1vbk?4?:0yK76252zJ071=zfo8?6=4>{I100>{in;?1<7vF<359~jc4?290:wE=<4:mb7?=839pD>=;;|le6d<728qC?>:4}od1f?6=:rB8?95rng0`>5<6sA9886saf3f94?4|@:9?7p`i2d83>4}O;:>0qch=f;296~N4;=1vbk=?:182M54<2wej>?50;0xL6533tdm??4?:0yK76252zJ071=zfo9?6=4>{I100>{in:?1<7=tH217?xha;?0;6vF<359~jc5?290:wE=<4:mb6?=838pD>=;;|le7d<728qC?>:4}od0f?6=;rB8?95rng1`>5<6sA9886saf2f94?4|@:9?7p`i3d83>4}O;:>0qch52zJ071=zfo>?6=4>{I100>{in=?1<7:tH217?xh6i=0;6=83;pD>=;;|l2e<<728qC?>:4}o3be?6=9rB8?95rn0ca>5<6sA9886sa1`a94?7|@:9?7p`>ae83>4}O;:>0qc?ne;295~N4;=1vb50;3xL6533td:n<4?:0yK7627>51zJ071=zf8h86=4>{I100>{i9k>1<7?tH217?xh6j<0;6=;;|l2fd<728qC?>:4}o3af?6=9rB8?95rn0`g>5<6sA9886sa1cg94?7|@:9?7p`>bg83>4}O;:>0qc?l0;295~N4;=1vb:182M54<2we=n<50;3xL6533td:o>4?:0yK76251zJ071=zf8i=6=4>{I100>{i9j=1<7?tH217?xh6k10;6=;;|l2ga<728qC?>:4}o3`a?6=9rB8?95rn0ae>5<6sA9886sa1e394?7|@:9?7p`>d383>4}O;:>0qc?k3;295~N4;=1vb51zJ071=zf8n36=4>{I100>{i9m31<7?tH217?xh6lh0;6=;;|l2``<728qC?>:4}o3gb?6=9rB8?95rn0g3>5<6sA9886sa1d394?7|@:9?7p`>e383>4}O;:>0qc?j3;295~N4;=1vb51zJ071=zf8o36=4>{I100>{i9l31<7?tH217?xh6mh0;6=;;|l662<728qC?>:4}o71=?6=9rB8?95rn40b>5<6sA9886sa53`94?7|@:9?7p`:2b83>4}O;:>0qc;=d;295~N4;=1vb8?=4?:0yK76251zJ071=zf<9m6=4>{I100>{i==:1<7?tH217?xh2<80;6=;;|l600<728qC?>:4}o772?6=9rB8?95rn464>5<6sA9886sa55:94?7|@:9?7p`:4883>4}O;:>0qc;;a;295~N4;=1vb8:m:182M54<2we99m50;3xL6533td>8i4?:0yK76251zJ071=zf<>m6=4>{I100>{i=<:1<7?tH217?xh2=80;6=;;|l610<728qC?>:4}o762?6=9rB8?95rn474>5<6sA9886sa54:94?7|@:9?7p`:5883>4}O;:>0qc;:a;295~N4;=1vb8;m:182M54<2we98m50;3xL6533td>9i4?:0yK762i7>51zJ071=zf{I100>{i=?:1<7?tH217?xh2>80;6=;;|l620<728qC?>:4}o752?6=9rB8?95rn444>5<6sA9886sa57:94?7|@:9?7p`:6883>4}O;:>0qc;9a;295~N4;=1vb88m:182M54<2we9;m50;3xL6533td>:i4?:0yK76251zJ071=zf<{I100>{i=>:1<7?tH217?xh2?80;6=;;|l630<728qC?>:4}o742?6=9rB8?95rn454>5<6sA9886sa56:94?7|@:9?7p`:7883>4}O;:>0qc;8a;295~N4;=1vb89m:182M54<2we9:m50;3xL6533td>;i4?:0yK76251zJ071=zf<=m6=4>{I100>{i=1:1<7?tH217?xh2080;64290:wE=<4:m1=2=83;pD>=;;|l6<0<728qC?>:4}o7;2?6=9rB8?95rn4:4>5<6sA9886sa59:94?7|@:9?7p`:8883>4}O;:>0qc;7a;295~N4;=1vb86m:182M54<2we95m50;3xL6533td>4i4?:0yK76251zJ071=zf<2m6=4>{I100>{i=0:1<7?tH217?xh2180;6:3:1=vF<359~j0?4290:wE=<4:m1<2=83;pD>=;;|l6=0<728qC?>:4}o7:2?6=9rB8?95rn4;4>5<6sA9886sa58:94?7|@:9?7p`:9883>4}O;:>0qc;6a;295~N4;=1vb87m:182M54<2we94m50;3xL6533td>5i4?:0yK76251zJ071=zf<3m6=4>{I100>{i=h:1<7?tH217?xh2i80;6=;;|l6e0<728qC?>:4}o7b2?6=9rB8?95rn4c4>5<6sA9886sa5`:94?7|@:9?7p`:a883>4}O;:>0qc;nb;295~N4;=1vb8ol:182M54<2we9lj50;3xL6533td>mh4?:0yK76251zJ071=zf{I100>{i=k;1<7?tH217?xh2j;0;6=;;|l6f3<728qC?>:4}o7a3?6=9rB8?95rn4`;>5<6sA9886sa5c;94?7|@:9?7p`:b`83>4}O;:>0qc;mb;295~N4;=1vb8ll:182M54<2we9oj50;3xL6533td>nh4?:0yK76251zJ071=zf{I100>{i=j;1<7?tH217?xh2k;0;6=;;|l6g3<728qC?>:4}o7`3?6=9rB8?95rn4a;>5<6sA9886sa5b;94?7|@:9?7p`:c`83>4}O;:>0qc;lb;295~N4;=1vb8ml:182M54<2we9nj50;3xL6533td>oh4?:0yK76251zJ071=zf{I100>{i=m;1<7?tH217?xh2l;0;6=;;|l6`3<728qC?>:4}o7g3?6=9rB8?95rn4f;>5<6sA9886sa5e;94?7|@:9?7p`:dc83>4}O;:>0qc;kf;295~N4;=1vb8k=:182M54<2we9h=50;3xL6533td>i;4?:0yK76251zJ071=zf{I100>{i=l31<7?tH217?xh2mk0;6=;;|l6b5<728qC?>:4}o7e1?6=9rB8?95rn4d5>5<6sA9886sa5g594?7|@:9?7p`:f983>4}O;:>0qc96c;295~N4;=1vb:7i:182M54<2we;l>50;3xL6533td7>51zJ071=zf>k86=4>{I100>{i?h>1<7?tH217?xh0i<0;6=;;|l4e`<728qC?>:4}o5bb?6=9rB8?95rn6`3>5<6sA9886sa7c394?7|@:9?7p`8b383>4}O;:>0qc9m3;295~N4;=1vb:l;:182M54<2we;o950;3xL6533td51zJ071=zf>hj6=4>{I100>{i?kh1<7?tH217?xh0jj0;6=;;|l4g5<728qC?>:4}o5`6?6=9rB8?95rn6a0>5<6sA9886sa7b694?7|@:9?7p`8c483>4}O;:>0qc9l6;295~N4;=1vb:m8:182M54<2we;n650;3xL6533td51zJ071=zf>ii6=4>{I100>{i?ji1<7?tH217?xh0km0;6=;;|l4`4<728qC?>:4}o5g6?6=9rB8?95rn6f0>5<6sA9886sa7e694?7|@:9?7p`8d483>4}O;:>0qc9k7;295~N4;=1vb:j7:182M54<2we;i750;3xL6533td51zJ071=zf>nh6=4>{I100>{i?mn1<7?tH217?xh0ll0;6=;;|l4a7<728qC?>:4}o5f7?6=9rB8?95rn6g7>5<6sA9886sa7d794?7|@:9?7p`8e783>4}O;:>0qc9j7;295~N4;=1vb:k7:182M54<2we;h750;3xL6533td51zJ071=zf>oh6=4>{I100>{i?ln1<7?tH217?xh0ml0;6=;;|l4b7<728qC?>:4}o5e7?6=9rB8?95rn6d7>5<6sA9886sa7g794?7|@:9?7p`8f783>4}O;:>0qc9i7;295~N4;=1vb:h7:182M54<2we;k750;3xL6533td51zJ071=zf>lh6=4>{I100>{i?on1<7?tH217?xh0no0;6783:1=vF<359~j=66290:wE=<4:m<52=83;pD>=;;|l;40<728qC?>:4}o:`4?6=9rB8?95rn9a2>5<6sA9886sa8b094?7|@:9?7p`7c583>4}O;:>0qc6l5;295~N4;=1vb5m8:182M54<2we4n650;3xL6533td3o44?:0yK76251zJ071=zf1ih6=4>{I100>{i0jn1<7?tH217?xh?kl0;6dn3:1=vF<359~j=b7290:wE=<4:m=;;|l;`1<728qC?>:4}o:g2?6=9rB8?95rn9f4>5<6sA9886sa8e:94?7|@:9?7p`7d`83>4}O;:>0qc6kb;295~N4;=1vb5jl:182M54<2we4ik50;3xL6533td3hk4?:0yK76251zJ071=zf1o:6=4>{I100>{i0l81<7?tH217?xh?m:0;6b<3:1=vF<359~j=c2290:wE=<4:m<`0=83;pD>=;;|l;a2<728qC?>:4}o:f5<6sA9886sa8dc94?7|@:9?7p`7ec83>4}O;:>0qc6jf;295~N4;=1vb5h?:182M54<2we4k<50;3xL6533tdin<4?:0yK7627>51zJ071=zfkh86=4>{I100>{ijk>1<7?tH217?xhej<0;63:1=vF<359~jgd0290:wE=<4:mfg>=83;pD>=;;|laf<<728qC?>:4}o`ag?6=9rB8?95rnc`g>5<6sA9886sabcg94?7|@:9?7p`mbg83>4}O;:>0qcll1;296~N4;=1vbom=:182M54<2wenn=50;3xL6533tdio94?:0yK76251zJ071=zfki=6=4>{I100>{ijj=1<7?tH217?xhek10;6?uG3268ykdd13:1=vF<359~jgef290:wE=<4:mffd=838pD>=;;|lagf<728qC?>:4}o```?6=9rB8?95rncaf>5<5sA9886sabbd94?7|@:9?7p`md183>4}O;:>0qclk1;296~N4;=1vboj=:182M54<2weni=50;3xL6533tdih94?:3yK76252zJ071=zfkn=6=4={I100>{ijm=1<7?tH217?xhel10;6=;;|la`f<728qC?>:4}o`g`?6=9rB8?95rncff>5<6sA9886sabed94?7|@:9?7p`me183>4}O;:>0qclj1;295~N4;=1vbok=:182M54<2wenh=50;0xL6533tdii94?:3yK76252zJ071=zfko=6=4={I100>{ijl=1<7?tH217?xhem10;6=;;|laaf<728qC?>:4}o`f`?6=9rB8?95rncgf>5<6sA9886sabdd94?7|@:9?7p`mf183>4}O;:>0qcli2;295~N4;=1vboh<:182M54<2wenk:50;3xL6533tdij84?:0yK76251zJ071=zfkl<6=4>{I100>{ijo21<7?tH217?xhen00;6=;;|laba<728qC?>:4}o`eb?6=9rB8?95rnb23>5<6sA9886sac1394?7|@:9?7p`l0583>4}O;:>0qcm?5;295~N4;=1vbn>9:182M54<2weo=950;3xL6533tdh<54?:0yK76251zJ071=zfj:j6=4>{I100>{ik9h1<7?tH217?xhd8j0;6=;;|l`55<728qC?>:4}oa25?6=9rB8?95rnb31>5<6sA9886sac0194?7|@:9?7p`l1583>4}O;:>0qcm>5;295~N4;=1vbn?9:182M54<2weo<950;3xL6533tdh=54?:0yK76251zJ071=zfj;j6=4>{I100>{ik8h1<7?tH217?xhd9j0;6=;;|l`67<728qC?>:4}oa17?6=9rB8?95rnb07>5<6sA9886sac3794?7|@:9?7p`l2783>4}O;:>0qcm=7;295~N4;=1vbn<7:182M54<2weo?o50;3xL6533tdh>o4?:0yK76251zJ071=zfj8o6=4>{I100>{ik;o1<7?tH217?xhd:o0;6=;;|l`7=<728qC?>:4}oa0=?6=9rB8?95rnb1b>5<6sA9886sac2`94?7|@:9?7p`l3b83>4}O;:>0qcm50;3xL6533tdh8<4?:0yK7627>51zJ071=zfj>86=4>{I100>{ik=>1<7?tH217?xhd<<0;63:1=vF<359~jf20290:wE=<4:mg1>=83;pD>=;;|l`0<<728qC?>:4}oa7e?6=9rB8?95rnb6a>5<6sA9886sac5g94?7|@:9?7p`l4g83>4}O;:>0qcm:0;295~N4;=1vbn;=:182M54<2weo8=50;3xL6533tdh994?:0yK76297>51zJ071=zfj?=6=4>{I100>{ik<=1<7?tH217?xhd=10;6=;;|l`1f<728qC?>:4}oa6`?6=9rB8?95rnb7f>5<6sA9886sac4d94?7|@:9?7p`l6183>4}O;:>0qcm91;295~N4;=1vbn8=:182M54<2weh5<50;3xL6533tdo494?:3yK76252zJ071=zfm2=6=4={I100>{il1=1<7vF<359~ja>f2909wE=<4:m`=d=838pD>=;;|lg:4}of;`?6=:rB8?95rne:f>5<5sA9886sad9d94?4|@:9?7p`k9183>7}O;:>0qcj61;296~N4;=1vbi7=:182M54<2weh4=50;0xL6533tdo594?:3yK76252zJ071=zfm3=6=4={I100>{il0=1<713:1>vF<359~ja?f290:wE=<4:m`=;;|lg=f<728qC?>:4}of:`?6=9rB8?95rne;f>5<6sA9886sad8d94?7|@:9?7p`ka183>4}O;:>0qcjn1;295~N4;=1vbio=:182M54<2wehl=50;3xL6533tdom94?:0yK76251zJ071=zfmk36=4>{I100>{ilh31<7?tH217?xhcih0;6=;;|lgec<728qC?>:4}ofa4?6=9rB8?95rne`2>5<6sA9886sadc094?7|@:9?7p`kb283>4}O;:>0qcjm4;295~N4;=1vbil::182M54<2weho950;3xL6533tdon54?:0yK76251zJ071=zfmhj6=4>{I100>{ilkh1<7?tH217?xhcjj0;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd index 6ebbf87..3dfd89e 100644 --- a/cpld/XC95144XL/MXSE.ngd +++ b/cpld/XC95144XL/MXSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$56f5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?01a8EIC6WVey<=>>d:COA4YXg{:;<_^mq4566?m1J@H?P_np3457?k2KGIGKM8Ujbi>?011g?DJB9Vkeh=>?05f8EIC6Whdo<=>?5e9BH@7Xign;<=>93:CM@1=FFM8?7L@K359BJA233HDO995NNE47?DHC0=1J_hk;@QqbZEkcVCEZ<94ARpe[K6d3HYyjR``t1235a=F[{lTbbz?01321>GXNZH27LQISC>24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<0<:?DYA[K69<374A^DPF946601JSK]M<30=f>GXNZH7>>4>>89B[CUE4;9546OPFR@?6;>GXNZH7:364A^DPF91902KTJ^L38?:8EZ@TJ535h6OPFR@\55YNJ\Lo7LQISC]25ZOE]On0MRH\B^31[LDRNm1JSK]M_01\MGSAl2KTJ^LP15]JFP@c3HUM_OQ>5^KAQCbGXNZHT=5QFBTDg?DYA[KU:5RGMUGa8EZ@TJV;TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M86LNCU78FDES9<1IMNZ=5:@BGQt33KOY^85MESP32>DBZ[:yi6LJRS2q[Ziu89:;j6LJRS2q[Ziu89:;=k5MESP3vZYhz9:;DBZ[?27OK]R^KLFVb?00f8F@TUWVey<=>?2e9AAWTXWfx;<=>DBZ[xySca{0122b>DBZ[xySca{012256=E]O;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]>;Eg8@WEX[\IHI^]IUM78@QGDC?1OXLMD169GPDELzo=0HYOLKspe?ARFKBxySca{012255=C\HI@~Qaou2344703Mkm1>17:Fbpd:6681N96K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?014;?@^WIGNNHO6:2C9>6G<2:K76>O2:2C=>6G84:KBGV3OFKZ9?7DLZF39JJ==NFOOY^HJ6;HLJPVRF\L90ECXj;HL\JBBXIGNTNNJ:;HMBGQb>2CDMNZ}6:KLF@TUm2CDNH\]_omw4566n2CDNH\]_omw45669o1BCOK]R^llp5679;l0EBLJRS]mkq67889?7DAMM59JKGU13@EHC@^;;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;8:6G@CR372>OHKZ;>:6G@CR351>OHKZ8>7DALS278MJET<<1BCN]:5:KLGV023@EH_:;4INAP<0=NGJY286G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?k;HMO4Zkrp9:;>2CD^H_}5:KLVR6c3@EY[=Qbuy2345c=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok159N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos23457692^bahazt@]\kw6789837YgbenwwF46<\`gncxzM_^mq4567981_e`k`uu@\[jt789::=<5[ilglqqDXWfx;<=>=109Wmhch}}HTSb|?01207>SDNh1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG0?SVFk2\[MRQnne2345b<^YKTSl`k01235g=QXHUTc>?01a8RUGXWfx;<=>>c:TSEZYhz9:;PWI{xTbbz?013f?SVFz{Uecy>?003g?SocZHGH_Z\94jg8RlbUIDIX[_8;k0g8RlbUIDIX[_8;k3g8RlbUIDIX[_8;k2g8RlbUIDIX[_8;k5g8RlbUIDIX[_8;k4g8RlbUIDIX[_8;k7g8RlbUIDIX[_8;k6g8RlbUIDIX[_8;k93g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE23QUDBH84X^XFVJ0Yffm:;<=74_`lg4567901Tmcj?0121<>Yj}q:;<==4cov1?fu43oyin6h|b^llp5678j1moQaou23457c3oyiSca{012354bhF[VLXNRGMUG58jDUXAFHh7cO\_HMA[JDRNk1eM^Qaou2344ehF[Vddx=>?13a8jDUXff~;<=?o6`NS^llp5679?=0bLaztNG`?kGh}}ENSBLZF49mF@TU>2dII_\>9:lAAWTXNZHn7cLJRS]EWGYHJ\L27cLJRS]JKGchDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYXg{:;<=h4nEVBGNYXg{:;<=?i;oFWEFMXWfx;<=>=6:lGmkJBj2dOecBJ_N@VBa=iL`dGIRa}0123a>hCagFNSb|?01222>hCagEN;6`KioMF52=iL`dDI?94nEkmK@5e3gNbbBKPOCWE3>hCg|~DIn5aDnwwK@YHJ\Lm7cJ`uuMF[kis89::==5aDnwwK@Yig}:;<0:lGkprHMVddx=>?1333?kBh}}ENSca{0122746>7028jAir|FOTbbz?013;55=iLfCHQaou2344?33gFO_55aLEQ\BVDc3gFO_RH\B^KAQC>?003`?kJC[Vey<=>>2b9mHAUXg{:;<<=l;oNGWZiu89::8n5aLEQ\kw6788?h7cBKS^mq4566>j1e@I]Pos23441d3gFO_Ra}0122?00;7?kJPM<1e@ZK>c:lOS@Yffm:;<=j4nMUF[dhc89:;=i5aLVG\ekb789:9h6`CWD]bja67899o7cBXE^cm`5678=90bBK;;oMF51=iGL837cAJ_N@VB<=iGoy`lw?129mKscudhs;SLmcr^KMR4e?0331?kIqm{fju=Qnne234556:2dDzh|cax2\ekb789:?=?5aOwgqhd7Whdo<=>?5008jJpbzekrhUIZ?0b_O\149mVDU5=2dYM^=:;oPBW13hRLZUBCOj4nTFP[LIEWFH^Jn5aUEQ\ekb789:o7c[KS^cm`56788n0bXJ\_`lg4567:j1eYI]Pnnv3457c3g_O_R``t123542?0e9mQRCXign;<=>>d:lVS@Yffm:;<=j4nTUF[dhc89:;895aVLC;?kPJIVCDNi5aVLC\MJDXGK_Mh6`YM@]\ekb789:n7cXBA^]bja6789;h7cXBA^]lv5678m1eZ@OP_np34566l2d]ALQPos23454c3g\FMRQ`r12346bhQEHUTc>?014g?kPJIVUd~=>?06f8jSKFWVey<=>?8e9mRHGXWfx;<=>6c:lUIDYffm:;<=j4nWOB[dhc89:;=i5aVLC\ekb789:9h6`YM@]bja67899o7cXBA^cm`5678=n0b[CN_`lg4567=m1eZ@OPaof34561l2d]ALQnne2345133g\[M85aVQC21>hQXH837cX_A^DPFa=i^YKTJ^LPOCWE<>hQXHUBCOj4nWRB[LIEW@H^J>5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>a8c8DE~6im0M694>{R`3>6>?28i36<=;6473>4d5ljqe?5;51:l0<3<13-93<7=88:Pec<4010:o54>354615<6j;nn7^?n9;67=98>51c0f4>Ufn3>?47=51265106=9k8n=6j>ae83>4<6sZh;6>67:0a;>453>5yTgf94?7=939<4v]m0;1;{#9jk189l4$023>5=#nl0?8i5+fg8267=e9hn1<7:<:849e0}O;;=:0cg?_g72;q36o4r$0c4>62d3`ki6=44o2`a>5<5<5<5<5<5<5<6=44i560>5<5<5<>o6880;66a>i4lh0;66a;2983>>i4k?0;66a>o3>i6mo0;6)?k2;3fa>h6l80;76a>ee83>!7c:3;ni6`>d082?>i6n10;6)?k2;3fa>h6l80976a>f683>!7c:3;ni6`>d080?>i6n?0;6)?k2;3fa>h6l80?76a>f483>!7c:3;ni6`>d086?>i6n=0;6)?k2;3fa>h6l80=76a>f283>!7c:3;ni6`>d084?>i6n;0;6)?k2;3fa>h6l80376a>f083>!7c:3;ni6`>d08:?>i6n90;6)?k2;3fa>h6l80j76a>eb83>!7c:3;ni6`>d08a?>o2j3:1(;:k67=d386e>h6l80876g:5;29 4b52:598m02=83.:h?4:a:l2`4<232c>?7>5$0f1>0gm6`>d084?>o293:1(50;&2`7<2i2d:h<46;:k7b?6=,8n968o4n0f2>d=d386e>h6l80i76g;d;29 4b52:b98m1e=83.:h?4:a:l2`45$0f1>0gm6`>d08e?>o193:1(42<3`?h6=4+1e091d=i9m;1=854i4494?"6l;0>m6`>d0822>=e;1l1<7?m:5`91c}O;;=:c38^d6=9r31q)?n7;17g>\4=80:wl75}hc5>5<5<7?m;o3g5?4<3`;<6=4+1e095g=i9m;1?65f1483>!7c:3;i7c?k1;68?l73290/=i<51c9m5a7==21b=>4?:%3g6?7e3g;o=784;h31>5<#9m81=o5a1e393>=n980;6)?k2;3a?k7c93207d??:18'5a4=9k1e=i?59:9jb?6=,8n96d=5<#9m81=o5a1e39`>=n:=0;6)?k2;3a?k7c93o07d<<:18'5a4=9k1e=i?5f:9a72e=83;1<7>t$271>46a3A96=:0`a?>{e;>n1<7?50;2x 6352:?m7E=8b:J01`=#;181=o84$0c;>12d3f;h;7>5$2:1>4de32wi?k850;796?0|,:?96<>6;I14f>N4=l1/=?>56:&2e=<3xof=3:17dok:188md7=831bn?4?::m2ag<722h:io4?:783>5}#;181==l4Z272>4}f13wb=l<50;&0<7<5i;10c4<729q/?5<539;8k4de290/?5<51c`8?xu6mk0;6?uQ1d`894ce28hi7p}m2;296~Xe:27:io4>1d9~wdb=838pRlj4=0ga>7g53tyj97>52z\b1>;6mk0j96s|a083>7}Yi816=hl5a79~w46a2909w0?jb;10e>;4?o0:no5r}c634?6==381:v*<53824<=O;>h0D>;j;%314?0<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e;o=1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb522>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=59;294~"4=;0?96F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e5;29 6>528;n76g>1783>!5?:3;:i65f10594?"40;0:=h54i03;>5<#;181=t$271>13<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=n98?1<7*<83825`=5$2:1>47b32c:=54?:%1;6?76m21d=o:50;&0<7<6jk10qo?>c;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c101?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg54>3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl<3683>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;:21<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?>750;194?6|,:?969>4H25a?M52m2.84?4528hi76sm14594?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8?36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c36=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:9l4?:583>5}#;<818<5G36`8L63b3-93>7=i;%3b528hi76sm3gc94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn>hl:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5al3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`0bc<72=0;6=u+340904=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e9oh1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0d`>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f4`c29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?ie;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6no0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f76529086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;3i6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0:g?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th95i4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a6t$271>4463A96=:0`a?M5?921vn?7i:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1e5<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5i80;694?:1y'704=<81C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>4de32wi?:>50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c145?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg50:3:1?7>50z&017<4?h1C?:l4H27f?!5?:39<56*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?:=50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f61329096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=85;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c142?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg50?3:187>50z&017<392B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f626290>6=4?{%166?253A96=:03f?>o69:0;6)=72;32a>=n98>1<7*<83825`=5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a715=8381<7>t$271>4463A96=:0`a?M5?921vn>:;:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg53=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl<4783>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`002<72<0;6=u+340907=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?l76<3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:9o6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>=j:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`07c<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6;k0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:508L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?>e:9l5g2=83.84?4>bc98yg73<3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=9;50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f42129086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8><6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c37h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:844?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b4`83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`27f<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6;m0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<=j:187>5<7s-9>>7:>;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65`1c694?"40;0:no54}c30b?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7383:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=9?50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm15094?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yve=83>pRn5210c9545<5:>:6;<176?7682wxh7>54z\g?876i3;:863<408257=:;=91=<>4}rg94?2|Vl16={tn3:18vPi;<32e?76>278?n4>139>512=98;0q~??:186[7734;:m7?>7:?004<69=16?9;51028942328;97p}>1;297~X6927:=l4>199>76e=98;0q~?=:187[7534;:n7?>1:?035<69;16=9=51038yv74290?wS?<;<32f?76:278;=4>109>515=9880q~?;:187[7334;:n7?>3:?035<69:16=9=51018yv72290?wS?:;<32f?76<278;=4>159>515=98>0q~?8:184[7034;:n7?>5:?070<69816?kl5102897?d28;;70=81;325>;6<80:=<5rs0;94??|V83013;:<63477349<=7?>2:?27g<69916=9851008yv7f290hwS?n;<32f?76?278?84>139>501=98:01>hn:031?87aj3;:<63>fb8254=::0l1=47534;?;7?>0:?20<<69816=9o51038yv7d2902wS?l;<32f?760278?:4>119>50?=98:01>hk:033?87am3;:<63=9d8255=:;>91=<>4=061>4763ty9?7>53z\17>;69h0:=<52352954763<418257=z{>h1<7=t^6`8916628;;70=ia;325>{ti?0;6>uQa79>7c0=i8168=>5a09~w47>2909wS?>9:?25f<6j=1v1b8254=z{8;i6=4={<32f?7e<27:=n4>139~w45f2909wS?488257=z{89h6=4={<30g?7e<27:?i4>139~w45c2909w0?;6;l0:=<5rs01f>5<5s4;8i7?m4:?27c<69;1v<=i:181874n3;i863>418257=z{8>;6=4={<374?7e<27:8<4>139~w4262909w0?;1;3a0>;6<;0:=?5rs060>5<5s4;??7?m4:?27c<6981v<:;:181873<3;i863>3d8257=z{8>>6=4={<371?7e<27:8l4>139~w4212909w0?;6;3a0>;6;j0:=<5rs064>5<5s4;?;7?m4:?27f<69;1v<:7:18187303;i863>3d8256=z{8>26=4={<37=?7e<27:8=4>109~w42f2909w0?;a;3a0>;6;m0:=<5rs075>5<5sW;>:63>5`82f1=z{8?<6=4={<363?7e<27:9l4>139~w43?2909w0?:8;3a0>;6=h0:=<5rs07:>5<5s4;>57?m4:?21d<69:1v:181850k3;;i63;018b`>{t9k81<74e0349m:7l=;|q2bd<72;qU=ko4=321>4d33ty:jo4?:3y>5cd=9k>01;|q2ba<72;q6=kj51c68976728;97p}>fd83>7}:9oo1=o:4=321>4753ty:jk4?:3y>5c`=9k>01?>>:032?xu5890;6?u221295g2<5;::6=?51c68976528;:7p}=9`83>7}Y:0k01?o>:0`7?xu51k0;6?u228`95g2<5;3m64m51c6897g628;:7p}=9e83>7}::0n1=o:4=3c3>4763ty95h4?:3y>601?o?:031?xu51o0;6?u228d95g2<5;k:6l>51c6897g628;87p}<3583>7}Y;:>01>=6:0`7?xu4;<0;6?u232795g2<5:926;|q073<72;q6?>851c68965?28;:7p}<3683>7}:;:=1=o:4=21;>4753ty8?54?:3y>76>=9k>01>=6:031?xu4;k0;6?uQ32`8965a28h?7p}<3b83>7}:;:i1=o:4=21g>4763ty8?i4?:3y>76b=9k>01>=i:032?xu4;l0;6?u232g95g2<5:9m651c68962128;97p}<4083>7}:;=;1=o:4=21f>4763ty88?4?:3y>714=9k>01>:8:032?xu4<:0;6?u235195g2<5:><67}:;=?1=o:4=264>4733ty88;4?:3y>710=9k>01>=k:031?xu4<>0;6?u235595g2<5:9n67}:;>:1=o:4=254>4753ty8;<4?:3y>727=9k>01>99:032?xu4?;0;6?u236095g2<5:=>6;|q036<72;q6?:=51c68961228;97p}<7583>7}:;>>1=o:4=254>4743ty8;84?:3y>723=9k>01>99:031?xu4??0;6?u236495g2<5:=<6;|q0b3<72;q6?k851d`891672k80q~=i8;296~;4n?0jh63hn:0`7?85an3;:>6s|3g`94?4|5:li6{t;on1<74d3349mj7?>3:p7cc=838p1>hj:0`7?85an3;:=6s|41294?1|5=:;6109>69=:032?873=3;:<63>478254=z{=:96=4={<634?g234>;=7?m4:p05c=838pR9>j;<1e3?7682wx89;50;6xZ12234;>47?>0:?031<69916=9651028yxd3<00;6>:5c98f3~N4=l1/?8<51g;8^d6=:r21m7s+1`5971eh4?::m1ac<722e?>=4?::k0e5<722e?=>4?::m742<722e8n94?::k06f<722c5;n1e6?6=3`9o;7>5;h671?6=3`>::7>5;h3ee?6=3f>:<7>5;h0:e?6=3f8o;7>5;n1ff?6=3f;nj7>5$0f1>4cb3g;o=7>4;n3f`?6=,8n965$0f1>4cb3g;o=7<4;n3e3?6=,8n965$0f1>4cb3g;o=7:4;n3e1?6=,8n965$0f1>4cb3g;o=784;n3e7?6=,8n967>5$0f1>4cb3g;o=764;n3e5?6=,8n965$0f1>4cb3g;o=7o4;n3fg?6=,8n96!7c:3;37c?k1;38?l72290/=i<5199m5a7=:21b=94?:%3g6?7?3g;o=7=4;h30>5<#9m81=55a1e390>=n9;0;6)?k2;3;?k7c93?07d?>:18'5a4=911e=i?56:9j55<72-;o>7?7;o3g5?1<3`l1<7*>d382<>h6l80376gj:18'5a4=911e=i?59:9j`?6=,8n96<64n0f2>d=7?7;o3g5?b<3`896=4+1e095==i9m;1i65f2083>!7c:3;37c?k1;d8?l47290/=i<5199m5a7=9910e1:9j5`<72-;o>7?7;o3g5?7532c:h7>5$0f1>4>5<#9m81=55a1e3951=:183!52:3;;j6F<7c9K70c<,:296>66;%3b7<1s-9>>7??9:J03g=O;=<=i0V>;>:0yb=?{ni<0;66gnd;29?lg62900eo<50;9l5`d=831i=hl50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36d94?7=83:p(>6=:2::?j7ej3:1(>6=:0`a?>{t9lh1<77}Yj;16=hl510g8yvgc2909wSok;<3ff?4f:2wxm84?:3y]e0=:9lh1m85rs`394?4|Vh;01v3>ec807d=:;>l1=ol4}|`2ff<72<096;u+340955?<@:=i7E=:e:&265<13-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj>o1<7=52;6x 63528:37E=8b:J01`=#9h2189m4Z272>4}f13wbm<4?::ka6?6=3f;nn7>5;c3ff?6==381:v*<53824d=#9h2189m4Z272>4}f13wd=hl50;9j5a5=831bn?4?::kb`?6=3`k:6=44b02b>5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61b290:6=4?{%1;6?7e>2e:o:4?:%1;6?7ej21vo<50;0xZg4<58:j60`8b2>{tim0;6?uQae9>55g=:h80q~?k3;296~X6l:16==o532c8yv7bj3:1>vP>ec9>55g=9kh0q~=:f;296~;68h0j963<7d82g2=zuk;1<7?50;2x 63c2=1/?8<511d8 6>52:227)?n8;67g>i68l0;6)=72;3af>=z{8oi6=4={_3ff>;6mk0:io5rsc094?4|Vk801;<3ff?g63ty96=4<{<3ff?7c;27:io4nd:?2>46b3-9>h7;4}|`16=<72:0969u+340955><@:=i7E=:e:&265<13-;j47:;c:X014<6sh31qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<6sh31qb?jb;29?l7c;3:17dl=:188mdb=831bm<4?::`24d<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=1d9~wd7=838pRl?4=02b>d06s|1e194?4|V8n870??a;10e>{t9lh1<74e03twi=7>51;294~"4=m0?7)=:2;33b>"40;08445+1`:901e63>ec8a6>{ti80;6?uQa09>5`d=i81v?4?:2y>5`d=9m901>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj57s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`;9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd58=0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=80:wl75}hc2>5<\4=80:wl75}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj:8i6=4<:387!52:3;;46F<7c9K70c<,88;6;5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk26pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk26pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4f;3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{e:k91<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk8h47>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi>ij50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7c529086=4?{%166?7e02B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=<4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7`e=8381<7>t$271>4463A96=:0`a?M5?921vn9?>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg45=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=2783>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:;=1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?o750;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7b229096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c1a1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?=94?:283>5}#;<81=o64H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb2d0>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm43194?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj=8?6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c611?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?>;4?:483>5}#;<818?5G36`8L63b3-93>7=i;%3b4;29 6>528;n76a>b583>!5?:3;in65rb2gf>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?k?50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c06N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg4213:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=5`83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`11g<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;<;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?8>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg41:3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm27494?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn?87:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb34:>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5>j0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a63c=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:?l1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<82;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c047?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9;94?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f71?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;=26=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c04e?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg40j3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>:k50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm26d94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj;2;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?6>:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1<1<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd50<0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<7b;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd50j0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=o64H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb3;3>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f7?629096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo<62;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0:7?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:jk1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0``?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9oh4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a6f`=83?1<7>t$271>14<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm2e394?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qob99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn?m?:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1g4<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>n<50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2b194?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj;i?6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0`1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9o;4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:k<1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>o950;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2c:94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:kk1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0ag?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:kn1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0ab?6=<3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;k>6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0bf?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9mn4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:ho1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>lh50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7d729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd5j;0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?o9:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb3c4>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f7g?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;k26=4;:183!52:3>:7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21d=o:50;&0<7<6jk10qob89K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?mb:9~f4db29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?mf;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6k90;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8i96=4::183!52:3>97E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21b=<:50;&0<7<69l10cc283>6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f75d29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;><6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c07b?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th99=4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a607=8381<7>t$271>4463A96=:0`a?M5?921vn?;=:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg42;3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=5583>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:5$2:1>4de3A93=65rb31g>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5<90;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b1<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm25794?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg43>3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<;9;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c07e?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:=h1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>9m50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c07`?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg43m3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>=850;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c025?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9=?4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a645=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:8>1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb336>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e:821<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb324>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=54;294~"4=;0:n45G36`8L63b3-93>7?m7:&2e=<33;29 6>528;n76a>b583>!5?:3;in65rb32:>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f76f290?6=4?{%166?263A96=:03f?>o69:0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c03`?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:9o1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c024?6=<3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj=:36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c63=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a05d=83>1<7>t$271>4d>3A96=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`15a<72=0;6=u+340904=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e:8o1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb33e>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>?<50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c017?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:;>1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c01e?6=<3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98k4d3290/?5<51c`8?xd5;;0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a662=8381<7>t$271>4463A96=:0`a?M5?921vn?=::181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg44>3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=3683>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e::21<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb31:>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm23a94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:;o1<7:50;2x 63528h27E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10c1<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10q~m50;0xZf=::;<51038yvc=838pRh5227:954763c=98;0q~??:181[77348<87?>1:p54<72;qU=<5226c95477}Y9:16>5851038yv732909wS?;;<0;g?7692wx=;4?:3y]53=::<21=<<4}r34>5<5sW;<70<60;326>{t9m0;6?uQ1e9>636=9880q~?j:181[7b348=:7?>2:p5c<72;qU=k5227a95446s|2083>7}Y:816>:651008yv452909wS<=;<04a?76:2wx>>4?:3y]66=::1>1=<<4}r07>5<5sW8?70<7a;326>{t?k0;6<<75b39>5ge=j;16>nm5100897ea28;:70;5k;0:=?522b59546<5;kn6119>602=98:01?:6:032?84693;:<63=128254=::;;1=<>4=316>4773ty55z?4a?7bj279o>4>119>605=98:01??;:033?845j3;:=6s|a783>f}Yi?16><75a09>5ge=i816;h4n1:?16=o4n1:?1e64n1:?1g=119~w4d62908w0=8c;33a>;5900jh63>bb8b`>{t9ki1<79t=0``>4ce348hh7?>0:?1ec<69916>8?51028976?28;970<>b;326>;5;;0:=<5rs0`g>5<5s4;io7o:;<3`7?7e<2wx=ok50;0x94db28h?70?l2;326>{t9kl1<74d334;h>7?>3:p5f6=838p1{t9li1<7f183>7}Y9o:01?8<:0`7?xu6n80;6?uQ1g38970>28h?7p}>f383>7}Y9o801?8i:0`7?xu6n:0;6?uQ1g18971228h?7p}>f583>7}Y9o>01?9m:0`7?xu6n<0;6?uQ1g7897>628h?7p}>f783>7}Y9o<01?68:0`7?xu6n>0;6?uQ1g5897>c28h?7p}>f983>7}Y9o201?7<:0`7?xu6nh0;6kuQ1gc897bc28;;70;5k=0:==522`g9547<58i96;<066?768279984>119>617=98;01??=:033?846=3;:<63;098255=::;81=475348887?>0:p655=83>p1?<8:0`7?825>3;:=634}r030?6=:r79<94>ec9>670=98:0q~63=1182f1=z{;:=6=4={<032?7e<279

109~w7602909w0;58h0:=>5rs32;>5<5s48;47?m4:?14g<69;1v?>6:18184713;i863=0b8257=z{;:j6=4={<03e?7e<279==4>129~w76e2909w0;58m0:=<5rs32`>5<5s48;o7?m4:?14a<69;1v?>k:181847l3;i863=0d8257=z{;:n6=4={<03a?7e<279109~w76a2909w0;5990:=?5rs332>5<5s48:=7?m4:?14=<6981v??=:181846:3;i863=098256=z{;;86=4={<027?7e<279109~w7732909w0<>4;3a0>;5800:=<5rs336>5<5s48:97?m4:?14<<69;1v??9:181846>3;i863=0g8257=z{;;<6=4={<023?7e<279==4>109~w77?2909w0<>8;3a0>;58h0:=<5rs33:>5<5s48:57?jb:?160<6991v??n:18184613k>70<>c;3a0>{t:8h1<74d3348987?>1:p64b=838p1??k:0`7?845;3;:=6s|20g94?4|5;;n6{t:;:1<74d33489>7?>4:p677=838p1?<>:0`7?846k3;:>6s|23094?4|5;896?=50;0x974428h?70<=4;326>{t:;>1<74d3348:o7?>1:p673=838p1?<::0`7?845?3;:=6s|23494?4|5;8=6?650;0x974?28oi70{t:;31<7g4<5;9:6?o51c68975728;:7p}=2c83>7}::;h1=o:4=30g>4763ty9>n4?:3y>67e=9k>01?;|q16`<72;q6>?k51c68974a28;97p}=2g83>7}::;l1=o:4=313>4753ty9?=4?:3y>666=9k>01?=>:032?xu5;;0;6?u222095g2<5;8n6>=51c68974e28;97p}=3583>7}:::>1=o:4=30g>4753ty9?84?:3y>663=9k>01?;|q172<72;q6>>951c68974d28;:7p}=3983>7}:::21=o:4=30`>4753ty9?44?:3y>66?=9k>01?=>:031?xu5;h0;6<8t=31b>4ce349i57?>0:?11=<69816>8751028970728;:70<91;324>;5>?0:=<522759546<5;;<05`?768279;?4>109>625=98:01?97:032?84013;:<63=7d8254=::>l1=<>4=3:7>476348397?>0:?15l5102897?728;:70<61;324>{t::h1<7g4<5;>n6>m51c68975a28;:7p}=3e83>7}:::n1=o:4=36a>4753ty9?h4?:3y>66c=9k>01?:k:031?xu5;o0;6?u222d95g2<5;>?6;|q105<72;q6>9>51c68972d28;:7p}=4083>7}::=;1=o:4=366>4763ty98?4?:3y>614=9k>01?:::031?xu5<:0;6?u225195g2<5;>=69:51c68972?28;97p}=4483>7}::=?1=o:4=36:>4753ty98;4?:3y>610=9k>01?:n:031?xu5<>0;6?u225595g2<5;9m69651c68972b28;:7p}=4883>7}::=31=o:4=36b>4763ty98l4?:3y>61g=9k>01?:m:032?xu5h69m51c68972c28;:7p}=4e83>7}::=n1=o:4=36f>4753ty98k4?:3y>61`=9k>01?:;:031?xu5=90;6?u224295g2<5;>;68?51c68972628;97p}=5383>7}::<81=o:4=361>4763ty99>4?:3y>605=9k>01?:=:030?xu5==0;6?u224695g2<5;>86;|q110<72;q6>8;51c68972428;97p}=5683>7}::<21=o:4=37a>4763ty9954?:3y>60?=9k>01?;n:031?xu5=00;6?u224c95g2<5;?i6;>51c68970428;:7p}=6183>7}::?;1=o:4=341>4753ty9:<4?:3y>634=9k>01?8<:031?xu5><0;6?u227495g2<5;<26;|q123<72;q6>;951c68970?28;97p}=6683>7}::?21=o:4=34:>4753ty9:o4?:3y>63e=9k>01?8i:032?xu5>j0;6?u227f95g2<5;;k51c68970a28;97p}=7083>7}::>81=o:4=356>4763ty9;?4?:3y>625=9k>01?9;:031?xu5?:0;6?u226695g2<5;=>6:651c68971e28;:7p}=7983>7}::>31=o:4=35b>4753ty9;44?:3y>62g=9k>01?9m:031?xu5?m0;6?u226g95g2<5;2:6;|q13`<72;q6>:h51c6897>728;97p}=7g83>7}::1:1=o:4=3:2>4753ty94>4?:3y>6=2=9k>01?68:032?xu50=0;6?u229795g2<5;2=65851c6897>028;97p}=8883>7}::1k1=o:4=3:g>4763ty94l4?:3y>6=d=9k>01?6l:031?xu50k0;6?u229a95g2<5;2o64>51c6897?428;:7p}=9183>7}::0;1=o:4=3;1>4753ty95<4?:3y>6<4=9k>01?7<:031?xu51h0;6?uQ28c8917628;;7p}=a283>47|5;k86139>6f`=98901?m?:032?84d>3;:>63=ae8255=::h21=4773488o7?>2:?17`<69916><851028976f28;970<>d;325>;59l0:==5223c9547<5;9=6l=5b39>6dg=9k>0q~52z?1e3<6j=16>lo51038yv4f?3:1>v3=a682f1=::h31=b59>6dg=9880q~52z?1eg<6j=16>o<51008yv4fk3:1>v3=ab82f1=::h<1=b59>6d0=9880q~52z?1ec<6j=16>l951038yv4e83:1>v3=b182f1=::h=1=<<4}r0a5?6=:r79n<4>b59>6d?=9890q~515y>6g5=9lh01?j9:032?84di3;:=63=cg8251=::j?1=<>4=3`5>476348j97?>0:?1ef<69816>o>5102894da28;;70<;f;324>;5;m0:==522529547<5;:=6;<023?76:279=54>119>64b=98801??i:033?845i3;:>63=368255=z{;h?6=4={<0a7?d5348ij7?m4:p6g3=838p1?l::0`7?84e>3;:>6s|2c494?4|5;h=6o950;0x97d028h?70{t:k21<74d3348im7?>2:p6g?=838p1?l6:0`7?84en3;:?6s|2cc94?4|5;hj6ol50;0x97de28h?70{t:ki1<74d3348ih7?>2:p6gb=838p1?lk:0`7?84em3;:=6s|2cg94?4|5;hn6n>50;0x97e728h?70{t:j;1<74d3348i;7?>2:p6f4=838p1?m=:0`7?84e03;:=6s|2b194?4|5;i86n:50;0x97e328h?70{t:j?1<74d3348ii7?>2:p6f0=838p1?m9:0`7?84e13;:=6s|2b594?4|5;i<6n650;3284d03;nn63=cd8255=::k?1=<>4=3a3>475348jn7?>0:?1ef<69;16>o?5102894db28;;70<:0;324>;5<10:=<522149544<5;;<6129>676=98:01?4d33ty9ol4?:3y>6fg=9k>01?j=:032?xu5kj0;6?u22ba95g2<5;n:6;|q1ga<72;q6>nj51c6897b728;97p}=cd83>7}::jo1=o:4=3f0>4753ty9ok4?:3y>6f`=9k>01?mm:031?xu5l90;6?u22e295g2<5;n:6i?51c6897b528;97p}=d383>7}::m81=o:4=3f0>4763ty9h>4?:3y>6a5=9k>01?mm:032?xu5l=0;6?u22e795g2<5;n=6i94=3g1>4d33ty9hi4?:3y>6ab=9k>01?k=:031?xu4:k0;6>uQ2dd8964e28oi70:?a;324>{t;;i1<7;t^20`?84d:3;:=63=438257=::891=<<4=310>4773ty8>h4?:by]77c<5;im6109>6g1=98;01?ln:032?844k3;:=63=478254=::8=1=476348:n7?>1:?17<<6991v>6k:181855j3h970{t;h:1<78t^2c3?826<3;:>634=2gf>47734>;n7?>2:p7g2=838pR>l;;<1a0?7bj2wx?o850;0x96d32h;01>l::0`7?xu4j10;6?u23c69f7=:;k31=o:4}r1g3?6=:rT8h:523g2954652z\0ag=:;li1=o:4}r1f`?6=:r78in4>119>7c7=9k>0q~=je;296~;4ml0:n9523g3954452z?0ac<6j=16?k?51018yv5a83:1>v352z?0b6<6j=16?k:51008yv27?3:1>vP;069>05e=9k>0q~:?8;296~;3810:n95241`9547;57>52z?74<<6j=168=l51018yv27i3:1>v3;0`82f1=:<9i1=<<4}r63f?6=:r7?b59>05e=98;0q~:>0;296~X399168vP;129>042=9k>0q~:>6;296~X39?168?;51028yv2583:1>vP;219>077=9k>0q~:=2;296~;3:80:==5243495g29?7>52z?766<6j=168?851008yv25<3:1>v3;2582f1=:<;<1=<=4}r611?6=:r7?>84>b59>070=98>0q~:;5;291~X3<<168<:5103896`428;;70:=4;324>;4mo0:==5r}c1:2?6=9:0=m79;{I16a>"4=;0:?55+1`5971e>4?::k0e5<722e5;h5a>5<5<5<>6=44i535>5<i6=44o3f4>5<5<>d4?j0;6<4?:1y'704=99l0D>9m;I16a>"40;08445+1`:901e3}#;<81==74H25a?M52m2.:m54;4b9Y707=9rk26pgn5;29?lgc2900el?50;9jf7<722e:io4?::`2ag<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=ec83>7}Y9lh01vPm2:?2ag<69l1vlj50;0xZdb<58oi6?o=;|qb1?6=:rTj963>ec8b1>{ti80;6?uQa09>5`d=i?1v<>i:18187bj398m63<7g82fg=zuk=m6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e9ki1<7;52;4x 63528:27E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb1?6=3`ko6=44i`394?=nj;0;66a>ec83>>d6mk0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181?574o0`a>5<#;181=ol4;|q2ag<72;qU=hl4=0ga>4de3tyi>7>52z\a6>;6mk0:=h5rs`f94?4|Vhn01vPn5:?2ag5<5s4;nn7=099K72d<@:?n7)?n8;67g>\4=80:wl75}hc2>5<\4=80:wl75}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj;n1<7;52;4x 63528:27E=8b:J01`=#9h2189m4Z272>4}f13wbm84?::kb`?6=3`k:6=44ic094?=h9lh1<75m1d`94?0=83:p(>6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>6>>3f;in7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?mb:pf7<72;qUn?521d`954c6s|a483>7}Yi<16=hl5a49~wd7=838pRl?4=0ga>d052z?2ag<4;h16?:h51c`8yxd5=3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d?=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d?=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi=>?50;196?2|,:?96<>7;I14f>N4=l1/=?>56:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:r.89?4>089K72d<@:?n7)?n8;67g>\4=80:wl75}hc6>5<>oe:3:17b?jb;29?g7bj3:1:7>50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;08445`1c`94?"40;0:no54}r3ff?6=:rT:io521d`95gd7}Yim16=hl52`08yvg22909wSo:;<3ff?g23tyj=7>52z\b5>;6mk0j:6s|11d94?4|58oi6>=n;<14b?7ej2wvn<:m:186>7<1s-9>>7??9:J03g=O;{`;9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=m6=4>:183!5?:39356a>bc83>!5?:3;in65rs0ga>5<5sW;nn63>ec82fg=z{k81<7d30g83>7}:9lh1?>o4=25e>4de3twi=;650;796?0|,:?96<>6;I14f>N4=l1/=l6545a8^63628qj57sfa483>>ofl3:17do>:188mg4=831d=hl50;9a5`d=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>l1<7?50;2x 6>52:227b?mb;29 6>528hi76s|1d`94?4|V8oi70?jb;3af>{tj;0;6?uQb39>5`d=98o0q~ok:181[gc34;nn73}#;<81==74H25a?M52m2.:m54;4b9Y707=9rk26pgn5;29?lgc2900el?50;9jf7<722e:io4?::`2ag<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=ec83>7}Y9lh01vPm2:?2ag<69l1vlj50;0xZdb<58oi6?o=;|qb1?6=:rTj963>ec8b1>{ti80;6?uQa09>5`d=i?1v<>i:18187bj398m63<7g82fg=zuk;>>7>55;092~"4=;0:<45G36`8L63b3-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj8==6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e9m>1<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk;o47>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi=8:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f4g329096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo7?:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb05:>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?j8;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6m00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;j31<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;o50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm17`94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<8k:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`20a<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd69m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8?;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<;>:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2=a<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd61l0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8k;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2`d<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi=ih50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c3f4?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7b93:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>e383>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9l91<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=h:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f4c229086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8o=6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb0f`>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6lm0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=o74H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65`1c694?"40;0:no54}c3g2?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7c?3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>ij50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7c529096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0fh0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj8?o6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c36b?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9?:1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;?50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f40529096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?93;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c350?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg71=3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;850;194?6|,:?969>4H25a?M52m2.84?4528hi76sm14g94?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg5>29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:k1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=m:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5d29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=k:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb2g94?2=83:p(>;=:538L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?mb:9~f40a29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8=;6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c345?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:;?4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a525=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9>>1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?85;290?6=8r.89?4;1:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65f10194?"40;0:=h54o0`7>5<#;181=ol4;|`:6?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th2?7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th297>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?j7e<3:1(>6=:0`a?>{e1>0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg7en3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>c183>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9j;1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0a1>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f4e429086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8i?6=4<:183!52:3;i46F<7c9K70c<,:296c483>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2g3<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj::1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2394?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?>4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a71<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4=3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=:7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21d=o:50;&0<7<6jk10qo=8:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|q11?6=:rT9963=5;3ff>{t:m0;6<>t^3f897b=9lh01<8n:032?871j3;:<63>4e8254=:9=o1=<>4=0;g>47634;2i7?>0:?2`c<69;16>ij51028943a28;970=m:033?870<3;:=6364;324>;6jl0:==52328255=z{;o1<7:t=3f9f7=:9hi1n?521649e0=:;l0:n95rs3d94?4|5;n1m8523682f1=z{::1<71=<<4}r12>5<5s49:64753ty8>7>52z?06?7e<27897?>2:p76<72;q6?>4>b59>73<69:1v>:50;0x962=9k>01>951038yv522909w0=::0`7?85128;:7p}<6;296~;4>3;i863<7;326>{t;10;6?u23882f1=:;l0:=?5rs2;94?4|5:k1=o:4=2f95474d3349o67a<6j=16?h4>109~w2d=83hpR:l4=0``>g4<50:1=47634;n<7?>2:?225<69;16?44>109>53`=988014;5102894e628;;70=>:032?xu0n3:1>vP8f:?4b?7bj2wx4k4?:3y>3cb59>=3<69;1v4=50;0x9<5=9k>014851018yv?32909w07;:0`7?8?128;?7p}65;296~;>=3;i86367;326>{t1?0;6?u29782f1=:1>0:=<5rs`494?76sWk=70?87;c2?81a2h;0160?51d`894bf28;;70?j3;326>;6l?0:=?5214f9546<5091=<>4}r306?6=;rT:??52918257=:9>31=<>4}r30e?6=1rT:?l523b59547<58o;6;<0f6?76827::=4>109>7<<69;16=;h5103894e228;:70=::032?xu64b83>7}:9=h1n?5214395g252z?20a<6j=16=8?51038yv73m3:1>v3>4d82f1=:9<:1=b59>506=9880q~?:0;296~;6=90:n9521439544>7>52z?217<6mk16=9j51008yv72;3:1>v3>538a6>;6==0:n95rs075>5<4sW;>:63=e68254=:9j81=o7>52z?21gb59~w43c2909w0?:d;3a0>;6>:0:=?5rs07e>5<5s4;>j7?m4:?220<6981v<8?:18187183;i863>658254=z{8<:6=4={<355?7e<27::94>139~w4052909w0?92;3a0>;6>?0:=?5rs040>5<5s4;=?7?m4:?21`<6981v<8;:181871<3;i863>648257=z{8<>6=4={<351?7e<27::;4>109~w4012909w0?96;3a0>;6=l0:=?5rs04;>5<5sW;=463>6982ag=z{8<26=4={<35{t9?o1<7d3<58=>67183>7}:9>:1=o:4=050>4753ty:;<4?:3y>527=9k>01<9::032?xu6?;0;6?u216095g2<58=>67583>7}:9>>1=o:4=056>4753ty:;;4?:3y>520=9lh01<8n:031?xu6?>0;6?u216595`d<5;o3652g=9k>0q~?89;296~;6?00:n95216c954452z\2=g=:90h1=hl4}r3:g?6=:r7:5o4m2:?2e4<6j=1v<7k:18187>l3;i863>a08254=z{83n6=4={<3:a?7e<27:m=4>109~w4?a2909w0?6f;3a0>;6i90:=?5rs0c3>5<5s4;j<7?m4:?2e4<69;1v9e8257=z{8k86=4={<3b6?d534;j87?m4:p5de=839p170?:2;c6?xu6j80;6ou236a955c<58=<6lj4=6d9ea=:9ki1mi522e8b`>;61k0jh63>4c8b`>;6>10jh63>a38b`>;6=;0jh63>778b`>{t9ki1<79t=0``>4ce34;n=7?>0:?224<69916?n4>119>526=98:014851038964=98:0q~?md;296~;6jj0j963>c782f1=z{8hn6=4={<3aa?7e<27:o?4>139~w4da2909w0?mf;3a0>;6k:0:=<5rs0a3>5<5s4;h<7?m4:?2g6<69;1v:18187d93;i863>c78257=z{8i96=4={<3`6?7e<27:o94>109~w4e42909w0?l3;3a0>;6k=0:=?5rs0a7>5<5s4;h87?m4:?2g0<69;1vc78254=z{8n?6=4m{<3g0?7bj27:i54>119>5`4=98:013;:=63>638255=:;h0:=<521609546<58hm6477349:65a1=9k>0q~?k6;296~;6l?0:n9521e595445dz?2`=<6mk16=h75103894ba28;:70?j4;324>;6m<0:=<521e59547<58?m6;<357?769278m7?>2:?234<699165?4>119>5f6=98:01>:51038yv7c13:1>v3>d98a6>;6ll0:n95rs0fb>5<5s4;om7?m4:?2a0<69;1vdd8256=z{8nh6=4={<3gg?7e<27:hi4>109~w4bc2909w0?kd;3a0>;6ll0:=<5rs0fe>5<5s4;oj7?m4:?2`f<6981ve78254=z{8o:6=4={<3f5?7e<27:i;4>139~w4c52909w0?j2;3a0>;6lm0:=?5rs0g0>5<5s4;n?7?m4:?2`g<6981vdc8257=z{8o>6=4={<3f1?7e<27:hh4>139~w4c12909w0?j6;3a0>;6lj0:=?5rs0g4>5<5s4;n47?m4:?2a<<69;1v0;6?uQ2e5897c?28h?7p}=de83>7}::mn1=o:4=3g4>4753ty9i?4?:3y>6`4=9k>01?k7:030?xu5m>0;6?u22d595g2<5;o36;|q0e5<72;qU?l>4=2a;>4773ty8o;4?:3y]7f0<5:i2628;:7p}7}:;j21=o:4=2a:>4753ty8h:4?:2y]7a1<58??60:?2=c<6991v9:::187[23=27:;;4m2:?0g2<69;16=;m51038yxd4190;6n4=b;10M52m2.89?4>0e9'5d1=;=i0V>;>:0yb=?{h<:o1<75`3cc94?=n;h:1<75`7c83>>o68>0;66g70;29?l>22900e?j7:188m7bc2900e?k=:188k6gb2900e52:227)?n8;67g>i68l0;6)=72;3af>=zj:hj6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e:m31<7;52;4x 63528:27E=8b:J01`=#9h2189m4Z272>4}f13wbm84?::kb`?6=3`k:6=44ic094?=h9lh1<75m1d`94?0=83:p(>6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>6>>3f;in7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?mb:pf7<72;qUn?521d`954c6s|a483>7}Yi<16=hl5a49~wd7=838pRl?4=0ga>d052z?2ag<4;h16?:h51c`8yxd5ll0;684=:7y'704=9930D>9m;I16a>"6i10?8n5U34395~g>2tcj97>5;hcg>5<>i6mk0;66l>ec83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03c<7280;6=u+39097=?63>ec825`=z{hn1<7bc9~yg4b;3:197<56z&017<6801C?:l4H27f?!7f03>?o6T<5082d?=u`k>6=44i`f94?=ni80;66gm2;29?j7bj3:17o?jb;292?6=8r.84?4>0c9Y707=9rk26pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14b?6=93:152z\2ag=:9lh1=ol4}r`1>5<5sWh970?jb;32a>{tim0;6?uQae9>5`d=:h80q~o::181[g234;nn7o:;|qb5?6=:rTj=63>ec8b2>{t99l1<765f34978t$271>46>3A9=<=i0V>;>:0yb=?{ni<0;66gnd;29?lg62900eo<50;9l5`d=831i=hl50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36d94?7=83:p(>6=:2::?j7ej3:1(>6=:0`a?>{t9lh1<77}Yj;16=hl510g8yvgc2909wSok;<3ff?4f:2wxm84?:3y]e0=:9lh1m85rs`394?4|Vh;01v3>ec807d=:;>l1=ol4}|`;2?6==381:v*<53824<=O;>h0D>;j;%3b{`;9ylg22900elj50;9je4<722ci>7>5;n3ff?6=3k;nn7>56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8f;295?6=8r.84?4<889l5gd=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9kh0q~l=:181[d534;nn7?>e:pea<72;qUmi521d`96d46=4={_c6?87bj3k>7p}n1;296~Xf927:io4n6:p55`=838p15<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7?ta88~md3=831bmi4?::kb5?6=3`h96=44o0ga>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9i:182>5<7s-93>7=79:m2fg<72-93>7?mb:9~w4ce2909wS?jb:?2ag<6jk1vo<50;0xZg4<58oi6ec81e7=z{h?1<7:181[g634;nn7o9;|q24c<72;q6=hl532c8961a28hi7psm4783>6<52=q/?8<511:8L61e3A9>i6*>2185?!7f03>?o6T<5082d?=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d?=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj>n1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd5mm0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?n>50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f6e629086=4?{%166?7e02B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd?13:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi4>4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a<1<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;o>6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0f2?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:l:1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb3g2>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5lk0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg2029096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo:209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd3<90;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>oi:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`;1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th3m7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th3o7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?j7e<3:1(>6=:0`a?>{e;??1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=96;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn>87:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5113:197>50z&017<3:2B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5$2:1>4de32wi?;o50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}r65>5<5s4>=64763ty?47>52z?72?g634><64d33ty5fz\4f>;?>3h9706>:c0897c42k801?jj:c0897b>2k801:j51c6896e728;;70=93;325>;?03;:<6373;324>;5m<0:==522d29546<5;ni63f<6j=16;i4>139~w2`=839p1>oi:032?8>c28h?70=99;325>{t090;6>uQ819><1<698164n4>119~w=7=838p15?51d`89=3=98:0q~6=:1818>62h?015:51c68yv>42909w06<:0`7?8>328;97p}75;297~X?=27357?>1:?;e?7682wx4;4?:3y><3<6mk164o4>119~w=1=838p1585a49><<<6j=1v5650;0x9=>=9k>015751008yv>f2909w06::0`7?8>c28;:7p}7b;296~;?i3;i8637d;326>{t0j0;6?u28c82f1=:0m0:=>5rs9f94?4|51i1=o:4=9f954259z\242=:;kk1m<522e;9e4=::mo1m<522d19e4=:080j=6376;c2?85193k:70:8:033?xu6900;6>uQ10;896e628;:70=93;326>{t9k;1<76t=25`>46b349im7ok;<0g=?gc348oi7ok;<0f7?gc342:6lj4=949ea=:;?;1mi5rs3f;>5<4sW8o463=db8254=:;?<1=ec9>730=9880q~139~w7bc2908wSh?51038yv4cm3:1>v3=dd82ag=::li1=<>4}r0gb?6=:r79hh4n5:?1a4<6j=1v?k?:18184b83;i863=e08257=z{;o96=4<{_0f6>;5m?0:=<52377954752z?1a6<6mk16?;;51008yv4b<3:1>v3=e28b1>;5m?0:n95rs3g6>5<5s48n97?m4:?1a3<69;1v?km:18184bm3;i863<668255=z{;oh6=4={<0fg?7e<279ih4>109~w7cc2909w0;5ml0:=?5rs242>5<5s49==7?jb:?77c<6991v>8=:18185193h970=93;3a0>{t;?>1<7=t=242>d3<5:hj6l;4=24b>4d33ty8:84?:3y>733=9k>01>86:031?xu4>?0;6?u237495g2<5:<2628;?7p}<6983>7}:;?21=o:4=24b>4753ty8:44?:3y>73?=9k>01>8n:032?xu4i90;68uQ3`2892e=98:019o51028912728;:70=nf;326>{t;ho1<7{t;kl1<7g4<5:i:651c6896e628;97p};3d83>7}Y<:o019:?:0`7?xu3;o0;6?u242d95g2<5=>;66l75b5yK70c<,:?96<=8;%3b3?53k2P89<4={`59eg5<5<5<3:17d?n5;29?l5fm3:17b=l2;29?j5dj3:17b<::188k1402900n>9l:182>5<7s-9>>7??f:J03g=O;6=:2::?!7f03>?o6a>0d83>!5?:3;in65rb504>5<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md3=831bmi4?::kb5?6=3`h96=44o0ga>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9i:182>5<7s-93>7=79:m2fg<72-93>7?mb:9~w4ce2909wS?jb:?2ag<6jk1vo<50;0xZg4<58oi6ec81e7=z{h?1<7:181[g634;nn7o9;|q24c<72;q6=hl532c8961a28hi7psmc383>6<52=q/?8<511:8L61e3A9>i6*>a9870f=];<;1=vo8:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo8:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe26=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zukn=6=4<:387!52:3;;46F<7c9K70c<,8k369:l;[165?7|i>0vel?50;9jf7<722e:io4?::`2ag<72<096;u+340955g<,8k369:l;[165?7|i>0vc5;c33e?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50m3:1=7>50z&0<7<6j?1d=n950;&0<7<6jk10q~l=:181[d534;;m7?>e:pe4<72;qUm<5211c9e3=z{hn1<7d283>7}Y9m901<>n:21b?xu6mk0;6?uQ1d`8946f28hi7p}<5g83>7}:99k1m85236g95f1:183!52l3>0(>;=:02e?!5?:39356*>a9870f=h99o1<7*<8382fg=52z\2ag=:9lh1=hl4}r`1>5<5sWh970?jb;`1?xuf93:1>vPn1:?2ag7>53z?2ag<6l:16=hl5ae9>5?77m2.89i4:;|a`7<72:0969u+340955><@:=i7E=:e:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i>0ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:7<3s-9>>7??8:J03g=O;ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc4>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{ekh0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34395~g02tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g02te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm:4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c1`f?6=;3818v*<53824==O;>h0D>;j;%3b{`59ylg62900eo<50;9l5`d=831i=hl50;796?0|,:?96<>n;%3b{`59yj7bj3:17d?k3;29?ld52900elj50;9je4<722h:5}#;181==l4Z272>4}f?3wb=l<50;&0<7<5i;10c4<729q/?5<51c48k4e0290/?5<51c`8?xue:3:1>vPm2:?24d<69l1vl?50;0xZd7<58:j6l84}rcg>5<5sWko70??a;0b6>{t9m91<7{t;d3<5:=n65<#;181=ol4;|q2ag<72;qU=hl4=0ga>4ce3tyi>7>52z\a6>;6mk0i>6s|a083>7}Yi816=hl5a09~w7<72:q6=hl51e1894ce2hn01<4>0d9'70b==2wvn?;50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd6::0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34396~g02hh1qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<5sh=1mo4ro0ga>5<50z&0<7<68k1Q?8?52zc4>dd=u`;:i7>5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4>?3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;p(>;=:02;?M50j2B89h5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~ygd029086?4;{%166?7702B8;o5G34g8 4g?2=>h7W=:1;3xe2>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;3xe25<>of93:17o??a;292?6=8r.84?4>0c9Y707=9rk<6pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14a?6=93:17}Yi816==o5a79~wdb=838pRlj4=02b>7g53ty:h>4?:3y]5a5<58:j6>=n;|q2ag<72;qU=hl4=02b>4de3ty89k4?:3y>55g=i<16?:k51b58yxd6290:6=4?{%16`?2<,:?96<>i;%1;6?5?12.:m54;4b9l55c=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9lh0q~l=:181[d534;nn7l=;|qb5?6=:rTj=63>ec8b5>{t:3:1?v3>ec82`6=:9lh1mi521;33a>"4=m0>7psm40494?5=:3>p(>;=:02;?M50j2B89h5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg5f83:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta68~k4ce2900e56;294~"40;0:5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi===50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qjn7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qjn7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{``9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd51?0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34395~g02tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g02te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm:4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c;;>5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta68~k4ce2900e56;294~"40;0:5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi?;l50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxde>3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7m;[165?4|i>0jn7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03c<7280;6=u+39097=?63>ec825`=z{hn1<7bc9~yg71?3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc4>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{en<0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=80:wl95}hc2>5<\4=80:wl95}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb3?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj8=i6=4<:387!52:3;;46F<7c9K70c<,88;6;5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:>=49;%3b>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;0xe25<1290;w)=72;33f>\4=809wl95ac8~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg7?93:1?7<54z&017<6811C?:l4H27f?!7583<0(7>5;n3ff?6=3k;nn7>55;092~"4=;0:xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?4|i>0jn7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$003>3=#9h2189m4Z272>4}f?3wbm<4?::ka6?6=3f;nn7>5;c3ff?6==381:v*<53824d=#9h2189m4Z272>4}f?3wd=hl50;9j5a5=831bn?4?::kb`?6=3`k:6=44b02b>5<1290;w)=72;33f>\4=80:wl95}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61b290:6=4?{%1;6?7e>2e:o:4?:%1;6?7ej21vo<50;0xZg4<58:j60`8b2>{tim0;6?uQae9>55g=:h80q~?k3;296~X6l:16==o532c8yv7bj3:1>vP>ec9>55g=9kh0q~=:f;296~;68h0j963<7d82g2=zuk;1<7?50;2x 63c2=1/?8<511d8 6>52:227)?n8;67g>i68l0;6)=72;3af>=z{8oi6=4={_3ff>;6mk0:io5rsc094?4|Vk801;<3ff?g63ty96=4<{<3ff?7c;27:io4nd:?2>46b3-9>h7;4}|`2<@:=i7E=:e:&265<13-;j47:;c:X014<6sh=1qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<6sh=1qb?jb;29?l7c;3:17dl=:188mdb=831bm<4?::`24d<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=1d9~wd7=838pRl?4=02b>d06s|1e194?4|V8n870??a;10e>{t9lh1<74e03twi=7>51;294~"4=m0?7)=:2;33b>"40;08445+1`:901e63>ec8a6>{ti80;6?uQa09>5`d=i81v?4?:2y>5`d=9m901b29086?4;{%166?7702B8;o5G34g8 4472?1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd60o0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=809wl95ac8~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7n:185>5<7s-93>7??b:X014<5sh=1mo4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c3:0?6=;3818v*<53824==O;>h0D>;j;%314?1<,8k369:l;[165?4|i>0jn7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^6362;qj;7om:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;0xe2l<50;&0<7<5i;10c4<729q/?5<51c48k4e0290/?5<51c`8?xue:3:1>vPm2:?24d<69l1vl?50;0xZd7<58:j6l84}rcg>5<5sWko70??a;0b6>{t9m91<7{t;d3<5:=n65<#;181=ol4;|q2ag<72;qU=hl4=0ga>4ce3tyi>7>52z\a6>;6mk0i>6s|a083>7}Yi816=hl5a09~w7<72:q6=hl51e1894ce2hn01<4>0d9'70b==2wvnij50;195?5|@:?n7)=:2;3bf>oei3:17dlm:188k6542900n>68:180>5<7s-9>>7=8a:J03g=O;6=:25:?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|qae?6=:rTim63<868257=z{kh1<7028;:7p}<3283>7}Y;:901>68:0`7?x{elj0;6>4>:2yK70c<,:?965<12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10q~ln:181[df3493;7?>2:pfg<72;qUno52395954752z\076=:;1=1=o:4}|`gf?6=;3;1?vF<5d9'704=9hh0eoo50;9jfg<722e8?>4?::`0<2<72:0;6=u+340972g<@:=i7E=:e:&0<7<4?01/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=z{kk1<7028;97p}mb;296~Xej2784:4>109~w6542909wS=<3:?0<2<6j=1vqojn:180>4<4sA9>i6*<5382eg=njh0;66gmb;29?j54;3:17o=77;297?6=8r.89?4<7`9K72d<@:?n7)=72;14=>"6i10?8n5f10394?"40;0:=h54i031>5<#;181={zj;3>6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5;50;194?6|,:?969>4H25a?M52m2.84?4528hi76smb483>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`0g0<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:no6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c1ga?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg26k3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl;1e83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`a=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8:h4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a=a<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>4750;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f6c729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo:>f;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd3:k0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{el00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98ygb729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qoj>:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rbba94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zjjn1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wihk4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}cg0>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f46229096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo7n:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg4>290>6=4?{%166?7ei2B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>47b32e:n94?:%1;6?7ej21vn?o50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2c83>6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f7e=8391<7>t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:><5G36`8L63b3-93>77j;%3b<@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj8336=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<76:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2=4<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd61;0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn<6;:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg7?=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>8783>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e91=1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0:;>5<2290;w)=:2;61?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98k4d3290/?5<51c`8?xd6000;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<6n:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb0:a>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6?l0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=oo4H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65f10694?"40;0:=h54o0`7>5<#;181=ol4;|`2<5<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4i?0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj:k36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c1b=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8ml4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7dd=83<1<7>t$271>15<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=n98?1<7*<83825`=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3thm57>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3thmn7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?l76=3:1(>6=:03f?>o69?0;6)=72;32a>=h9k>1<7*<8382fg=8;7>55;294~"4=;0?>6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e528hi76sm42:94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn9=n:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg24j3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=8o7>53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo:6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c1`a?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8ok4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7a6=8381<7>t$271>4463A96=:0`a?M5?921vn>j>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5c:3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;m>1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2f6>5<0290;w)=:2;67?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98m472290/?5<510g8?l76>3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:n=6=4<:183!52:3;i46F<7c9K70c<,:2962<729q/?8<5459K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=7?>e:9j540=83.84?4>1d98k4d3290/?5<51c`8?xd3:l0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj=9;6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c605?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th???4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a065=83>1<7>t$271>17<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj=9?6=4<:183!52:3;i46F<7c9K70c<,:2961<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4463A96=:0`a?M5?921vn>k<:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5b<3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;l<1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2g4>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f6c?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:o26=4<:183!52:3;i46F<7c9K70c<,:2961<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9:?1<7;50;2x 63528hj7E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e528hi76sm12494?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wii44?:583>5}#;<818<5G36`8L63b3-93>7=i;%3b528hi76sme`83>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emk0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emm0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emo0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=54;294~"4=;0?=6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10cb99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{em10;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vnn:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~ff3=83?1<7>t$271>4df3A96=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5$2:1>4de32wio;4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:ag2<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xdd03:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=2483>0<729q/?8<51cc8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98k4d3290/?5<51c`8?xd6:00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=l4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a57d=8381<7>t$271>4463A96=:0`a?M5?921vn<5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg75l3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>2d83>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9;l1<7;50;2x 6352=80D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>47b32c:=94?:%1;6?76m21d=o:50;&0<7<6jk10qo?<0;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9;=1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?=8;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=8522482ag=z{;21<74d3348i6<0<6j=16=5=51028941a28;:70?<5;325>;6:h0:==5rs8:94?4|5021=hl4=979544d7<5=;=6l?4=2f4>d7<5:i96l?4=2c3>d7<5:d7<58<<6l?4=c49e4=:1h0:n95rs8`94?4|50h1=hl4=979547g4<5021n?521209f7=:1m0:n95rs`094?7fsWk970:=7;c2?8e52h;01i85a09>`7;d7<5:ii6l?4=379e4=:9;91m<521169e4=::0=1m<529c8b5>;4>j0j=63m7;c2?874:3k:70h::`38941e2h;01<9l:`3894>62h;01<6l:`3894>c2h;01<6j:`3894>a2h;01<7;:`3890:?2=2<69816=4<5102894>028;;70?8e;326>;6;=0:=?5213g95464d334;387?>0:?23c<69;16=>;51008944e28;;7p}m6;296~;e>3;nn63m5;326>{tj>0;6?u2b682ag=:j<0:=<5rsc:94?5|5k=1n?52b78a6>;e13;i86s|bb83>7}Yjj16===5b39~wgb=83kp1n<51d`89ag=jh16hk4>139>b2<699168>951068914c28;:70:=f;324>;b13;:=63jb;324>;d>3;:<6s|c283>7}:k;0i>63l9;3a0>{tk=0;6?u2c582f1=:k>0:=?5rsb794?4|5j?1=o:4=b:95474d334i36g=<6j=16o44>139~wgc=83kp1no51d`89ag=jk16hk4>109>b<<699168>651038914c28;970:=e;324>;b13;:>63ja;324>;d=3;:=6s|cc83>7}:kh0i>63ld;3a0>{tkj0;6?u2cb82f1=:km0:=?5rscd94??|5jo1=hl4=e`9fg=:m80:=<52fc8255=:<:21=<<4=50g>47434>8<7?>0:?fa?76827h97?>2:pgc<72;q6oh4m2:?g5?7e<2wxh=4?:3y>`5<6j=16h<4>139~wf6=832p1i<51d`89ae=jk16i>4>109>bd<699168>751028915528;;70kk:033?8e228;87p}k3;296~;c:3h970j::0`7?xuc<3:1>v3k4;3a0>;c=3;:>6s|c083>=}:l?0:io52de8af>;ak3;:>63;3`8255=:<:;1=<>4=d;9545<5li1=<>4=b79542g4<5m31=o:4}rf;>5<5s4n364753tyoi7>53z?gf?df34nm64753tyn<7>53z?gg?df34o:64753tyn>7>52z?g`?df34o860:?g0?76827o<7?>0:?`g?76827n47?m4:pa0<72;q6i84>b59>ac<69;1vh850;0x9`0=9k>01h951008yvc02909w0k8:0`7?8c?28;97p}j9;296~;b13;i863j6;325>{tmh0;6?u2e`82f1=:n90:=?5rsd`94?4|5lh1=o:4=g295474d334l;6a`<6j=16i:4>129~w``=838p1hh51c689`>=98;0q~h?:1818`728h?70k9:031?xua93:1>v3ka;107>;dl3;:=6s|f383>7}:lk08?>52d08254=z{o91<75<5s4no6>=<;4763tym97>55z?e1?7bj27:484>119>52`=98901<=::030?875k3;:<6s|f783>7}:n<0i>63ic;3a0>{tn>0;6?u2f682f1=:nj0:=<5rsg:94?4|5o21=o:4=ga95454d334lh6bg<6j=16jn4>179~w464290?w0??4;`1?877;3;nn63j5;324>;d<3;:<6s|11694?5|58:?647634i<6;|q243<72;q6===5a09>553=9k>0q~?=3;290~X6::16=?=51d`8915028;:70:=d;320>{t9;>1<7g4<588362783>7}:9;<1=o:4=004>4753ty:>:4?:3y>571=9k>01<<7:031?xu6:00;6?u213;95g2<588<6;|q26d<72;q6=?o51c68944a28;:7p}>2c83>7}:9;h1=o:4=00e>4753ty:>n4?:3y>57e=9k>01<2g83>7}:9;l1=o:4=013>4763ty:?=4?:3y>566=9k>01<<9:031?xu6;;0;6?uQ1208945528oi7p}>3283>7}:9:81m85212495g252z?271<6j=16=>851038yv74=3:1>v3>3482f1=:9:<1=<<4}r361?6=:rT:98523eg9547n7>52z\21g=:9?=1n?5rs044>5<2s4;=;7?jb:?1e?76:27:5;4>119>5<7=98;01<<6:032?xu6?k0;6?u216`95`d<58326;|q23f<72:q6=:l5b39>52e=9lh01>j=:033?xu6?m0;6?u216a9f7=:91:1=o:4}r34a?6=:r7:;h4>b59>5=6=98;0q~?8f;296~;6?o0:n95219295445az?2<4<6mk16?n;5103897?=98>01<6n:032?870m3;:=634=2g5>47734;887?>1:?263<6981v<6=:18187?93h970?7b;3a0>{t9191<74d334;347?>1:p5=2=838p1<6;:0`7?87?03;:>6s|19794?4|582>6128h?70?78;320>{t91=1<74d334;357?>2:p5=>=838p1<67:0`7?87?13;:=6s|19;94?4|58226f28h?70?7b;326>{t91i1<76t=0:`>4ce349h97?>2:?1f?76927:4o4>109>7d>=98:01>mj:033?85b=3;:<63>248251=z{82o6=48{<3;g?d534;3h7?jb:?1=?76;278m;4>119>7f`=98:01>k;:033?875=3;:?6s|19g94?1|582o6o<4=0:f>4ce34826;<1b3?768278h>4>119>7`5=98:01<<::032?xu60o0;6:u219g9f7=:91l1=hl4=3;9544<5:kj6119>573=9880q~?60;296~;60o0i>63>9282f1=z{83:6=4={<3:5?7e<27:5>4>109~w4?52909w0?62;3a0>;61:0:=?5rs0;7>5<2s4;287?jb:?1e?76927:554>109>5<7=98801<<6:031?xu61<0;6?u21869f7=:9031=o:4}r3:2?6=:r7:5;4>b59>5<1=9880q~?67;296~;61>0:n95218:954452z?2==<6j=16=4751008yv7f=3:1>vP>a49>04b=98;0q~?n6;297~X6i?16?i:5102896c>28;:7p}=9583>0}::0?1=o:4=0:5>47734;4:?270<69=16=?j51028yv4>>3:1>v3=9782ag=::0?1=<<4}r0:3?6=:r795:4>ec9>6<3=98;0q~<68;297~;51>0i>63=978a6>;5100:n95rs24a>5<4s49=n7?jb:?772<69:168?j51078yv51k3:1?v3<6b82ag=:<:=1=<<4=50g>4713ty8:i4?:2y>73e=j;16?;l5b39>73c=9k>0q~=n0;296~X4i916?l>51d`8yv5f=3:1>v3;4ik0:n95rs2c5>5<5s49j:7?m4:?0eg<69;1v>o8:18185f?3;i863129~w6g>2909w0=n9;3a0>;4ik0:=95rs2cb>5<5s49jm7?m4:?0eg<69<1v>ol:187[5fk278;n4>0d9>071=im16=><5ae9~w6gb2909wS=ne:?:`?7682wx?oo50;0xZ6df34h264ce3ty8o94?:3y>7f4=j;16?n;51c68yv5dj3:1>vP7fd=9lh0q~=ld;296~;4kk0i>63139~w6ea2909w0=lf;3a0>;4l<0:=<5rs2f3>5<5s49o<7?m4:?0`0<69:1v>j>:18185c93;i863159~w6b42909w0=k3;3a0>;4l<0:=;5rs2f7>5<5s49o87?m4:?0`3<69;1v>j::18185c=3;i863;4l>0:io5rs2f`>5<5s49oi7?m4:?0a5<6991v>jk:18185cl3;i863jk:033?826k3;:<63109~w6c42909w0=j3;3a0>;4m>0:=?5rs2g7>5<5s49n87?m4:?0ad<6981v>k::18185b=3;i863139~w6c02909w0=j7;3a0>;4m00:=?5rs2g;>5<5s49n47?m4:?0ad<69:1v>k6:18185b13;i863;5100:==5rs535>5<5sW>::63;1782ag=z{=;i6=4={<62`?7e<27?=k4>119~w17d2909w0:>c;3a0>;39m0:=?5rs53f>5<5s4>::7l=;<62b?7e<2wx8?950;1xZ14034>9;7?jb:?ei4>b59>062=98;0q~:=e;296~;3:l0:n95242195449j7>52z?76c<6j=168>=51038yv2483:1>v3;3182f1=:<:91=<=4}r605?6=:r7??<4>b59>063=98;0q~:<2;296~;3;;0:n95242795458?7>52z?776<6j=168>:51008yv24<3:1>v3;3582f1=:<:?1=<<4}r602?6=:r7?>o4>119>06b=9k>0q~:<7;296~;3;>0:n95242f9547847>52z?77=<6j=168>m51038yv2413:1>v3;3882f1=:<:h1=b59>06d=9880q~:8o7>52z?77f<6j=168>j51008yv24m3:1>vP;3d9>73c=98:0qpl<8d83>2<5n399wE=:e:&017>o5mo0;66a<2b83>>o68>0;66a<5283>>i4=>0;66gn4;29?g50k3:1=7>50z&017<68o1C?:l4H27f?!5?:39356*>a9870f=h99o1<7*<8382fg=?7>55;092~"4=;0:<45G36`8L63b3-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj:;h6=4::385!52:3;;56F<7c9K70c<,88;6;5+1`:901e5;hc2>5<528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:h50;394?6|,:296>66;n3af?6=,:2965<5sWko70?jb;0b6>{ti<0;6?uQa49>5`d=i<1vl?50;0xZd7<58oi6l84}r33b?6=:r7:io4<3`9>72`=9kh0qpl<5683>0<52?q/?8<511;8L61e3A9>i6*>a9870f=];<;1=vo6:|kb1?6=3`ko6=44i`394?=nj;0;66a>ec83>>d6mk0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181?574o0`a>5<#;181=ol4;|q2ag<72;qU=hl4=0ga>4de3tyi>7>52z\a6>;6mk0:=h5rs`f94?4|Vhn01vPn5:?2ag5<5s4;nn7=099K72d<@:?n7)?=0;48 4g?2=>h7W=:1;3xe<>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;3xe<5<>of93:17o??a;292?6=8r.84?4>0c9Y707=9rk26pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14a?6=93:17}Yi816==o5a79~wdb=838pRlj4=02b>7g53ty:h>4?:3y]5a5<58:j6>=n;|q2ag<72;qU=hl4=02b>4de3ty89k4?:3y>55g=i<16?:k51b58yxd6290:6=4?{%16`?2<,:?96<>i;%1;6?5?12.:m54;4b9l55c=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9lh0q~l=:181[d534;nn7l=;|qb5?6=:rTj=63>ec8b5>{t:3:1?v3>ec82`6=:9lh1mi521;33a>"4=m0>7psm2g;94?5=:3>p(>;=:02;?M50j2B89h5+13292>"6i10?8n5U34395~g>2tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g>2te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c0ee?6=;3818v*<53824==O;>h0D>;j;%314?0<,8k369:l;[165?7|i00vel?50;9jf7<722e:io4?::`2ag<72<096;u+340955g<,8k369:l;[165?7|i00vc5;c33e?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50m3:1=7>50z&0<7<6j?1d=n950;&0<7<6jk10q~l=:181[d534;;m7?>e:pe4<72;qUm<5211c9e3=z{hn1<7d283>7}Y9m901<>n:21b?xu6mk0;6?uQ1d`8946f28hi7p}<5g83>7}:99k1m85236g95f1:183!52l3>0(>;=:02e?!5?:39356*>a9870f=h99o1<7*<8382fg=52z\2ag=:9lh1=hl4}r`1>5<5sWh970?jb;`1?xuf93:1>vPn1:?2ag7>53z?2ag<6l:16=hl5ae9>5?77m2.89i4:;|a6cd=8391>7:t$271>46?3A92.:m54;4b9Y707=9rk26pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk26pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4ak3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{e:on1<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk8mi7>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi>kh50;196?2|,:?96<>7;I14f>N4=l1/=?>56:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:>i4;:0;66l<8683>6<729q/?8<536c8L61e3A9>i6*<83803<=#9h2189m4i032>5<#;181=7?mb:9~wgg=838pRoo4=2:4>4753tyin7>52z\af>;40>0:=<5rs210>5<5sW98?63<8682f1=zuk9;97>53;397~N4=l1/?8<51``8mgg=831bno4?::m076<722h84:4?:283>5}#;<81?:o4H25a?M52m2.84?4<789'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rscc94?4|Vkk01>68:031?xuej3:1>vPmb:?0<2<6981v>=<:181[54;2784:4>b59~yg57<3:1?7?53zJ01`=#;<81=ll4icc94?=njk0;66a<3283>>d40>0;6>4?:1y'704=;>k0D>9m;I16a>"40;08;45+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21voo50;0xZgg<5:2<6;40>0:n95r}c137?6=;3;1?vF<5d9'704=9hh0eoo50;9jfg<722e8?>4?::`0<2<72:0;6=u+340972g<@:=i7E=:e:&0<7<4?01/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=z{kk1<7028;97p}mb;296~Xej2784:4>109~w6542909wS=<3:?0<2<6j=1vqo=?2;297?7=;rB89h5+34095dd>i4;:0;66l<8683>6<729q/?8<536c8L61e3A9>i6*<83803<=#9h2189m4i032>5<#;181=7?mb:9~wgg=838pRoo4=2:4>4753tyin7>52z\af>;40>0:=<5rs210>5<5sW98?63<8682f1=zuk9;=7>53;397~N4=l1/?8<51``8mgg=831bno4?::m076<722h84:4?:283>5}#;<81?:o4H25a?M52m2.84?4<789'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rscc94?4|Vkk01>68:031?xuej3:1>vPmb:?0<2<6981v>=<:181[54;2784:4>b59~yg5783:1?7?53zJ01`=#;<81=ll4icc94?=njk0;66a<3283>>d40>0;6>4?:1y'704=;>k0D>9m;I16a>"40;08;45+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21voo50;0xZgg<5:2<6;40>0:n95r}c11`?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8984?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a700=8391<7>t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm30g94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj::26=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>>m:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb22g>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f66a29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:;:6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>?<:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb224>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=<0;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd4;80;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;;91<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb207>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi??950;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f64?29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo==9;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd4:h0;644?:1y'704=<<1C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>47b32c:=84?:%1;6?76m21b=<850;&0<7<69l10e8;29 6>528;n76a>b583>!5?:3;in65rb27:>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f63f29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=:b;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=d7<5:;h6l?4=274>d7<5;l36l?4=3d:>d7<5;lj6l?4=3da>d7<5;lh6l?4=3dg>d7<5;ln6l?4=3de>d754z?03f<68l16?8=5ae9>74e=im16?895ae9~w7ca2909wS;4800:=?523159546<5:896k751d`896672kh01>>6:032?855;3;:<6s|2g094?2|5;lj61:?061<6991v?h<:18784aj3;nn63<038af>;48m0:=<52337954654z?1bf<6mk16?==5bc9>75`=98;01><9:033?xu5n<0;68u22gf95`d<5::?6ol4=232>4763498=7?>1:?062<6991v?h9:18684am3;nn63<048af>;49:0:=<5233d9544<5:836kh51d`896612kh01>4d3349;n7?>2:p75g=839p1>>=:cc8966e28h?70=?d;326>{t;9i1<7=t=220>gg<5::o6>i:0`7?85693;:>6s|30294?5|5::>6oo4=232>4d3349:?7?>2:p744=838p1>>9:cc8967428h?7p}<1583>7}::o21n?5231595g252z?1b<6543ty8=54?:3y>6ce=j;16?==53218yv5613:1>v3=fe8a6>;48=08?>5rs23b>5<5s48mi7l=;<131?54;2wx?>9:210?xu49j0;6>u230a95`d<5:8o6?j:0`7?xu49o0;6?u230a9e0=:;;:1=o:4}r115?6==r789;4>109>776=98801>?j:033?855i3;i863<588254=z{:896=4={<116?7e<278>l4>109~w6442909w0==3;3a0>;4:h0:=?5rs207>5<5s49987?m4:?06d<69:1v><::181855=3;i863<2`8251=z{:8=6=4={<112?7e<278>l4>149~w6402909w0==7;3a0>;4:h0:=;5rs20;>5<5s49947?m4:?06d<69>1v><6:18185513;i863<2`825==z{:8h6=4={_11g>;4:m0:n95rs20f>5<5sW99i63<3382f1=z{:8m6=4={<11b?7e<278??4>109~w6572909w0=<0;3a0>;4;80:=?5rs212>5<5s498=7?m4:?077<69;1v>;<:180[52;2789>4>ec9>70?=9880q~=:4;296~;4=:0j963<5782f1=z{:?>6=4={<161?7e<2789;4>139~w6302909wS=:7:?012<6mk1v>;7:181852?3k>70=:b;3a0>{t;<31<74d3349>n7?>1:p70g=838p1>;n:0`7?852j3;:>6srb2;f>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4100;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj:3i6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>7<:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5><3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wimn4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn>o=:181>5<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb2`0>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`74c<72;0;6=u+340954b<@:=i7E=:e:&0<7<>m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f6db29096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd3<=0;6?4?:1y'704=98n0D>9m;I16a>"40;02i6F>389'56=:03f?>i6j=0;6)=72;3af>=zj:n26=4=:183!52:3;:h6F<7c9K70c<,:2964k4H01:?!7>i3;:i6*>a9870f=n98:1<7*<83825`=52;294~"4=;0:=i5G36`8L63b3-93>77j;I30=>"61h0:=h5+1`:901e5$2:1>4de32wiml4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn<>=:181>5<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb6094?4=83:p(>;=:03g?M50j2B89h5+3909=`=O9:30(<7n:03f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c52>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`44?6=:3:1h0D>;j;%1;6??b3A;856*>9`825`=#9h2189m4i033>5<#;181=m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f3b=8381<7>t$271>47c3A974$0;b>47b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg0d29096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd1j3:1>7>50z&017<69m1C?:l4H27f?!5?:33n7E?<9:&2=d<69l1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e>h0;6?4?:1y'704=98n0D>9m;I16a>"40;02i6F>389'56=:03f?>i6j=0;6)=72;3af>=zj?31<7<50;2x 63528;o7E=8b:J01`=#;1815h5G12;8 4?f28;n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=i3;:i6*>a9870f=n98:1<7*<83825`=52;294~"4=;0:=i5G36`8L63b3-93>77j;I30=>"61h0:=h5+1`:901e5$2:1>4de32wi:;4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn;;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j65<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c1e9K72d<@:?n7)=72;;f?M7412.:5l4>1d9'5d>=<=i0e528hi76sm7883>7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb6:94?4=83:p(>;=:03g?M50j2B89h5+3909=`=O9:30(<7n:03f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c54>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`42?6=:3:1h0D>;j;%1;6??b3A;856*>9`825`=#9h2189m4i033>5<#;181=m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f22=8381<7>t$271>47c3A974$0;b>47b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg1429096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd1m3:1>7>50z&017<69m1C?:l4H27f?!5?:33n7E?<9:&2=d<69l1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e;ki1<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=?>7>52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi8<;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm41694?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c1a3?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg5fl3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e<9<1<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=:m7>52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi?k;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm38d94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c626?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg5cj3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e<;31<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi?nm50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm24f94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c06g?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg4?03:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e:181<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi>:850;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm27c94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c050?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg42>3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm4d83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi8k4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=90;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5383>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9>4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e==0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5783>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9:4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=10;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5c83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9n4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=m0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5g83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi:=4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e>80;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm6283>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wim:4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898ygg>290:6=4?{%1;6?7d12B89h5G10d8 4?f28;n7d?l9;29 6>528i276smac83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=n9j31<7*<8382g<=51;294~"40;0:o45G34g8L47a3-;2m7?>e:k2g<<72-93>7?l9:9~f4cd290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm1df94?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>bc9l5f?=83.84?4>c898yg7a83:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb0d2>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi=k<50;394?6|,:296N69o1/=4o51c`8k4e>290/?5<51b;8?xd6n:0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:no5`1b;94?"40;0:o454}c3e0?6=93:15<7s-93>7?l9:J01`=O98l0(<7n:0`a?j7d13:1(>6=:0a:?>{e9o<1<7?50;2x 6>528i27E=:e:J25c=#90k1=ol4o0a:>5<#;181=n74;|`2b2<7280;6=u+39095f?<@:?n7E?>f:&2=d<6jk1d=n750;&0<7<6k010qo?i8;295?6=8r.84?4>c89K70c<@8;m7)?6a;3af>i6k00;6)=72;3`=>=zj:3<6=4>:183!5?:3;h56F<5d9K54`<,83j6t$2:1>4e>3A9>i6F>1g9'54<729q/?5<51b;8L63b3A;:j6*>9`825`=n9j31<7*<8382g<=51;294~"40;0:o45G34g8L47a3-;2m7?mb:m2g<<72-93>7?l9:9~f6gd290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm3c294?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898yg5e<3:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb2`a>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi?oj50;394?6|,:296N69o1/=4o510g8m4e>290/?5<51b;8?xd4k;0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:no5`1b;94?"40;0:o454}c1`2?6=93:1mm:182>5<7s-93>7?l9:J01`=O98l0(<7n:0`a?j7d13:1(>6=:0a:?>{e;m21<7?50;2x 6>528i27E=:e:J25c=#90k1=5<#;181=n74;|`0`d<7280;6=u+39095f?<@:?n7E?>f:&2=d<6jk1d=n750;&0<7<6k010qo=i2;295?6=8r.84?4>c89K70c<@8;m7)?6a;3af>i6k00;6)=72;3`=>=zj=:86=4>:183!5?:3;h56F<5d9K54`<,83j6t$2:1>4e>3A9>i6F>1g9'54<729q/?5<51b;8L63b3A;:j6*>9`82fg=h9j31<7*<8382g<=;i7>51;294~"40;0:o45G34g8L47a3-;2m7?>e:k2g<<72-93>7?l9:9~f177290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm40194?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898yg2613:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb50;>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi89?50;394?6|,:296N69o1/=4o51c`8k4e>290/?5<51b;8?xd3<:0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5f1b;94?"40;0:o454}c672?6=93:15<7s-9>>7=;9:J01f=O;=20@>:6:2y'71c=9ji0(>:k:0aa?!53n3;hh6srb273>5<7290;w)=:2;164>"6i10?8n5G35:8H6>32:q/?9k51bd8 62c28in7)=;f;3g4>{z{;<1<773<5:3267j:033?xu3k3:1?vP;c:?50?76827?o7?l9:p0a<72:qU8i52648255=:4e>3ty><7>53z\64>;103;:<63:0;3`=>{t=80;6>uQ509>2<<699169<4>c89~w04=839pR8<4=7c9546<5<81=n74}r70>5<4sW?8708m:033?83428i27p}:4;297~X2<27=o7?>0:?60?7d12wx984?:2y]10=:>m0:==525482g<=z{<<1<7=t^44893c=98:018851b;8yv302908wS;8;<4e>47734?<646380;324>;203;h56s|5883>6}Y=016;<4>119>1<<6k01v8l50;1xZ0d<5>81=<>4=4`95f?h7?l9:p1`<72:qU9h52748255=:=l0:o45rs4d94?5|V4e>3ty==7>53z\55>;003;:<6391;3`=>{t>;0;6>uQ639>3<<69916:?4>c89~w35=839pR;=4=6c9546<5?91=n74}r47>5<4s493j7m4=56:>f=:>=0:n95rs7794?5|5:2m6i5245;9`>;1=3;i86s|6783>6}:;1l1i63;488f?80128h?7p}97;297~;40o0m70:;9;d8931=9k>0q~87:18085?n3;;70:;9;33?80?28h?7p}99;297~;40o0:=63;48825>;113;i86s|6`83>6}:;1l1=?5245;957=:>h0:n95rs7`94?5|5:2m6<=4=56:>45<5?h1=o:4}r4`>5<4s493j7?;;<67=?73345:?70<<6=27=h7?m4:p2`<72;q68975179>2`<6j=1v;h50;1x96>a28=019:6:05893`=9k>0q~9?:18085?n3;270:;9;3:?81728h?7p}81;296~;40o0:m6381;3a0>{t?;0;6?u239d95f=:?;0:n95rs6194?4|5=>26f:?41?7e<2wx;;4?:3y>01?=:916;;4>b59~w21=838p19:6:338921=9k>0q~97:18182313897097:0`7?xu013:1?v3<8g817>;3<009?6389;3a0>{t?h0;6>u239d961=:<=31>9527`82f1=z{>h1<7;t=2:e>2d<5=>26:l4=2;5>2d<5:3;6:l4=2:f>d2;f?3;h56s|a983>7}:;0?1m?52a982f1=z{h31<7=t^`;89dg=98:01l751b;8yvgf290=w0=7f;c5?82313k=70=66;c5?85>83;;;63<8d8242=:ih0:n95rs``94?5|Vhh01lm510289dd=9j30q~ol:18185>=3k870ol:0`7?xu6880;6>uQ1138946528;;70??1;3`=>{t9981<7ge<58:962283>7}:;0<1=?=4=2;6>4443ty:??4?:3y>7<0=9:801>7::011?xu6;h0;6?u239d956g<5:3=6<=n;|q20g<72;q6?48515`896?228?>7p}>5783>7}:;1l1=884=2;5>4313ty:9o4?:3y>7<0=97::07a?xu6>10;6?u2384953><5:3>67p}>eb83>6}Y9li01?;9:0`7?87bk3;h56s|1df94?5|V8oo70<:c;3a0>;6mm0:o45rs0ge>5<4sW;nj63=5e82f1=:9ll1=n74}r3e4?6=;rT:j=5224g95g2<58l;64d334;m=7?l9:p5c4=839pRc89~w4`42908wS?i3:?135<6j=16=k=51b;8yv7a<3:1?vP>f59>620=9k>01uQ1g78971d28h?70?i5;3`=>{t9o<1<7=t^0d5?84?:3;i863>f782g<=z{8l<6=4<{_3e3>;5010:n9521g595f?53z\2b==::1o1=o:4=0d;>4e>3ty:jl4?:3y>7=`=9ok019:6:0db?xu5=?0;6?u245;95`e<5;?=67}:<=31=hh4=37g>4773ty99h4?:3y>01?=9o:01?;j:033?xu5>=0;6?u245;95c7<5;7}:<=31=k=4=353>4773ty9;;4?:3y>01?=9o>01?99:033?xu5?j0;6?u245;95c3<5;=h6528;;7p}=8983>7}:<=31=k94=3:;>4773ty94h4?:3y>01?=9o201?6j:033?xu51h0;6?u239d9626?7n;|q1a<<72;q6?4852e5896?72;no7p}=e`83>7}:<=31>i94=2;3>7b?3ty9ik4?:3y>01?=:ll01>6j:3ge?xu4:j0;6?u245;977e<5:2n6>b2:8n7p}<3583>7}:;1l1?>:4=2:a>4773ty8?o4?:3y>7=`=;:h01>7<:033?xu4=:0;6?u239g9705<5:3?6;|q012<72;q6?5k5345896>d28;:7p}<8`83>7}:;0:14=5239a95g252z?093:1>v3<9181a7=:;0>1=o:4}r1:6?6=:r785>4>b59>7<2=9880q~=67;297~X41>16?4m51c6896?028i27p}<9983>7}:;031=o:4=2;a>4763ty8544?:3y>701>7m:031?xu41j0;6?u238`95g2<5:3h64d33492h7?l9:p7<`=838p1>7j:0`7?85>n3;:<6s|3`394?5|V:k:70=n2;324>;4i80:o45rs2c1>5<3s4>?57=n0:?0=3<4i916?4>53`2896g528h?7p}6}Y;h901>o;:0`7?85f;3;h56s|3`694?4|5:3>6>o?;<1b0?7682wx?lm50;1xZ6gd349jh7?m4:?0ef<6k01v>ok:18185>=39jo63;4j80:n9523c295f?52z?0=5<4il16?o?51028yv5e:3:1?vP7g5=98:01>l=:0a:?xu4j:0;6?u238797dc<5:h864d3349i87?l9:p7g1=838p19:6:2`7?85e?3;:<6s|3c`94?5|V:hi70=mc;3a0>;4jk0:o45rs2``>5<5s492<7=ma:?0ff<6991v>lk:180[5el278nh4>119>7gb=9j30q~=me;296~;41<08nl523cg95g27>53z\0g7=:;j91=o:4=2a1>4e>3ty8o>4?:3y>7<3=;j801>m<:033?xu4k?0;6>uQ3b4896ef28h?70=l6;3`=>{t;jk1<76e1349hm7?>0:p7fd=839pR>mm;<1`g?7e<278oo4>c89~w6ed2909w0=65;1`f>;4kj0:==5rs2f;>5<4sW9o4637<0=;m=01>j6:0`7?xu4lh0;6>uQ3ec896be28h?70=ka;3`=>{t;mh1<76b0349on7?>0:p7c4=839pR>h=;<1e1?7e<278j?4>c89~w6`22909w0:;9;1e6>;4n<0:==5rs520>5<4sW>;?63;0582f1=:<991=n74}r630?6=:r7?844052=98:0q~:?5;297~X38<168=851c68916228i27p};0783>7}:<=318?>4=525>4773ty?<:4?:2y]051<5=:o62=:<70:?d;324>{t<9o1<7=t^52f?827n3;:<63;0d82g<=z{=:m6=4<{<1;b?27m278584;0d9>05`=9k>0q~:>0;297~X399168<<51c68917728i27p};1383>7}:<=318<>4=531>4773ty?=>4?:2y]045<5=;>62=;870:>5;324>{t<8=1<7=t^534?82603;:<63;1682g<=z{=;36=4<{<67=?26>2785;4;179>04>=9k>0q~:>9;297~X39016828i27p};1`83>7}:;0?18<84=53b>4773ty?>54?:2y]07><5=826{t<=;1<7=t^562?823:3;i863;4082g<=z{=>96=4={<1:4?24m27?8?4>119~w1242908wS:;3:?701<6991689=51b;8yv23<3:1>v3<94877`=:<=>1=o:4}r672?6=;rT?8;524559546<5=>=62=>>70=66;671>;3<>0:n95r}o353a<72=qC?8k4}o353`<72=qC?8k4}o353c<72:qC?8k4}o35<5<728qC?8k4I0a:>4}62twe=;6>:182M52m2C:o44>{08~yk710;0;628q:6psa17:0>5<6sA9>i6G>c8824:|m53>2290:wE=:e:K2g<<6s80vqc?98783>4}O;x{i9?2<6=4>{I16a>O6k00:w<4r}o35<=<728qC?8k4I0a:>4}62twe=;66:182M52m2C:o44>{08~yk710h0;628q:6psa17:a>5<6sA9>i6G>c8824:|m53>c290:wE=:e:K2g<<6s80vqc?98d83>4}O;x{i9?2m6=4>{I16a>O6k00:w<4r}o35=5<728qC?8k4I0a:>4}62twe=;7>:182M52m2C:o44>{08~yk711;0;628q:6psa17;0>5<6sA9>i6G>c8824:|m53?2290:wE=:e:K2g<<6s80vqc?99783>4}O;x{i9?3<6=4<{I16a>{i9?336=4<{I16a>{i9?326=4<{I16a>{i9?3j6=4<{I16a>{i9?3i6=4<{I16a>{i9?3h6=4<{I16a>{i9?3o6=4<{I16a>{i9?3n6=4<{I16a>{i9?3m6=4<{I16a>{i9?k;6=4;{I16a>{i9?k:6=4={I16a>{i9?k96=4<{I16a>{i9?k86=4;{I16a>{i9?k?6=4={I16a>{i9?k>6=4={I16a>{i9?k=6=4={I16a>{i9?k<6=4={I16a>{i9?k36=4={I16a>{i9?k26=4={I16a>{i9?kj6=4={I16a>{i9?ki6=4={I16a>{i9?kh6=4<{I16a>{i9?ko6=4<{I16a>{i9?kn6=4>2zJ01`=zf854zJ01`=zf851zJ01`=N9j31=v?5}[17f?7|i>0vV<>l:0y00g0b8262e2twe=;l<:187e~N4=l1vb<8m4;295~N4=l1B=n751z39y_53j3;pmo4rZ02`>4}47}O;4}O;x{i9?h<6=4;{I16a>{i9?h36=4<{I16a>{i9?h26=48{I16a>{i9?hj6=4;{I16a>{i9?hi6=4<{I16a>{i9?hh6=4;{I16a>{i9?ho6=4<{I16a>{i9?hn6=48{I16a>{i9?hm6=4;{I16a>{i9?i;6=4;{I16a>{i9?i:6=4>{I16a>O6k00:w?4r}o35g7<728qC?8k4I0a:>4}52twe=;m<:182M52m2C:o44>{38~yk71k=0;628q96psa17a6>5<6sA9>i6G>c88274}O;x{i9?i26=4>{I16a>O6k00:w?4r}o35gd<728qC?8k4I0a:>4}52twe=;mm:182M52m2C:o44>{38~yk71kj0;628q96psa17ag>5<4sA9>i6sa17af>5<5sA9>i6sa17f2>5<5sA9>i6sa17f1>5<5sA9>i6sa17f0>5<5sA9>i6sa17f7>5<5sA9>i6sa17f6>5<5sA9>i6sa17f5>5<5sA9>i6sa17f4>5<5sA9>i6sa17f;>5<5sA9>i6sa17f:>5<5sA9>i6sa17fb>5<4sA9>i6sa17fa>5<4sA9>i6sa17f`>5<4sA9>i6sa17fg>5<2sA9>i6sa17ff>5<4sA9>i6sa17fe>5<4sA9>i6sa17g3>5<5sA9>i6sa17g2>5<5sA9>i6sa17g1>5<4sA9>i6sa17g0>5<4sA9>i6sa17g7>5<5sA9>i6sa17g6>5<6sA9>i6sa17g5>5<5sA9>i6sa17g4>5<6sA9>i6sa17g;>5<6sA9>i6G>c88271}O;4}O;x{i9?l:6=4;{I16a>{i9?l96=4>{I16a>O6k00:w?4r}o35b6<72=qC?8k4}o35b1<728qC?8k4I0a:>4}52twe=;h::181M52m2we=;h9:182M52m2C:o44>{08~yk71n>0;6?uG34g8yk71n10;628q96psa17d:>5<3sA9>i6sa17db>5<6sA9>i6G>c8827:|m53`c2909wE=:e:m53`b290:wE=:e:K2g<<6s;0vqc?9fg83>1}O;4}O;x{i9>::6=4={I16a>{i9>:96=4>{I16a>O6k00:w?4r}o3446<72=qC?8k4}o3441<728qC?8k4I0a:>4}62twe=:>::180M52m2we=:>9:182M52m2C:o44>{38~yk708>0;69uG34g8yk70810;628q96psa162:>5<5sA9>i6sa162b>5<6sA9>i6G>c88277}O;4}O;x{i9>;:6=4<{I16a>{i9>;96=4>{I16a>O6k00:w?4r}o3456<72;qC?8k4}o3451<728qC?8k4I0a:>4}52twe=:?::181M52m2we=:?9:182M52m2C:o44>{08~yk709>0;6>uG34g8yk70910;628q96psa163:>5<3sA9>i6sa163b>5<6sA9>i6G>c88277}O;4}O;x{i9>8:6=4:{I16a>{i9j?1<7?tH27f?xh6k?0;6290:wE=:e:m5fg=83;pD>;j;|l2gg<728qC?8k4}o3`g?6=9rB89h5rn0ag>5<6sA9>i6sa1bg94?7|@:?n7p`>cg83>4}O;:182M52m2we=i<50;3xL63b3td:h>4?:0yK70c51zJ01`=zf8n>6=4>{I16a>{i9m<1<7?tH27f?xh6l10;6;j;|l2`f<72;qC?8k4}o3ga?6=9rB89h5rn0fe>5<6sA9>i6sa1d294?7|@:?n7p`>e083>4}O;51zJ01`=zf8o36=4>{I16a>{i9l31<7?tH27f?xh6mh0;6;j;|l2ac<728qC?8k4}o3e4?6=9rB89h5rn0d1>5<6sA9>i6sa1g194?7|@:?n7p`>f583>4}O;51zJ01`=zf8lj6=4>{I16a>{i9oh1<7?tH27f?xh6nj0;6;j;|l145<728qC?8k4}o035?6=9rB89h5rn321>5<6sA9>i6sa21194?7|@:?n7p`=0583>4}O;9:181M52m2we>=950;0xL63b3td9<54?:0yK70c51zJ01`=zf;:j6=4>{I16a>{i:9h1<7?tH27f?xh58j0;6vF<5d9~j76b2909wE=:e:m20?=839pD>;j;|l51g<72:qC?8k4}o46g?6=:rB89h5rn77g>5<5sA9>i6sa64g94?7|@:?n7p`95g83>4}O;:182M52m2we:;<50;3xL63b3td=;=4?:0yK70c53zJ01`=zf?=96=4<{I16a>{i>>91<7?tH27f?xh1?=0;6;j;|l53=<728qC?8k4}o44=?6=9rB89h5rn75b>5<6sA9>i6sa66`94?7|@:?n7p`97b83>4}O;51zJ01`=zf?296=4>{I16a>{i>191<7?tH27f?xh10=0;6vF<5d9~j3>12909wE=:e:m2=1=83;pD>;j;|l5<=<728qC?8k4}o4;=?6=9rB89h5rn7:b>5<6sA9>i6sa69`94?7|@:?n7p`98b83>4}O;51zJ01`=zf?396=4<{I16a>{i>091<7=tH27f?xh11=0;6=3:1=vF<5d9~j3?1290:wE=:e:m2<1=83;pD>;j;|l5==<728qC?8k4}o4:=?6=9rB89h5rn7;b>5<6sA9>i6sa68`94?7|@:?n7p`99b83>4}O;51zJ01`=zf?k96=4>{I16a>{i>h91<7=tH27f?xh1i=0;6>uG34g8yk0f=3:1=vF<5d9~j3g1290:wE=:e:m2d1=83;pD>;j;|l5e=<728qC?8k4}o4b=?6=9rB89h5rn7cb>5<6sA9>i6sa6``94?7|@:?n7p`9ab83>4}O;51zJ01`=zf?h96=4>{I16a>{i>k91<7?tH27f?xh1j=0;6;j;|l5f=<728qC?8k4}o4a=?6=9rB89h5rn7`b>5<6sA9>i6sa6c`94?7|@:?n7p`9bb83>4}O;51zJ01`=zf?i96=4>{I16a>{i>j91<7?tH27f?xh1k=0;6;j;|l5g=<728qC?8k4}o4`=?6=9rB89h5rn7ab>5<6sA9>i6sa6b`94?7|@:?n7p`9cb83>4}O;51zJ01`=zf?n96=4>{I16a>{i>m91<7?tH27f?xh1l=0;6;j;|l5`=<728qC?8k4}o4g=?6=9rB89h5rn7fb>5<6sA9>i6sa6e`94?7|@:?n7p`9de83>6}O;50;3xL63b3td=i<4?:0yK70c7>51zJ01`=zf?o86=4>{I16a>{i>l>1<7?tH27f?xh1m<0;63:1=vF<5d9~j3c0290:wE=:e:m2`>=83;pD>;j;|l5a<<728qC?8k4}o4fe?6=9rB89h5rn7ga>5<6sA9>i6sa6da94?5|@:?n7p`9ee83>6}O;50;3xL63b3td=j<4?:0yK70c7>51zJ01`=zf?l86=4>{I16a>{i>o>1<7?tH27f?xh1n<0;63:1=vF<5d9~j3`0290:wE=:e:m2c>=83;pD>;j;|l5b<<728qC?8k4}o4ee?6=9rB89h5rn7da>5<6sA9>i6sa6ga94?7|@:?n7p`9fe83>4}O;50;1xL63b3td<<<4?:2yK70c7>51zJ01`=zf>:86=4>{I16a>{i?9>1<7?tH27f?xh08<0;63:1=vF<5d9~j260290:wE=:e:m35>=83;pD>;j;|l44<<728qC?8k4}o53e?6=9rB89h5rn62a>5<6sA9>i6sa71f94?7|@:?n7p`81083>6}O;4;297~N4=l1vb:?::180M52m2we;<650;3xL63b3td<=44?:0yK70c51zJ01`=zf>;i6=4>{I16a>{i?8n1<7?tH27f?xh09o0;6;j;|l462<728qC?8k4}o515<6sA9>i6sa73c94?7|@:?n7p`n1983>0}O;9;296~N4=l1vbl?n:182M52m2wem51zJ01`=zfh;n6=4>{I16a>{ii8l1<7?tH27f?xhf:90;6;j;|lb61<728qC?8k4}oc11?6=9rB89h5rn`0;>5<5sA9>i6saa3;94?5|@:?n7p`n2`83>4}O;h4?:0yK70c53zJ01`=zfh9>6=4={I16a>{ii:<1<7?tH27f?xhf;>0;6290:wE=:e:me6g=838pD>;j;|lb7g<72;qC?8k4}oc0a?6=;rB89h5rn`1e>5<6sA9>i6saa5294?7|@:?n7p`n4083>4}O;51zJ01`=zfh><6=4>{I16a>{ii=31<7;j;|lb0`<728qC?8k4}oc7b?6=9rB89h5rn`73>5<6sA9>i6saa4394?7|@:?n7p`n5383>4}O;;7>51zJ01`=zfh?26=4={I16a>{ii;j;|lb1c<72;qC?8k4}oc54?6==rB89h5rn`42>5i6saa7094?4|@:?n7p`n6283>7}O;51zJ01`=zfh<26=4>{I16a>{ii?k1<7?tH27f?xhf>k0;6;j;|lb2c<72:qC?8k4}oc44?6=;rB89h5rn`52>5<6sA9>i6saa6094?7|@:?n7p`n7283>4}O;51zJ01`=zfh=26=4>{I16a>{ii>k1<7?tH27f?xhf?k0;6;j;|lb<5<728qC?8k4}oc;5?6=9rB89h5rn`:1>5<6sA9>i6saa9794?7|@:?n7p`n8783>4}O;51zJ01`=zfkih6=4={I16a>{ijjn1<7vF<5d9~jgb62909wE=:e:mfa4=83;pD>;j;|la`6<728qC?8k4}o`g0?6=9rB89h5rncf6>5<6sA9>i6sabe494?7|@:?n7p`md883>=}O;52zJ01`=zfko:6=4>{I16a>{ijl91<7;j;|laa=<728qC?8k4}o`f=?6=:rB89h5rncgb>5<5sA9>i6sabd`94?5|@:?n7p`meb83>4}O;52zJ01`=zfkl>6=4>{I16a>{ijo=1<7?tH27f?xh6::>1<7=tH27f?xh6::?1<7?tH27f?xh6::<1<7?tH27f?xh6::=1<7?tH27f?xh6::21<7?tH27f?xh6::31<7=tH27f?xh6::k1<7?<{I16a>{i9;9i6=4<{I16a>{i9;9h6=49{I16a>{i9;9m6=4>{I16a>{i9;>;6=4<{I16a>{i9;>:6=4<{I16a>{i9;>96=4:{I16a>{i9;>?6=4;{I16a>{i9;>>6=4<{I16a>{i9;>=6=4>{I16a>{i9;><6=4>{I16a>{i9;>36=4>{I16a>{i9;>26=4>{I16a>{i9;>j6=4>{I16a>{i9;>i6=4;{I16a>{i9;>h6=4<{I16a>{i9;>o6=4>{I16a>{i9;>n6=4;{I16a>{i9;>m6=4<{I16a>{i9;?;6=4>{I16a>{i9;?:6=4;{I16a>{i9;?96=4<{I16a>{i9;?86=4>{I16a>{i9;??6=4;{I16a>{i9;?>6=4<{I16a>{i9;?=6=4>{I16a>{i9;?<6=4={I16a>{i9;?36=4={I16a>{i9;?26=4={I16a>{i9;?j6=4>{I16a>{i9;?i6=4>{I16a>{i9;?h6=4>{I16a>{i9;?o6=4>{I16a>{i9;?n6=4>{I16a>{i9;?m6=4>{I16a>{i9;<;6=4>{I16a>{i9;<:6=4>{I16a>{i9;<96=4>{I16a>{i9;<86=4>{I16a>{i9;{I16a>{i9;<>6=4>{I16a>{i9;<=6=4={I16a>{i9;<<6=4={I16a>{i9;<36=4={I16a>{i9;<26=4={I16a>{i9;{i9;{i9;{I16a>{i9;{I16a>{i9;{I16a>{i9;{I16a>{i9;=;6=4>{I16a>{i9;=:6=4:{I16a>{i9;=96=4<{I16a>{i9;=86=4<{I16a>{i9;=>6=4<{I16a>{i9;==6=4>{I16a>{i9;=<6=4>{I16a>{i9;=36=4>{I16a>{i9;=26=4>{I16a>{i9;=j6=4>{I16a>{i9;=i6=4>{I16a>{i9;=h6=4>{I16a>{i9;=o6=4>{I16a>{i9;=n6=4>{I16a>{i9;=m6=4>{I16a>{i9;2;6=4>{I16a>{i9;296=4={I16a>{i9;286=4>{I16a>{i9;2?6=4>{I16a>{i9;2<6=4<{I16a>{i9;236=4<{I16a>{i9;226=4:{I16a>{i9;2j6=4<{I16a>{i9;2i6=4>{I16a>{i9;2h6=4>{I16a>{i9;2o6=4<{I16a>{i9;2n6=4<{I16a>{i9;2m6=4>{I16a>{i9;3;6=4>{I16a>{i9;3:6=4>{I16a>{i9;396=4>{I16a>{i9;386=4>{I16a>{i9;3?6=4>{I16a>{i9;3>6=4>{I16a>{i9;3=6=4>{I16a>{i9;3<6=4<{I16a>{i9;336=4:{I16a>{i9;326=4:{I16a>{i9;3j6=4:{I16a>{i9;3i6=4<{I16a>{i9;3h6=4>{I16a>{i9;3o6=4>{I16a>{i9;3n6=4<{I16a>{i9;3m6=4<{I16a>{i9;k;6=4>{I16a>{i9;k:6=4>{I16a>{i9;k96=4>{I16a>{i9;k>6=4>{I16a>{i9;k=6=4<{I16a>{i9;k<6=4<{I16a>{i9;k36=4:{I16a>{i9;k26=4<{I16a>{i9;kj6=4<{I16a>{i9;ki6=4:{I16a>{i9;ko6=4<{I16a>{i9;kn6=4>{I16a>{i9;km6=4>{I16a>{i9;h;6=4>{I16a>{i9;h:6=4>{I16a>{i9;h96=4>{I16a>{i9;h<6=4<{I16a>{i9;h26=4<{I16a>{i9;hj6=4>{I16a>{i9;hi6=4>{I16a>{i9;hh6=4>{I16a>{i9;ho6=4>{I16a>{i9;hn6=4>{I16a>{i9;hm6=4>{I16a>{i9;i;6=4>{I16a>{i9;i:6=4>{I16a>{i9;i86=4>{I16a>{i9;i?6=4>{I16a>{i9;i>6=4<{I16a>{i9;i=6=4>{I16a>{i9;i<6=4>{I16a>{i9;i36=4>{I16a>{i9;i26=4>{I16a>{i9;ij6=4>{I16a>{i9;ii6=4>{I16a>{i9;ih6=4>{I16a>{i9;io6=4>{I16a>{i9;in6=4>{I16a>{i9;n:6=4>{I16a>{i9;n96=4>{I16a>{i9;n86=4<{I16a>{i9;n>6=4={I16a>{i9;n=6=4={I16a>{i9;n<6=4>{I16a>{i9;n36=4>{I16a>{i9;n26=4>{I16a>{i9;nj6=4>{I16a>{i9;ni6=4>{I16a>{i9;nh6=4>{I16a>{i9;no6=4>{I16a>{i9;nn6=4>{I16a>{i9;nm6=4>{I16a>{i9;o;6=4>{I16a>{i9;o:6=4>{I16a>{i9;o96=4>{I16a>{i9;o86=4>{I16a>{i9;o?6=4>{I16a>{i9;o>6=4>{I16a>{i9=nm6=4;{I16a>{i9=o:6=4;{I16a>{i9=o96=4;{I16a>{i9=o86=4;{I16a>{i9=o?6=4;{I16a>{i9=o>6=4;{I16a>{i9=o=6=4;{I16a>{i9=o<6=4;{I16a>{i9=o36=4;{I16a>{i9=o26=4={I16a>{i9=oj6=4={I16a>{i9=oi6=4={I16a>{i9=oh6=4={I16a>{i9=oo6=4={I16a>{i9=on6=4={I16a>{i9=om6=4<{I16a>{i9=l;6=4;{I16a>{i9=l:6=4;{I16a>{i9=l96=4;{I16a>{i9=l86=4;{I16a>{i9=l?6=4;{I16a>{i9=l>6=4;{I16a>{i9=l=6=4;{I16a>{i9=l<6=4={I16a>{i9=l36=4={I16a>{i9=l26=4={I16a>{i9=lj6=4>{I16a>{i9=li6=4>{I16a>{i9=lh6=4>{I16a>{i9=lo6=4>{I16a>{i9=ln6=4>{I16a>{i9=lm6=4>{I16a>{i9<:;6=4>{I16a>{i9<::6=4>{I16a>{i9<:96=4>{I16a>{i9<:>6=4>{I16a>{i9<:=6=4>{I16a>{i9<:<6=4>{I16a>{i9<:26=4={I16a>{i9<:j6=4>{I16a>{i9<:h6=4={I16a>{i9<:o6=4>{I16a>{i9<:n6=4>{I16a>{i0;0;6o7>51zm627=83;pqc<60;297~{i:1k1<7?t}o0bb?6=;rwe>l750;3xyk4dm3:1?vsa2b:94?7|ug8nh7>53zm6`1=83;pqc=?c;297~{i;9<1<7?t}o11f?6=;rwe??;50;3xyk53i3:1?vsa35694?7|ug9=57>53zm735=83;pqc=78;297~{i;181<7?t}o562?6=9rwe;;l50;3xyk1?83:1=vsa78d94?5|ug=257>51zm3g2=83;pqc9k3;297~{i?jn1<7?t}o5e6?6=;rwe;hm50;3xyk>693:1?vsa81`94?7|ug29:7>51zm<6d=83;pqc6:0;295~{i0??1<7?t}o:4e?6=9rwe45h50;3xyk>fm3:1?vsa8`:94?7|ug2hh7>53zm51zmf1g=839pqcl;4;295~{ik;>1<7?t}oa77?6=;rweo>j50;3xyke1:3:1?vsac4a94?7|ugi3=7>53zmg2d=83;pqcmn0;297~{ik0k1<7?t}oaab?6=;rweoo750;3xykecm3:1?vsace:94?7|ugimh7>53zmgc1=83;pqcj>c;297~{il8<1<7?t}of0f?6=;rweh>;50;3xykb2i3:1?vsad4694?7|ugn<57>53zm`25=83;pqcj68;297~{il081<7?t}ofa3?6=;rweho?50;3xykbc>3:1?vsade294?7|ugnm97>53zm```=83;pqck>4;297~{im9o1<7?t}og07?6=;rwei?j50;3xykc2:3:1?vsae5a94?7|ugo<=7>53zma3d=83;pqck60;297~{im1k1<7?t}ogbb?6=;rweil750;3xykcdm3:1?vsaeb:94?7|ugon?7>51zmb54=839pqckic;295~{in;;1<7=t}od2f?6=9rwej9>50;1xyk`4i3:1=vsaf4d94?5|ugl>57>51zmb2c=839pqch88;295~{in0n1<7=t}od:3?6=9rwejom50;1xyk`e>3:1=vsafe`94?5|uglo97>51zmbcg=839pqchi4;295~{i99;26=4<{|l2445=83;pqc?<0483>4}zf89:m7>51zm564a290:wp`>35g94?5|ug;8854?:0y~j451l3:1?vsa1244>5<6std:?5m50;1xyk740?0;6o::182xh6;jk1<7=t}o30g1<728qvb<=j9;297~{i9:o86=4>{|l205>=839pqc?;0383>4}zf8>9;7>53zm5146290:wpsr}AB@4g>i3lm999705CDG}7uIJ[wpNO \ No newline at end of file +$5745=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb7LQISC;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD7?GGD\<1IMNZ>5:@BGQ423KKHX:4BDPQ1>DBZ[:=7OK]R1pf?GCUZ9xTSb|?012e?GCUZ9xTSb|?0122b>DBZ[:ySRa}012360=EM[X::6LJRS3qa>DBZ[;ySRa}0123b>DBZ[;ySRa}01235c=EM[X:~RQ`r12347385MESP01>DBZ[>>7OK]R4;8F@TUW@EI_i5MESP\[dhc89:;i6LJRS]\ekb789::o6LJRS]\kw6789n0NH\]_^mq45679m1II_\P_np34565l2HN^_QPos23455c3KOY^RQ`r12341bDBZ[xm56LJRSpe[K6f3KOY^hPN135?GCUZ{xn7OK]Rsp\jjr789;m7OK]Rsp\jjr789;:?6LZF028FPOKLV^J^JK[ASAK<>DR[VCEJB?4C59@53K43J2F86MNCU08G@5EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:Ff?ATDWZ_HOH]\FTN6?ARFKB<0HYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798l0EBLJRS]mkq67888m7DAMESP\jjr789;886G@BL68MJDT>2CDOBC_4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok1d9Okdb6WVkeh=>?059N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos234576:2^bahazt@]\kw6789;;=<5[ilglqqGXWfx;<=>=109Wmhch}}KTSb|?012054=SadodyyOP_np34563981_e`k`uuC\[jt789:>=<5[ilglqqGXWfx;<=>9109Wmhch}}KTSb|?012454=SadodyyOP_np3456?981_e`k`uuC\[jt789:246ZfmdmvpGd<\`gncxzMPsg27>Rnele~xO^}e^]lv56788>0XdcjotvATwcXWfx;<=>>159Wmhch}}H[~hQPos23454682^bahaztC]\kw6789;:7YgbenwwFZYhz9:;<;UknajssJVUd~=>?0332?QojmfNRQ`r123465<]JLj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK<1SSB@J6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`eej6Vkh^Vp`QojmZzno<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=8m4n@Q\jjr789;=;6`NotvLAf=iIfCHQ@BTD6?kDBZ[<0bOK]R0;8jGCUZVLXNh5aBDPQ[CUEWFH^J45aBDPQ[LIEm2dII_\PIN@\MGSA<2dHM^j4nBCP[FjlW@D]=:5aC@Q\J5??f:lGPDELWVey<=>?1g9m@QGDCVUd~=>?0348jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345c>1028jAir|FOTbbz?013155=iLfCHQaou23445682dOcxz@E^llp5679=;;7cJ`uuMF[kis89::9<>4nEmvpJCXff~;<=?9119m@jssGLUecy>?00524>hCg|~DIR``t1235=773gNdyyAJ_omw45661=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCf8jIBTW@EISBLZFb9mHAUXign;<=?k;oNGWZgil9:;=n5aLEQ\jjr789;o7cBKS^llp56798h0bAJ\_np3457d3gFO_Ra}01225f=iDMYTc>?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0cfg>FGp8kj6K4;:0yPe7<4180:o84>35454g<6j>;?wc=7e;38j6>a2?1/?5753938yVg62:3:65<628qXm?4<9082g0<6;=<=b637?!?62=?87Eo7;wVe6?6=93;1?5?tS`097<7=9j?1=>:961`95g16<2.8:44>a79U7=e=:r:mn4>;t3b`?6d3|@:<<7)=;f;3be>\>:38p47l5}%3b7?5312c2h7>5;n1`0?6=3`9jm7>5;n67e?6=3`>9<7>5;n62g?6=3f>:<7>5;h1af?6=3f>;o7>5;n1a`?6=3`>:;7>5;h1`2?6=3f9jo7>5;n1a1?6=3f>;i7>5;h67g?6=3f>9>7>5;n1ef?6=3f9j<7>5;n1b2?6=3`9n=7>5;h;:>5<>i4m:0;66a;3083>>i4ko0;66a>o3>i6mj0;6)?lf;3ff>h6kl0;76a>e`83>!7dn3;nn6`>cd82?>i6n<0;6)?lf;3ff>h6kl0976a>f583>!7dn3;nn6`>cd80?>i6n:0;6)?lf;3ff>h6kl0?76a>f383>!7dn3;nn6`>cd86?>i6n80;6)?lf;3ff>h6kl0=76a>f183>!7dn3;nn6`>cd84?>i6mo0;6)?lf;3ff>h6kl0376a>ed83>!7dn3;nn6`>cd8:?>i6mm0;6)?lf;3ff>h6kl0j76a>e883>!7dn3;nn6`>cd8a?>o3l3:1(;:k7e?6=,8im69m4n0af>7=cg87g>h6kl0876g;7;29 4ea2=i0b5$0ae>1ecd84?>o3;3:1(d=cg87g>h6kl0i76g97>5$0ae>1ecd8e?>o2;3:1(42<3`>n6=4+1bd90f=i9jo1=854i5:94?"6ko0?o6`>cd822>=e;021<7?m:5`91c}O;?=0(>:i:`18^<4=9r31q)?n3;17=>\45<i6=44o212>5<!7dn3;i7c?le;68?l73290/=nh51c9m5fc==21b=>4?:%3`b?7e3g;hi784;h31>5<#9jl1=o5a1bg93>=n980;6)?lf;3a?k7dm3207d??:18'5f`=9k1e=nk59:9jb?6=,8im6d=5<#9jl1=o5a1bg9`>=n:=0;6)?lf;3a?k7dm3o07d<<:18'5f`=9k1e=nk5f:9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;1<1<7?50;2x 62a2:<37E=74:J022=#;1h1=o=4$0c7>1323f;h87>5$2:a>4d?32wi?kh50;796?0|,:>m6kk4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg2783:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;0`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e99l1<7750;2x 62a2:=0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876g>0e83>!5?j3;:?65`1c394?"40k0:n554}c324?6=13:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?l77i3:1(>6m:030?>o68k0;6)=7b;327>=n99i1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm32094?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>=;:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg54=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd66;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm15g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8>m6=4;:183!53n3997E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c630?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th?<84?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a050=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9>8:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb52;>5<3290;w)=;f;11?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7a03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl>f883>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bd<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=kl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4`d29086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj8lo6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bc<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5110;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm28;94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;3j6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0:f?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th95n4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb3;g>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==55;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907b?m1;29 6>e28h376sm36c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>6>43A9386F<669'7=d=;180(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:k50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm36d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj:926=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>=k:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`07`<72<0;6=u+35d976=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?l7713:1(>6m:030?>i6j80;6)=7b;3a<>=zj:9m6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c174?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th88<4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a714=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>:<:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb267>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4;h0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8?o4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb21`>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?<8;291?6=8r.88k4<3:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6;j0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm12f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj89n6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn<=i:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb012>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:?>4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376sm12694?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6;4;l0:<;5232d955355`=99301>=j:024?85383;;96s|e;290~Xb34;;j7??a:?07`<68116?9?51178yv`=83>pRk5211d955d<5:926<>8;<30=?77>2wx==4?:4y]55=:99l1==m4=21f>46>349?>7??5:?27<<68>1vk;<10=?77>2wx=?4?:5y]57=:98:1==84=25:>46034;847??6:p56<72=qU=>521029551<5:=26<>9;<3046?34;847??8:p50<72=qU=852102955?<5:=26<>6;<3046134>;87??5:?1=<<68<16?:o51148945128:=7p}>9;29=~X6127:==4>0c9>765=99?019>::026?87a13;;;63=9`8240=:;>k1==94=013>46234;8n7??7:p5d<72jqU=l52102955e<5:996<>8;<37g?77=27?<>4>069>5c>=99?01k3;;:63<7c8242=:9:i1==;4=01f>46134;8j7??6:p5f<720qU=n52102955b<5:9?6<>:;<37a?77=27?<;4>049>5cd=99?01?7m:026?850k3;;963>368243=z{;91<7=t^318946a28:=70={t:=0;6>uQ259>55`=99=01>=k:024?xu1l3:1?vP9d:?74d<68<168==51148yv??2908wS77;<1eb??434>;577<;|q24`<72;qU==k4=032>4d63ty:55`=9k;01:025?xu6990;6?u210295g7<58;:6<>8;|q26c<72;qU=?h4=014>4d63ty:?=4?:3y>566=9k;01<=j:024?xu6;80;6?u212395g7<58996<>8;|q277<72;q6=><51c38945428:=7p}>3283>7}:9:91=o?4=017>4603ty:?94?:3y>562=9k;01<=::024?xu6;<0;6?u212795g7<589=6<>8;|q273<72;q6=>851c38945028:<7p}>3983>7}:9:21=o?4=017>4613ty:?44?:3y>56?=9k;01<=<:024?xu6;h0;6?u212c95g7<589m6<>8;|q27g<72;q6=>l51c38945628:=7p}>3b83>7}:9:i1=o?4=012>4603ty:?i4?:3y>56b=9k;01<=<:02;?xu6;l0;6?u212g95g7<589>6<>9;|q27c<72;q6=>h51c38945528:=7p}>4c83>7}Y9=h01<:i:0`2?xu6m6<>8;|q20a<72;q6=9j51c38942a28:=7p}>4d83>7}:9=o1=o?4=06e>46?3ty:mh4?:3y>7=3=999019>6:8d8yv7fn3:1>v3<8782g1=:;ol1m:5rs0d4>5<5sW;m;63>fg82f4=z{8l36=4={<3e069~w4`>2909w0?i9;3a5>;6nm0:<;5rs0db>5<5s4;mm7?m1:?2ba<68>1vfg8242=z{8lh6=4={<3eg?7e927:jh4>079~w4`c2909w0?id;3a5>;6nl0:<:5rs0df>5<5s4;mi7?m1:?2bc<68?1v?78:181[4>?2795h4>b09~w7??2909w0<68;3a5>;51j0:<:5rs3;:>5<5s48257?m1:?1=`<68?1v?7n:18184>i3;i=63=9e8243=z{;3i6=4={<0:f?7e92795i4>069~w7?d2909w0<6c;3a5>;51l0:<:5rs3;g>5<5s482h7?m1:?1=`<6811v>=>:181[549278?;4>b09~w6552909w0=<2;3a5>;4;?0:<;5rs210>5<5s498?7?m1:?070<68?1v>=;:181854<3;i=63<348242=z{:9>6=4={<101?7e9278?;4>069~w65?2909wS=<8:?07f<6j81v>=6:18185413;i=63<3`8243=z{:9j6=4={<10e?7e9278?n4>079~w65e2909w0=;4;j0:<:5rs21g>5<5s498h7?m1:?006<68>1v>=j:181854m3;i=63<3c8243=z{:9m6=4={<10b?7e9278894>079~w6272909w0=;0;3a5>;4<=0:<:5rs262>5<5s49?=7?m1:?001<6811v>:=:181853:3;i=63<45824<=z{:>86=4={<177?7e9278?l4>069~w6232909w0=;4;3a5>;4;k0:<:5rs25;>5<5s493<7?m1:?006<68?1v>96:18185013;i=63<818242=z{:=j6=4={<14e?7e9278;k4>079~w61e2909w0=8b;3a5>;4?l0:<;5rs25`>5<5s491v>9k:181850l3;i=63<81824==z{:=n6=4={<14a?7e9278;k4>069~w61a2909w0=8f;3a5>;4090:<;5rs2de>5<5s49mj7?j8:?74<?:0`2?xu38;0;6?u23gd9=2=:<921=o?4}r637?6=:r7?<>4>b09>05>=99=0q~:?4;296~;38=0:n<524159550;97>52z?740<6j8168=951158yv27>3:1>v3;0782f4=:<921==64}r633?6=:r7?<:4>b09>05>=99<0q~:?9;293~;3800:i5521gc9553<58lh6<>9;<0:079>56g=99?01<=m:025?xu38k0;6?u241;9=2=:<9k1=o?4}r623?6=:rT?=:524129553?i7>54z\70`=:9=n1==;4=25g>46234;8h7??5:~f135290887m7:d5xL6003-9?j7?i6:X:6?4|03k1q)?n3;17=>\45<5<5<5<5<1<75`3g694?=h9li1<7*>cg82ag=i9jo1<65`1dc94?"6ko0:io5a1bg95>=h9o?1<7*>cg82ag=i9jo1>65`1g694?"6ko0:io5a1bg97>=h9o91<7*>cg82ag=i9jo1865`1g094?"6ko0:io5a1bg91>=h9o;1<7*>cg82ag=i9jo1:65`1g294?"6ko0:io5a1bg93>=h9ll1<7*>cg82ag=i9jo1465`1dg94?"6ko0:io5a1bg9=>=h9ln1<7*>cg82ag=i9jo1m65`1d;94?"6ko0:io5a1bg9f>=n900;6)?lf;3;?k7dm3:07d?8:18'5f`=911e=nk51:9j50<72-;hj7?7;o3`a?4<3`;?6=4+1bd95==i9jo1?65f1283>!7dn3;37c?le;68?l75290/=nh5199m5fc==21b=<4?:%3`b?7?3g;hi784;h33>5<#9jl1=55a1bg93>=nn3:1(cd8b?>od290/=nh5199m5fc=j21b>94?:%3`b?7?3g;hi7m4;h00>5<#9jl1=55a1bg9`>=n:;0;6)?lf;3;?k7dm3o07d<>:18'5f`=911e=nk5f:9j65<72-;hj7?7;o3`a?7732c:j7>5$0ae>4>5<#9jl1=55a1bg957=cg82<>h6kl0:?65f1783>!7dn3;37c?le;37?>d40<0;6<4?:1y'71`=99>0D>6;;I153>"40k085?5+1`69003<3th9=;4?:481>3}#;=l1jh5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm1c;94?3=:3:i:gg8L6>33A9=;6*>1485?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f26=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg45=3:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=3683>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e:9;1<7=52;6x 62a2on0D>6;;I153>"69<0=7)?n4;661>\45<5<{8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb20;>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9ih7>53;090~"4188;4Z26f>4}>j3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi>l>50;196?2|,:>m6kj4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t9c8~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5lh0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2ed94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg25i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<8k1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb301>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4k;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2e094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;n86=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>lj:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?km50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`c29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th?>n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a07b=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn95<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg25n3:197>50z&00c<4;2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>4d?32wi?k950;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`?29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=i9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4nh0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?;::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb375>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6;7>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:6=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb37e>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9:>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=6583>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:??1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>;850;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c05=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg41i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=6c83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`12f<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;5$2:a>47432e:n<4?:%1;f?7e021vn?9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm26794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?98:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb35;>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5?k0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9;n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a62b=8391<7>t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:>o1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c0;6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th94>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=8583>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj;236=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0;=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4?i3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>5j50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm29g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;2m6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?7?:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1g2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;i26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?mn:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4dj3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=cb83>0<729q/?9h5329K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9oh4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=cg83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;i36=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl=b383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:kn1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd5jo0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9o=4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a6f7=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?m=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4d;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5j=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9n84?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=b783>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1f2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;h36=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?l6:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1fd<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;hi6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?ll:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?o7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4f13:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5ij0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2`f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;kn6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0bb?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:h91<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>l:50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm2`794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj;k<6=4;:183!53n3;i:6F<859K731<,:2i67:18'7=d=98907b?m1;29 6>e28h376sm1c`94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8hh6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3a`?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5g`=83?1<7>t$26e>65<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>o6800;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm22;94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?:l:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg43l3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=4d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:=l1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb373>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6=7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>o50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f75e29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c00`?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg44m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=4183>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th98?4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb360>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;>=6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:8:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`10=<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5<00;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:n:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb36a>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd58:0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>j:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg47n3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=1183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`154<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi><<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>4;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`150<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>=:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f762290?6=4?{%17b?7e>2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi>=850;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm21594?2=83:p(>:i:208L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h336m:030?>i6j80;6)=7b;3a<>=zj;:26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>n:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`14g<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;:h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>k:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9?=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26;3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;1583>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg26=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0a;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`15g<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77c29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>e;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd59o0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`164<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5900;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?<8:187>5<7s-9?j7==;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876g>0983>!5?j3;:?65`1c394?"40k0:n554}c01b?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4483:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=3083>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e::81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb310>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f74?29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9>44?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=2`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`16g<72=0;6=u+35d95g0<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=2e83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=z{j0;6?uQc:?112<68?1vi4?:3y]`>;5=o0:<;5rsd83>7}Ym279:84>079~wc<72;qUj63=6c8243=z{8:1<71;296~X69279;:4>079~w44=838pR<<4=35g>4613ty:?7>52z\27>;50:0:<;5rs0694?4|V8>01?66:025?xu6>3:1>vP>6:?110<68>1v<950;0xZ41<5;2o6<>8;|q2`?6=:rT:h63=5e8242=z{8o1<7f;296~X6n279:44>069~w76=838pR?>4=34e>4603ty9=7>52z\15>;5?<0:<:5rs3094?4|V;801?9m:024?xu5;3:1>vP=3:?1<4<68>1v?:50;0xZ72<5;2<6<>8;|q5`?6=9;qU:i52718b3>;59?0j;63>b88b3>;5k00:<:522ba9550<5;hn6<>:;<0ab?77?279o94>049>6dd=99=01462348:<7??6:?15`<68<16>><51178yv17290>w09?:0g;?84d83;;963=518240=::8;1==;4=30;>4613ty247>5cz\:<>;59?02?63>b88:7>;0833870<=5;;0?844?338706<><;<022??a34;i577i;|q2f<<72>q6=o751d:897ef28:>70;58;<01b?77>2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894da28:37p}>be83>7}:9kn1=o?4=0`e>46>3ty:nh4?:3y>5gc=9k;019;|q2a<<72;qU=h74=37;>4d63ty:il4?:3y]5<=Y9lk0q~?jc;297~X6=2T:in5229d955052z\2aa=::?:1=o?4}r3fa?6=:rT:ih5227495g752z\2ac=::?i1=o?4}r3e4?6=:rT:j=5226095g752z\2b4=::>21=o?4}r3e6?6=:rT:j?5226g95g752z\2b6=::1>1=o?4}r3e0?6=:rT:j95229c95g752z\2b0=::0:1=o?4}r3e3?6=nrT:j:522ec9553<5;i26<>9;<0`5?77=279mo4>079>5g`=99<01?:i:026?842:3;;963=3d8243=::9l1==;4=331>46234>:=7??5:?15c<68?16>?h51158975628:>7p}=0183>1}::;>1=o?4=50e>461349mm7??6:?757<68<1v?>>:18184793;n463=228240=z{;:96=4={<035?g0348;h7?m1:p655=838p1?><:0`2?847j3;;:6s|21694?4|5;:?6;<033?7702wx>=;50;0x976228h:70{t:9<1<74d6348;57??7:p651=838p1?>8:0`2?847l3;;46s|21:94?4|5;:36;<03e?77>2wx>=750;0x976>28h:70{t:9k1<74d6348;n7??7:p65d=838p1?>m:0`2?847k3;;:6s|21a94?4|5;:h6;<03`?77?2wx>=k50;0x976b28h:70{t:9l1<74d6348;97??8:p646=838p1???:0`2?84713;;:6s|20394?4|5;;:6;<032?77>2wx><<50;0x977528h:70{t:891<74d6348;o7??7:p642=838p1??;:0`2?847l3;;:6s|20794?4|5;;>6;<033?77>2wx><850;0x977128o370<=2;331>{t:8=1<7<1<5;;26;|q15=<72;q6><651c38974628:=7p}=1`83>7}::8k1=o?4=303>4613ty9=o4?:3y>64d=9k;01??i:024?xu59j0;6?u220a95g7<5;;m6<>7;|q15a<72;q6>7}::8o1=o?4=33:>4603ty9=k4?:3y>64`=9k;01?8;|q164<72;q6>??51c38977>28:=7p}=2383>7}::;81=o?4=307>4613ty9>>4?:3y>675=9k;01?<;:024?xu5:<0;6?u223795`><5;nm6<>9;|q163<72;q6>?;5a69>67c=9k;0q~<=7;296~;5:>0:n<5223f955052z?16=<6j816>?o51148yv4513:1>v3=2882f4=::;i1==64}r01e?6=:r79>l4>b09>67d=99<0q~<=b;296~;5:k0:n<5223a955152z?16f<6j816>?j51158yv45l3:1>v3=2e82f4=::;o1==84}r01b?6=:r79>k4>b09>67d=99=0q~<<0;296~;5;90:n<5223:955152z?174<6j816>?o51158yv44:3:1>v3=3382f4=::;h1==64}r007?6=:r79?>4>b09>67e=99<0q~<<4;296~;5;=0:n<5223;955052z?170<6j816>?751158yv44>3:1>v3=3782f4=::;o1==94}r003?6=9?q6>>951d:896e528:>70<:5;332>;5=?0:<85224f9550<5;?n6<>:;<057?77>279:94>049>63?=99<01?8n:026?841n3;;:63=718240=::>?1==84=355>4623485?5114897>528:>70<77;332>;5010:<85229f9550<5;2n6<>:;|q17=<72;q6>>95a69>61d=9k;0q~<<9;296~;5;00:n<5222a955052z?17d<6j816>9651158yv44j3:1>v3=3c82f4=::=k1==94}r00g?6=:r79?n4>b09>617=99<0q~<52z?17`<6j816>9<51148yv44n3:1>v3=3g82f4=::=81==94}r074?6=:r798=4>b09>615=99=0q~<;1;296~;5<80:n<5225795517>52z?107<6j816>9851158yv43;3:1>v3=4282f4=::==1==94}r070?6=:r79894>b09>66e=99=0q~<;5;296~;5<<0:n<5225`955052z?103<6j816>9951148yv43?3:1>v3=4682f4=::=21==84}r07b09>61?=99=0q~<;9;296~;5<00:n<5225c955052z?10d<6j816>9l51158yv43k3:1>v3=4b82f4=::=;1==94}r07`?6=:r798i4>b09>66b=99=0q~<;e;296~;552z?10c<6j816>>h51148yv4283:1>v3=5182f4=:::l1==64}r065?6=:r799<4>b09>616=99<0q~<:2;296~;5=;0:n<52252955187>52z?110<6j816>8651148yv42=3:1>v3=5782f4=::<=1==94}r062?6=:r799:4>b09>60>=99=0q~<:c;296~;5=m0:n<522729550h7>52z?11`<6j816>8h51158yv42m3:1>v3=5g82f4=::?:1==94}r056?6=:r79:>4>b09>630=99<0q~<93;296~;5>=0:n<52277955152z?120<6j816>;851158yv4103:1>v3=6882f4=::?i1==84}r05=?6=:r79:l4>b09>63d=99=0q~<9a;296~;5>k0:n<5227a955152z?12c<6j816>:<51148yv41n3:1>v3=7182f4=::>;1==94}r044?6=:r79;<4>b09>624=99=0q~<84;296~;5?<0:n<5226:955052z?133<6j816>:951158yv40>3:1>v3=7682f4=::>21==94}r04e?6=:r79;o4>b09>62c=99<0q~<8b;296~;5?j0:n<5226f955152z?13a<6j816>:k51158yv4?83:1>v3=8082f4=::1>1==84}r0;5?6=:r794?4>b09>6=5=99=0q~<72;296~;50:0:n<52296955152z?1<2<6j816>5o51148yv4??3:1>v3=8982f4=::131==94}r0;b09>6=g=99=0q~<7c;296~;50m0:n<52282955052z?1<`<6j816>5h51158yv4?m3:1>v3=8g82f4=::0:1==94}r0:3?6=:rT95:5240c9553510y>6d6=9l201?j=:026?84d?3;;;63=cb824==::kn1==84=3a0>460348jm7??5:?1e0<68?16=oj51178975>28:<70<;59:0:<8522159551<5;;j6<>9;<02f?77=279>:4>079>665=99?0q~079~w7g42909w0;5i>0:<;5rs3c7>5<5s48j87?m1:?1e3<68?1v?o::18184f=3;i=63=a68242=z{;k=6=4={<0b2?7e9279m:4>099~w7g?2909w0;5io0:<:5rs3c:>5<5s48j57?m1:?1e6<68?1v?on:18184fi3;i=63=a28242=z{;ki6=4={<0bf?7e9279m;4>069~w7gd2909w0;5i=0:<;5rs3cg>5<5s48jh7?m1:?1e1<68>1v?oj:18184fm3;i=63=a7824==z{;km6=4={<0bb?7e9279m84>069~w7d7290:8v3=b182a==::m91==84=3a4>461348ho7??9:?1g7<68<16>o=5114897g528:>70;5im0:<8521ca9553<5;>h6<>:;<00e?77=279?i4>079>655=99<01??;:024?846=3;;963=1`8242=::8i1==;4=304>460348887??5:p6g7=838p1?l?:`5897dd28h:7p}=b383>7}::k81=o?4=3`0>4603ty9n>4?:3y>6g5=9k;01?ll:024?xu5j=0;6?u22c695g7<5;h26<>9;|q1f0<72;q6>o;51c3897d028:<7p}=b783>7}::k<1=o?4=3``>46?3ty9n:4?:3y>6g1=9k;01?l7:025?xu5j10;6?u22c:95g7<5;h26<>8;|q1f<<72;q6>o751c3897df28:<7p}=b`83>7}::kk1=o?4=3`a>4613ty9no4?:3y>6gd=9k;01?ll:025?xu5jm0;6?u22cf95g7<5;hj6<>9;|q1f`<72;q6>ok51c3897d328:<7p}=bg83>7}::kl1=o?4=3`6>4613ty9o=4?:3y>6f6=9k;01?l::024?xu5k80;6?u22b395g7<5;h36<>8;|q1g7<72;q6>n<51c3897de28:<7p}=c283>7}::j91=o?4=3`5>4613ty9o94?:3y>6f2=9k;01?l9:024?xu5k<0;64c?348hn7??5:?1f7<68<16>oj5115897g?28:>70;5il0:<8521c`9553<5;>o6<>:;<071?77>279<>4>069>642=99201?>;:026?846i3;;463=1e8240=::;=1==64=316>4623ty9o;4?:3y>6f3=i>16>n651c38yv4d?3:1>v3=c682f4=::jl1==84}r0`=?6=:r79o44>b09>6fc=99<0q~52z?1gg<6j816>i>51158yv4dk3:1>v3=cb82f4=::j21==94}r0``?6=:r79oi4>b09>6fc=99=0q~52z?1gc<6j816>i>51148yv4c83:1>v3=d182f4=::j21==84}r0g5?6=:r79h?4>b09>6a5=99=0q~ih51c38yv4ci3:1>v3=d`82f4=::ml1==94}r11<5=;86<>:;|q06<<724613488j7??7:?155<68>16>>>51178yv55j3:1ovP<2c9>6fe=99=01?mk:025?84d;3;;:63=b58243=::k=1==84=31:>461348??7??6:?151<68?16>=651148977?28:=70<<6;331>{t;0<1<7d1<5;n86;|q0e<<72?qU?l74=53g>460349mh7??6:?76f<68<16?k951178917328:<7p}7}Y;kn01>lk:0g;?xu4jo0;6?u23cf9=6=:;ko1=o?4}r1`5?6=:r78ni4n7:?0g7<6j81v>k?:181[5b8278j44>049~w6`32909wS=i4:?0b0<6j81v>h9:18185a=3;;963069~w6`?2909w0=i8;3a5>;4nh0:<55rs2d:>5<5s49m57?m1:?0bd<6801v>hm:181[5aj278ji4>b09~w6`d2909w0=ic;3a5>;4nm0:<:5rs533>5<5sW>:<63;1482f4=z{=;:6=4={<625?7e927?=94>079~w1752909w0:>2;3a5>;39=0:<55rs530>5<5s4>:?7?m1:?750<68>1v9?;:181826<3;i=63;148243=z{=;26=4={_62=>;39h0:n<5rs53`>5<5sW>:o63;1e82f4=z{=;m6=4={_62b>;3:l0:<85rs50:>5<5sW>9563;2`82f4=z{=8i6=4={<61e?77=27?>k4>b09~w14d2909w0:=c;3a5>;3:o0:<:5rs50g>5<5s4>9h7?m1:?76c<6811v9n6=4:{_67a>;39m0:<;523ga9553<5=8o6<>:;<1e7i:1827?022?lpD>88;%17b?75l2.:m>4<489Y71c=9r3i6pg68;29?l75?3:17d?;b;29?l7603:17d=n9;29?j162900e;j50;9l506=831b?h>50;9j57`=831d=8j50;9j01c=831b8i:50;9l7f`=831d=4950;9l60<722e9h7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg70;3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:2360D>88;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c290>6?49{%17b?`b3A9386F<669'5d2=<:j:0y:f?{n1>0;66g6f;29?l?42900el950;9l5`>=831i=h650;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39:94?7=83:p(>6m:2;1?j7e03:1(>6m:0`;?>{t9l21<77}Yi>16=h651018yv?a2909wS7i;<3fn2wx5:4?:3y]=2=:9l215:5rs8194?4|V0901v3>e98072=:;121=o64}|`b0?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5d>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg4229086?4;{%17b?`c3A9386F<669'5d2=<:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6=90;6>4=:5y'71`=nm1C?5:4H244?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj88=6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3:3?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm15294?3=:3:i:gg8L6>33A9=;6*>a58710=];=o1=v7m:|k:3?6=3`3m6=44i8194?=ni>0;66a>e983>>d6m10;6;4?:1y'7=d=99:0V>:j:0y:f?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th8454?:083>5}#;1h1?4<4o0`;>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4d?3tyj;7>52z\b3>;6m10:=>5rs8d94?4|V0l01?3:1>vP67:?2a=<>?2wx5>4?:3y]=6=:9l21555rs027>5<5s4;n47=<7:?0<=<6j11vqo?:d;291?4=>r.88k4ie:J0<1=O;?=0({8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twi=4k50;796?0|,:>m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk;?;7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e9?h1<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg7c93:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl>d483>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{ei?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:844?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5d6=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm16794?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8==6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?h50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3f1?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:i;4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0`4<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<8>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb041>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6<;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:8>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a512=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<:::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb065>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6100;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:5l4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<7l:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6l>0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ea94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7cl3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=h>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm1d394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8o96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0f;>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i629086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:hl4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>dc83>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7c;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm2ec94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;nm6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0f0?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4b=3:187>50z&00c<6j?1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn<;=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg72<3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=8;50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14494?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8?<6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c36N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7213:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==m7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm14`94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg7093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl>6583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`220<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=;850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f40029096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?98;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c35=?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e9?k1<7:50;2x 62a2:80D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn5:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=3=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=1=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5650;794?6|,:>m6>=4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65`1c394?"40k0:n554}c::>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6jk0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ca94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8ho6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3aa?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nk4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>c183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2g4<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj8i96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|q11?6=:rT9963=5;3f<>{t:m0;6<>t^3f897b=9l201l851158943a28:=70?90;331>;6<;0:<;521519553<58326<>9;<3:e?77=27:hn4>069>6ag=99?01<;;:024?871n3;;963>688243=:0?0:<8521c`9553<1<5;l1=o?4}r4g>5528:=70?86;332>;6lm0:<:521479551<589;<350?77?273;7??5:?2f`<68<1v:?50;0xZ27<5>;1=h64}r:2>5<5s4=:6l94=9095g7<1<58=86494=9;95g74d634236<>8;|q;1?6=:r7397?m1:?;<3<6j816454>089~w=1=838p15951c389=?=99=0q~67:1818>?28h:7066:025?xu>03:1=?uQ999>525=1:16;<463:?2f<<>;279h77<;<5<58k364=4=379=6=:9<:15>521349=6=:90=15>521529=6=:95218g9=6=:9==15>5217`9=6=:9m;15>521e79=6=z{h>1<7<5;l1==84}rc6>5<5s4k?6l94=`495g752z\25==:9;<1m:5rs005>5<1s4;9:7?j8:?2`2<68<16=h>5115894b428:<70?:2;331>;?=3;;96s|13594?5|V88<706=:024?870=3;;96s|13d94?>|V88m70=k0;332>;6lm0:<;522ed9553<58?>6<>9;<35`?77?27::94>079>5f4=99<0q~?;0;296~X6<916=9>51d:8yv7393:1>v3>418b3>;65<5s4;?>7?m1:?203<68?1v<:<:181873;3;i=63>448243=z{8>?6=4={<370?7e927:884>069~w4222909w0?;5;3a5>;65<5s4;?;7?j8:?207<68>1v<:7:181873?3k<70?;9;3a5>{t9=h1<7=t^06a?84b<3;;:63>bg8243=z{8?;6=4={_364>;6=90:i55rs072>5<5s4;><7o8;<367?7e92wx=8<50;0x943528h:70?:8;333>{t9<>1<74d634;>m7??6:p503=838p1<;::0`2?87213;;:6s|14494?4|58?=6;<36=?77?2wx=8950;0x943028h:70?:b;333>{t9<21<74d634;>?7??6:p50?=838p1<;6:0`2?872i3;;;6s|14c94?4|58?j6;<36f?77>2wx=8l50;0x943e28h:70?:3;333>{t94d63ty:9k4?:3y>50`=9k;01<8=:025?xu6>90;6?u217295g7<58<:6<>8;|q224<72;q6=;?51c38940528:<7p}>6283>7}:952z?221<6j816=;651148yv71=3:1>v3>6482f4=:9?21==94}r352?6=:r7::;4>b09>53g=99<0q~?97;296~;6>>0:n<5217c955>52z?22=<6j816=;751158yv7113:1>v3>6882f4=:9?k1==94}r35f?6=:r7::o4>e99>50`=99=0q~?9c;296~;6>m0:n<52160955152z?22`<6j816=:?51148yv71m3:1>v3>6g82f4=:9>;1==94}r35b?6=:r7:;=4>b09>524=9920q~?80;296~;6?80:n<52160955052z?236<6m116>h;51158yv70<3:1>v3>728b3>;6??0:n<5rs056>5<5s4;<97?m1:?233<68>1v<78:181[7>?27:5:4>e99~w4??2909w0?67;c4?87>l3;i=6s|18;94?4|58326;<3:`?77>2wx=4o50;0x94?f28h:70?6c;332>{t90h1<74d634;2o7??7:p5l3;;;6s|18g94?4|583n6;6i10:i55218g9=2=:9==15:522g8242=z{8k26=4<{<3b228:870?83;;e?81620l01516=1o16=8j59g9>553d=1o1vdd8240=:9<<1==;4=053>46234;=97??5:?;2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894e728:=7p}>be83>7}:9kn1=o?4=0a3>4603ty:nh4?:3y>5gc=9k;019;|q2g5<72;q6=n>51c3894e628:<7p}>c083>7}:9j;1=o?4=0a1>4603ty:o?4?:3y>5f4=9k;01<58o>6<>:;<3gb?77=27:i=4>079>5a5=99<01<;8:026?871m3;;:63>668240=:9ki1==;4}r3g6?6=:r7:h<4n7:?2`1<6j81vd58242=z{8n>6=4l{<3g1?7b027:i;4>079>5ae=99<01:026?87b:3;;:63>d58243=:9<>1==84=07;>46134;=i7??7:?223<68<16494>049>5gb=99?0q~?k6;296~;6l<0j;63>dc82f4=z{8n<6=4={<3g3?7e927:i?4>069~w4b?2909w0?k8;3a5>;6lk0:<55rs0f:>5<5s4;o57?m1:?2`d<68?1vdc8243=z{8nh6=4={<3gg?7e927:h44>079~w4bc2909w0?kd;3a5>;6m:0:<;5rs0ff>5<5s4;oi7?m1:?2a6<68>1vd`8242=z{8o;6=4={<3f4?7e927:h54>079~w4c62909w0?j1;3a5>;6l10:<:5rs0g1>5<5s4;n>7?m1:?2`g<68>1vd88242=z{8o?6=4={<3f1?7e927:i;4>069~w4c0290>w0<::`5894?020=01<:?:8589d0=99<017}::mk1=o?4=3g7>4603ty9hk4?:3y>6a`=9k;01?k::02;?xu5m=0;6?u22d695g7<5;o>6<>9;|q0e<<72;qU?l74=2f2>4623ty8ok4?:3y]7f`<5:n96;|q0`5<72;q6?i>51c3896b528:=7p}7}:;m;1=o?4=2f1>4603ty8i=4?:2y]7`6<58>26<>:;<370?77=2wx816=;?51148yxd4100;6n4=b;10M51?2.88k4>039'5d5=;=30V>:j:0y:f?{h<==1<75`3b194?=n;h31<75`6e83>>oak3:17d9=:188m21=831b>i;50;9j6ag=831b>ih50;9l7g1=831b==k50;9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;j91<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c>3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c0f4?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm7283>0<52?q/?9h5fd9K7=2<@:<<7)?n4;661>\45<>of?3:17b?j8;29?g7b03:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k085?5`1c:94?"40k0:n554}r3f7}Y1o16=h6528d8yv?02909wS78;<3f52z\:7>;6m10246s|11694?4|58o36>=8;<1;m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk9=m7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e;10;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm6d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e>o0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?k6:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4bi3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=ec83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0g?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8o44?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7fg=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg1e29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th<97>52;294~"40D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:l81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb3g0>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5lm0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2eg94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4c03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=d883>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9:7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg2313:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?o650;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c54>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5g>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5e>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4>l0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>8i:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`035<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:?50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f615290>6=4?{%17b?543A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th8;>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rs2:94?4|5:21=h64=7d9550<5<5:31=o?4}r1a>5<5s4936l94=2a95g76`6=i>16>il5a69>6a0=i>16:k4>b09>7f?=99?01>8l:025?81f28:>709::026?84b:3;;963=de8240=::m21==;4=252>4623ty=i7>52z?5a?7e927=j7??7:p34<72:q6?o65114892`=9k;01>9=:025?xu0:3:1?vP82:?42?77>274>e99>32<68<1v::50;0x925=1>16;;4>b09~w23=838p1:;51c38920=99=0q~98:180[1034=i6<>9;<5`>4623ty<47>52z?43d<6j816;o4>069~w2e=838p1:951c3892`=99<0q~9k:18181d28h:709i:024?xu0m3:1>v38d;3a5>;0n3;;46s|7g83>7}:?l0:n<527g824<=z{oi1<77t^ga896e420901?j9:81897be20901?k?:818925=1:16;5463:?02d<>;27857??5:p55c=839pR<>j;<1`e?77>278:n4>069~w4gb2903w0=75;337>;4k:02j63=d78:b>;5lk02j63=e18:b>;0;33m7097:8d8960f20l0q~i751148960a28:=7p}=d783>7}::m<1=h64=24e>4603ty9h:4?:3y>6a0=1>16>i751c38yv4c03:1>v3=d982f4=::m31==94}r0ge?6=;rT9hl522dc9553<5;nn6<>9;|q1`g<72;q6>il51d:897c>28:>7p}=db83>7}::mh15:522eg95g752z?1`a<6j816>ik51158yv4cn3:1?vP=dg9>6`5=99<01>8j:025?xu5m90;6?u22d295`><5:8;|q1a4<72;q6>h>5969>6`5=9k;0q~52z?1ag<6j816?:>51178yv4b13:1>v3=e882f4=::lh1==84}r0fe?6=:r79il4>b09>6`d=99=0q~=9a;296~;4>h0:i55245:955352z?02db09~w60c2908w0=9a;;4?85d;33<70=83;3a5>{t;?o1<74d6349<>7??7:p73`=838p1>8i:0`2?850:3;;46s|36294?4|5:=;6;<146?7712wx?:?50;0x961628h:70=83;333>{t;>81<74d6349o6;<4f>462349h6<>:;<67=?77>278n54>069~w6d02909wS=m7:?0f=<6j81v>m<:181[5d;278o>4>e99~w6e?2909w0=l3;c4?85di3;i=6s|3b;94?4|5:i26;<1`e?77?2wx89950;0xZ12034>?57?m1:p01>=838p19:7:0`2?82313;;;6srb2;f>5<6=3k26o:tH244?!53n3;9o6*>a2800<=];=o1>v76:8f9yj75?3:17d:;7;29?l5d;3:17d?n2;29?j7603:17b=n9;29?ld62900e9?8:188m4372900c>l::188m<3=831b594?::m0a5<722c:8l4?::m75c<722c:m<4?::k0f2<722e8oo4?::m0`1<722e997>5;n604?6=3k9397>51;294~"4r.88k4ie:J0<1=O;?=0({8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twin:4?:281>1}#;=l1ji5G3968L6003-;j87::5:X00`<6s031qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|100vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvnnl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q257sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpll3;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psmbg83>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb2f7>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`11?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a54>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k52z;:>:i:gd8 4g32=?>7W=;e;0x=<<>l3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m38p5446d;j545=83.84o4>1298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpli9;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm28694?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c:g>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`030<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:017:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;:>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8;9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?>2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg26n3:1?7<54z&00c7W=;e;3x=<>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:=?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|100ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e;l:1<7=52;6x 62a2on0D>6;;I153>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9j57>53;090~"4188;4Z26f>4}>13wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p544ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi?nl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q2h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=9283>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb9c94?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c140?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|aeg<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:016?49{%17b?`b3A9386F<669'5d2=<:j:3y:=??c2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b2;q2577k:|k256<72-93n7?>3:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5?03:1=7>50z&04h4}r;4>5<5sW3<70?j8;;4?xu>;3:1>vP63:?2a=<>02wx==:50;0x94c?2:9<70=78;3a<>{zj8?h6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}cgb>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk;<;7>53;090~"46;5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`23=<72:0969u+35d9ba=O;1>0D>88;%321?0<,8k?69;:;[17a?4|1002h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00c5;hc4>5<>dan3:1:7>50z&05$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=:r3264j5}h;0>5<=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;0x=<<>l3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6010;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm19;94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=<5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s031qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj82j6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3;f?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>7}>133o6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<5s0315i4ro0g;>5<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5<6=8391>7:t$26e>cb<@:2?7E=97:&250<03-;j87::5:X00`<5s0315i4ri8194?=ni>0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b2;q2577k:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:3y:=??c2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~ygb529086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srbe394?5=939pD>88;%17b?7f?2cjj7>5;h`3>5<5<4290;w)=;f;1;7>N40=1C?;94$2:a>6>53-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xufn3:1>vPnf:?0=5<68>1vo>50;0xZg6<5:3;6<>9;|q075<72;qU?>>4=2;3>4d63twih=4?:282>6}O;?=0(>:i:0c4?lga2900eo>50;9l766=831i?4>50;194?6|,:>m6>6<;I1;0>N4>>1/?5l53908 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}rce>5<5sWkm70=60;333>{tj90;6?uQb19>7<6=99<0q~=<0;296~X4;916?4>51c38yxddn3:1?7?53zJ022=#;=l1=l94i`d94?=nj90;66a<3183>>d4190;6>4?:1y'71`=;190D>6;;I153>"40k084?5+1`690035$2:a>47432e:n<4?:%1;f?7e021vlh50;0xZd`<5:3;6<>8;|qa4?6=:rTi<63<918243=z{:9;6=4={_104>;4190:n<5r}c0:6?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg1029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zjhk1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?nk50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c1f2?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8i:4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl;2483>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<;<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wimh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a721=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f7?129096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=j9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd3:10;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm42694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zjjn1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rbbg94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wiol4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pll5;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xdd>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}ca1>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{el?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3tho47>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg>d29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6:186>5<7s-9?j7?m7:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:k0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?m50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3:6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:5>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;7>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:4i4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>8d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e91l1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=:h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4>729096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?71;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd60;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm19194?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj82?6=4::183!53n3987E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>8483>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6129086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj82<6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl>7`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`23g<72<0;6=u+35d95g1<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th:;n4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;k:1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2`2>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i67>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?o:50;494?6|,:>m6>:4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65f11c94?"40k0:=>54o0`2>5<#;1h1=o64;|`fg?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnh7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnj7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thm=7>57;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907d??a;29 6>e28;876g>0c83>!5?j3;:?65`1c394?"40k0:n554}c674?6==3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e<=;1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi89<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f12429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo:;4;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c671?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg23>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i750;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6bf29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=kb;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4lj0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3ef94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:nn6=48:183!53n39>7E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0n:18'7=d=98907d??b;29 6>e28;876a>b083>!5?j3;i465rb2fe>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;?0;6:4?:1y'71`=;<1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432c::18'7=d=9k207pl;3683>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<:21<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb51:>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i68m7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi8>m50;694?6|,:>m6><4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876a>b083>!5?j3;i465rb51g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;l0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi?hl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6cd29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=jd;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4ml0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3dd94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:l;6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>h>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb2d1>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4n:0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi=?750;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm13c94?3=83:p(>:i:0`4?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e9;h1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihl4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a``<72=0;6=u+35d977=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?j7e93:1(>6m:0`;?>{elo0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme183>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em80;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em:0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`f1?6=<3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298k4d6290/?5l51c:8?xdcj3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihn4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376smde83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vnoo50;794?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32winn4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207plmd;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c`f>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd69h0;684?:1y'71`=9k=0D>6;;I153>"40k0:n95+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>1d83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`25c<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=?>50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f44629096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?=2;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd6::0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm13694?3=83:p(>:i:218L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h333:9l5g7=83.84o4>b998yg75=3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm10a94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg76l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wx>84?:3y]60=::<0:i55rs3:94?4|5;?1m:522b82f4=z{;31<75<5s48j6;<0a>4603ty9n7>52z?1f?7e9279o7??7:p34<72b09>52`=99?01<9m:025?875i3;;:63>1g8240=z{1k1<7<5>=1==94}r:a>5<5<5:o;64=4=2aa><5<5:k264=4=257><5<5;3864=4=07`><5<5hh15>528b82f4=z{1n1<7<5>=1==84}r:f>5<3s42o6l94=9c9e2=:9;=1m:528g82f4=z{0>1<7?n{_;7?824833870l8:8189fd=1:16o:463:?`7??434hm64=4=2f7><5<5;?15>5210:9=6=:n002?63=958:7>;?l33870=85;;0?8gd20901<<8:8189`g=1:16=:95929>52>=1:16=:j5929>5=>=1:16=575929>5=g=1:16=5l5929>5<6=1:164n4>049~w<3=832pR4;4=gc9553<58386<>9;<3;a?77=27:4>4>049>52g=99=01<<6:024?875;3;;96s|a883>0}:ih0:n<521929553<58=i6<>8;<31e?77?27:>=4>049~wdd=838p1ll51d:89dg=99=0q~ol:1818gd28o370on:025?xufl3:1?v3nc;c4?8ge2h=01lk51c38yvd62909wSl>;d14c?34im6lh4=e69551<5li1==;4=563>46>34>8:7??6:?77=<68<16hh4>079>a5<68<16no4>049~wg>=838p1o95a69>f`<6j81vo750;0x9g?=9k;01om51158yvdf2909w0ln:0`2?8dc28:=7p}mb;296~;ej3;i=63md;333>{tjj0;6?u2bb82f4=:jl0:<;5rscf94?4|5kn1=o?4=cg95514c?34im6o>4=e69550<5lo1==;4=562>46134>8:7??7:?772<68<16hh4>069>`c<68<16nl4>079~wf6=838p1oh5a69>g7<6j81vn?50;0x9f7=9k;01n<51158yvd32902w0m<:0g;?8b72k:01i8511489c6=99?019:>:024?824>3;;463;388240=:m:0:<852b`8242=z{j>1<76=47{4c?34n:6o>4=e:9550<5ll1==;4=561>46234>8n7??5:?f6?77=27im7??8:pg=<72;q6o:4n7:?`e?7e92wxo44?:3y>g<<6j816ol4>069~wg0=832p1nl51d:89a4=j916j<4>069>015=99?019=n:026?8bb28:370k>:026?8df28:27p}lc;296~;dj3k<70mj:0`2?xudl3:1>v3ld;3a5>;dm3;;;6s|d283>6}:l90jj63k4;3a5>;c>3;;;6s|d483>6}:l80jj63k6;3a5>;c03;;;6s|d683>7}:l;0jj63k8;3a5>{tl00;68u2ce8240=:k00:<852c48240=:k80:<852de82f4=z{mk1<71==94}rfa>5<5s4ni6;4603tyoo7>52z?gg?7e927oh7??7:p``<72;q6hh4>b09>`g<68?1vih50;0x9a`=9k;01h;51158yvc72909w0k?:0`2?8c228:=7p}j1;296~;b93;i=63j5;33<>{tm;0;6?u2e382f4=:lj0:<;5rsd194?4|5l91=o?4=ea955>4d634no6<>9;|qf1?6=:r7n97?m1:?gf?77?2wxi;4?:3y>gc<4;916o?4>079~w`1=838p1i>532289f0=99<0q~k7:1818b62:9;70mn:025?xub13:1>v3k2;104>;dm3;;:6s|e`83>0}:mh0:i5521939553<58=i6<>7;<31e?77027:><4>049~w`d=838p1ho5a69>b4<6j81vhm50;0x9`e=9k;01k?51148yvcc2909w0kk:0`2?8`628:37p}je;296~;bm3;i=63i1;33e>{tmo0;6?u2eg82f4=:n80:<45rsg294?4|5o:1=o?4=g3955dd1<5o21=h64=ec9553<5k31==;4}rd:>5<4s4l2646134hh6<>9;|qef?6=:r7m477<;4d63ty:=54?:5y]54><58;3627??;4>089~w47>2909w0?>8;c4?876l3;i=6s|10c94?4|58;j6;<32`?77>2wx=c;333>{t98i1<74d634;:h7??7:p54c=838p1;<310?77>2wx=?>50;0x944728h:70?=4;333>{t9;;1<74d634;987??8:p574=838p1<<=:0`2?875<3;;56s|13194?4|58886;<311?77?2wx=?:50;0x944328h:70?=5;332>{t9;?1<74d634;:n7??7:p571=838pR<<8;<313?7b02wx=?650;0x944020=01<9;|q26d<72;q6=?o51c38944e28:<7p}>4`83>7}Y9=k01>k8:025?xu6=90;6?uQ1428943d2h=0q~?:c;291~;6=j0:i5522`8242=:9081==;4=0:g>46134;:i7??6:p521=838p1<98:0g;?87>=3;;:6s|16:94?5|58=<6l94=05;>4c?349on7??5:p52?=838p1<97:`58941d28h:7p}>7`83>7}:9>k1=o?4=05`>4613ty:;o4?:3y>52d=9k;01<9l:024?xu6?m0;6lu216f95`><5:in6<>9;<0:>46>34;3:7??6:?23d<68?16?o<5117896b>28:>70=jf;331>;6:00:<;5210`955052z?23ab09~w41a2909w0?8f;3a5>;60=0:<;5rs0:3>5<5s4;3<7?m1:?2<1<68>1v<6>:18187?93;i=63>85824==z{8296=4={<3;6?7e927:494>089~w4>42909w0?73;3a5>;60<0:<:5rs0:7>5<5s4;387?m1:?2<0<68?1v<6::18187?=3;i=63>878242=z{82=6=4={<3;2?7e927:4:4>069~w4>?2903w0?78;3f<>;4kl0:<:522c8243=:91=1==84=2`2>462349o;7??5:?0a`<68<16=898b3>;6000:i55228824==:;hl1==;4=2f;>462349nh7??5:?25d<6811v<6n:18487?13k<70?7a;3f<>;513;;:6346234;:m7??6:p5=d=83=p1<6n:`5894>e28o370<6:024?85e;3;;9634603ty:4n4?:3y>5=d=i>16=5h51c38yv7?l3:1>v3>8e82f4=:91l1==84}r3;a?6=:r7:4h4>b09>5=`=99=0q~?60;291~;6190:i5522`8243=:90>1==84=0:g>46034;:i7??7:p5<7=838p1<7?:`5894?228h:7p}>9383>7}:9081=o?4=0;0>4603ty:5>4?:3y>5<5=9k;01<7;:024?xu61=0;6?u218695g7<583>6<>8;|q2e4<72;qU=l?4=505>4613ty:m?4?:2y]5d4<5:no6<>:;<1e6?77>2wx>4?50;7x97?528h:70?72;331>;6?k0:<45213c955?<58896<>:;|q1=6<72;q6>4=51d:897?528:<7p}=9583>7}::0>1=h64=3;1>4613ty9584?:2y>6<2=i>16>4=5a69>6<0=9k;0q~=84;297~;4?=0:i552452955><5=9=6<>n;|q030<72:q6?:;51d:8912728:<70:<6;33f>{t;><1<7=t=256>d1<5:=?6l94=254>4d63ty8m44?:3y]7d?<5:k267g2=9k;0q~=nf;296~;4io0:n<523c6955152z?0f5<6j816?o:51148yv5e93:1>v31==64}r1a6?6=:r78n?4>b09>7g2=9930q~=m3;296~;4j:0:n<523c6955g54z\0f0=:;1?1===4=513><`<588<64h4}r1a3?6=:rT8n:528g8240=z{:i86=4={_1`7>;fm3;;96s|3b`94?4|V:ii70=lb;3f<>{t;jn1<7d1<5:in6;|q0`1<72;qU?i:4=2f7>4c?3ty8h;4?:3y>7a2=i>16?ih51c38yv5c?3:1>v3b09>7ac=99<0q~=k9;296~;4l00:n<523eg955>52z?0`d<6j816?ik511c8yv5cj3:1>v3b09>7ac=99h0q~=kd;296~;4lm0:n<523ed955152z?0``<6j816?ih51148yv5b83:1>vP7`6=9l20q~=j5;296~;4m>0:n<523d;955352z?0a3<6j816?h951158yv5b03:1>v3;4m00:n<5rs2gb>5<4s49n:7??5:?760<68<16?k=51c38yv5bj3:1>v3b09>7c6=99=0q~=jd;296~;4mm0:n<523g1955052z?0a`<6j816?k?51148yv5bn3:1>v3b09>7c4=99=0q~=i1;296~;4n80:n<523g1955>7>52z?0b7<6j816?k=51158yv26?3:1>vP;169>6<0=99?0q~:>f;296~X39o168v3;2782f4=:<;21==;4}r611?6=:r7?>84>b09>070=99=0q~:=7;296~;39o0j;63;2982f4=z{=9;6=4<{_604>;3;90:i552ee8240=z{=986=4={<604?g034>887?m1:p063=838p19=?:858915b28h:7p};3783>7}:<:<1=o?4=51g>4613ty??:4?:3y>061=9k;019=l:024?xu3;10;6?u242:95g7<5=9h6<>9;|q77<<72;q68>751c38915d28:37p};3`83>7}:<:k1=o?4=51f>4613ty??o4?:3y>06d=9k;019=j:02;?xu3;j0;6?u242a95g7<5=9o6<>8;|q77a<72;q68>j51c38915b28:<7p};3g83>7}:<:>1==;4=565>4d63ty?8=4?:3y>016=9k;019:9:025?xu3<80;6?u245395g7<5=>>6<>9;|q707<72;q689<51c38912328:=7p};4283>7}:<=91=o?4=567>4603ty?894?:3y>012=9k;019:::024?xu3<<0;6?u245795g7<5=>=6<>8;|q702<72;qU8994=254>4623twi?4950;597d<4lrB8::5+35d9e5=#9h91?974Z26f>4}>j3wd??l50;9j6`e=831d??750;9jbf<722e89=4?::m01f<722c2:7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg5283:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c12=?6==381:v*<4g8ea>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5:4?::k:b?6=3`386=44i`594?=h9l21<75m1d:94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3a=83;1<7>t$2:a>6?53f;i47>5$2:a>4d?32wx=h650;0xZ4c?34;n47?m8:pe2<72;qUm:521d:95457}Y1>16=h65969~w<5=838pR4=4=0g;><>52z?2a=<4;>16?5651c:8yxd4=j0;684=:7y'71`=nl1C?5:4H244?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f7`229086?4;{%17b?`c3A9386F<669'543=>2.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5n>0;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm2g:94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj;l26=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c0ee?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5>4?::kb3?6=3f;n47>5;c3f"6i=0?985U35g95~?e2te:i54?::k2`5<722cj;7>5;h;e>5<3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<2<7280;6=u+39`95g5<3tyj;7>52z\b3>;an3;:?6s|9283>7}Y1:16jk468:p=c<72;qU5k52fg81=c=z{8n;6=4={_3g4>;an398;6s|1d:94?4|V8o370hi:0`;?xu4>10;6?u2fg8:3>;40>0:o95r}c394?7=83:p(>89:59'71`=99>0(>6m:2;1?!7f<3>>96a>0283>!5?j3;i465rs0g;>5<5sW;n463>e982a==z{h=1<75<4s4;n47?k0:?2a=<>n27:6<><;%152?31}#;=l1ji5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a6ce=8391>7:t$26e>cb<@:2?7E=97:&250<13-;j87::5:X00`<6s0h1qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|1k0vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvn>><:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj::96=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f66629086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb223>5<42808wE=97:&00c<6i>1bmk4?::ka4?6=3f98<7>5;c1:4?6=;3:10D>88;%1;f?5?:2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{tio0;6?uQag9>7<6=99=0q~l?:181[d73492<7??6:p766=838pR>=?;<1:4?7e92wvn?hi:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj;ln6=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f7`c29086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb20b>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6j7>52;294~"46m:030?>i6j80;6)=7b;3a<>=zj:;o6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl<1c83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;9<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?=650;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e;9o1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?<>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:8h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0f;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4:90;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm33394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:896=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c117?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8>94?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a773=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn><9:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55?3:157>50z&00c<4?2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>47432c:k:18'7=d=98907b?m1;29 6>e28h376sm34094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:??6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c161?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th89;4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a701=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>;7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg5213:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl<5`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876a>b083>!5?j3;i465rb270>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4>;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8:>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a732=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==;4=h0:<8523719553<`<5:;264h4=27`><`52z\1af=:;8n1==84}r0f`?6=>r79j84>e99>6cb=io16?=851158966328:>70=>f;331>;4=;0:<85rs3gf>5<2s48m:7?j8:?1ba079>776=99?01>;;:026?xu5mo0;68u22g595`><5;ln6o>4=22;>4613499=7??5:?010<68<1v?h?:18684a03;n463=fg8a4>;48h0:<;523309553<5:?=6<>:;|q1b4<72k751d:896672k:01>>l:025?855;3;;963<598240=z{;l96=49{<0ee?7b0278<<4m0:?04`<68?16??k51148964328:>70=:7;331>{t:o91<78t=3da>4c?349;>7l?;<124?77>278>n4>069>773=99?01>;6:026?xu5n=0;69u22ga95`><5::86o>4=20`>4613499:7??5:p753=839p1?hj:`d8966128h:70=?8;333>{t;9=1<7=t=3de>d`<5::36;<13e?77?2wx?=750;1x96672hl01>>n:0`2?857k3;;;6s|31`94?5|5:::6lh4=22`>4d6349;i7??7:p75b=839p1>>=:`d8966b28h:70=>0;333>{t;9l1<7d`<5:;;6;|q054<72;q6>k;5a69>752=9k;0q~=>2;296~;5n?0j;63=fe8075=z{:;86=4={<0e3?g0348mi7=<0:p742=838p1?h7:`5897`a2:9;7p}<1483>7}::o31m:52312976652z?1bd6573ty8=44?:2y>74?=9l201>4d63ty8=n4?:3y>74?=1>16?4623499;7?m1:?027<68?1v>?i:181856n3;i=63<268243=z{:8;6=4={<114?7e9278>:4>069~w6462909w0==1;3a5>;4:>0:<55rs201>5<5s499>7?m1:?062<6801v><<:181855;3;i=63<26824d=z{:8?6=4={<110?7e9278>:4>0c9~w6422909w0==5;3a5>;4:>0:5<5s499:7?m1:?062<68m1v><6:181[551278>l4>b09~w64e2909wS==b:?06c<6j81v>h4>069~w64b2909w0==e;3a5>;4:o0:<:5rs273>5<5sW9><63<5182a==z{:?:6=4={<164??0349>?7?m1:p704=838p1>;=:0`2?852j3;;:6s|34694?4|5:??6;<16f?77?2wx?8;50;0x963228h:70=:b;33<>{t;<<1<74d6349>n7??9:p701=838p1>;8:0`2?852j3;;n6s|34:94?4|5:?36;<16f?77i2wx?8750;0x963>28h:70=:b;33g>{t;4d6349>?7??7:p70d=838p1>;m:0`2?852;3;;:6s|34a94?4|V:?h70=:c;3f<>{t;4c?349=>7??7:p70c=838p1>;k:858960728h:7p}<5g83>7}:;4603ty8:<4?:3y>70e=1>16?;:51c38yv51:3:1>v3<6382f4=:;?>1==84}r157?6=:r78:>4>b09>732=99=0qpl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;0>1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2;6>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=n3;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4i=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th85n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th8mo4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9<>:181>5<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb53;>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0g2<72;0;6=u+35d9544<@:2?7E=97:&082B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4m;0;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj0k1<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3thm;7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi88>50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl93;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6383>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7394?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c7e>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`6a?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6t$26e>4753A9386F<669'7=d=191C=?k4$0;5>4743-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b998yg3e29096=4?{%17b?76:2B8495G3758 6>e20:0D<1298k4d6290/?5l51c:8?xd2i3:1>7>50z&00c<69;1C?5:4H244?!5?j33;7E?=e:&2=3<69:1/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?>{e=00;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj<21<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th>:7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi:n4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn;l50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl99;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6983>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7594?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c45>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`51?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd36;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj=;n6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th?=;4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9>k:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2cg>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0f3<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd3::0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:ln6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8m84?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn>o7:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl;1c83>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2a`>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0a1<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4l:0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:n>6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8nl4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?;n:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=5883>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb3:a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`1<0<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd5?00;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj;=86=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th9:i4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?88:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=6083>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb37a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`116<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb2d94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9>50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=;1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f14=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5194?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9:50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=?1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f10=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5594?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9650;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=31<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1g=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5`94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9j50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=o1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1`=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4294?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8?50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj<81<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f05=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4694?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8;50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj031<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`:f?6=93:121vn4j50;394?6|,:2i6N69=1/=4851018m4e1290/?5l51b48?xda>3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb0g:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi=ho50;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd6mj0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:n55`1b494?"40k0:o;54}c3f`?6=93:121vn5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e9ll1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`2b5<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj8l96=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9k20cf583>4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f6g7290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm3`494?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>129j5f0=83.84o4>c798yg5fk3:1=7>50z&0>3;i46a>c783>!5?j3;h:65rb2`6>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi?o750;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd4jk0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c1a`?6=93:121vn>m;:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e;j<1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`0gg<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj:n?6=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9890e4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f16d290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm41g94?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>b99l5f0=83.84o4>c798yg26?3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb53:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi8N69=1/=4851c:8k4e1290/?5l51b48?xd3:90;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c616?6=93:121vn9=>:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e<=k1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`70f<7280;6=u+39`95f0<@:<<7E?>4:&2=3<69:1b=n850;&0c79K731<@8;?7)?66;327>o6k?0;6)=7b;3`2>=zj8ki6=4?:183!53n39?:6F<649K713=6>u+35`95f?<,:>j6:k:183>5<7s-9?j7=;d:&2e1<3=<1C?9;4L2:g>6}#;=h1=nm4$26b>4ee3-9?o7?ld:~w70=838p1>7j:37896g528:>7p}=7;296~;41o099637b<5:k<6<>:;|q0a?6=;rT8i63:6;331>;4m3;h:6s|3g83>6}Y;o169:4>049>7c<6k?1v9>50;1xZ16<5<21==;4=5295f0:6=4<{_62?83>28:>70:>:0a5?xu3:3:1?vP;2:?6e?77=27?>7?l6:p06<72:qU8>525c8240=:<:0:o;5rs5694?5|V=>018m51178912=9j<0q~:::180[2234?o6<>:;<66>4e13ty?:7>53z\72>;2m3;;963;6;3`2>{t<>0;6>uQ469>1c<68<168:4>c79~w1>=839pR964=729553<5=21=n84}r6:>5<4sW>2708>:026?82>28i=7p};a;297~X3i27=>7??5:?7e?7d>2wx8o4?:2y]0g=:>:0:<8524c82g3=z{=n1<7=t^5f8932=99?019j51b48yv2b2908wS:j;<46>46234>n6;3n3;h:6s|5183>6}Y=916::4>049>15<6k?1v8?50;1xZ07<5?21==;4=4395f028:>70;=:0a5?xu2;3:1?vP:3:?5e?77=27>?7?l6:p11<72:qU99526c8240=:==0:o;5rs4794?5|V03i019;=:b9>13<6j81v8950;1x96??2m1688<5d:?63?7e92wx954?:2y>7<>=m27?9?4j;<7;>4d63ty>57>53z?0==>>7h4=4;95g7>>7??;<7b>4d63ty>n7>53z?0==<6927?9?4>1:?6f?7e92wx9n4?:2y>7<>=9;1688<5139>1f<6j81v8j50;1x96??289019;=:01890b=9k;0q~;j:18085>03;?70::2;37?83b28h:7p}:f;297~;4110:963;53821>;2n3;i=6s|6183>7}:<<81=;526182f4=z{?;1<7=t=2;;>41<5=?96<94=7395g734>>>7?6;<41>4d63ty=?7>52z?0==<6i27=?7?m1:p21<72;q6?4651b9>21<6j81v;;50;0x913528n01;;51c38yv012909w0::2;3f?80128h:7p}97;296~;3=;0:j6397;3a5>{t>10;6?u2440965=:>10:n<5rs7;94?4|5=?96??4=7;95g7;|q5f?6=;r78554=3:?717<5;27=n7?m1:p2f<72:q6?465259>004=:=16:n4>b09~w3b=83?p1>77:7f891352?n01>7i:7f896?>2?n01>78:848yv>72909w0=6f;52?85>13=<7p}69;297~X>1272m7??5:?:=?7d>2wx5l4?:3y>7b09~w5<1s4924777;<666???3492j777;<1:=?`d3492;7hl;<;`>4d63ty2h7>53z\:`>;>m3;;9636d;3`2>{t1l0;6?u238g9=0=:1l0:n<5rsg494?5|Vo<01k9511789c0=9j<0q~h8:18185>m3h:70h8:0`2?xu68l0;6?u238:955c<5:326<>j;|q25=<72;q6?4h510:896?b28;37p}>2683>7}:;0l1=?94=2;f>4403ty:>k4?:3y>7<>=9;l01>7i:00e?xu6<90;6?u238d9516<5:3n6<:n;|q20g<72;q6?46515`896?a28>i7p}>5183>7}:;0l1=8>4=2;f>4373ty:9i4?:3y>7<`=97j:0c1?xu61>0;6?u238d95<1<5:3n6;|q2a<<72:qU=h74=370>4d634;n57?l6:p5`g=839pRc79~w4cd2908wS?jc:?11d<6j816=hm51b48yv7bl3:1?vP>ee9>60d=9k;01uQ1dg8970628h:70?je;3`2>{t9ll1<7=t^0ge?841?3;i=63>eg82g3=z{8l;6=4<{_3e4>;5>m0:n<521g295f053z\2b4=::>91=o?4=0d2>4e13ty:j?4?:2y]5c4<5;=26;<3e6?7d>2wx=k=50;1xZ4`4348b09>5c2=9j<0q~?i5;297~X6n<16>5l51c3894`228i=7p}>f683>7}:;021=k94=571>4`03ty99>4?:3y>004=9l301?;<:026?xu5=00;6?u244095`g<5;?26<>:;|q11d<72;q688<51da8973f28:>7p}=5c83>7}:<<81=hj4=37a>4623ty9:<4?:3y>004=9lo01?8>:026?xu5>>0;6?u244095``<5;<<6<>:;|q12a<72;q688<51g28970c28:>7p}=7283>7}:<<81=k?4=350>4623ty9;44?:3y>004=9o801?96:026?xu5?o0;6?u244095c5<5;=m6<>:;|q1<0<72;q688<51g6897>228:>7p}=8c83>7}:<<81=k;4=3:a>4623ty95:4?:3y>7<>=:0=019;=:3;4?xu5m?0;6?u238d96a2<5:326?jn;|q1a2<72;q688<52e6896?>2;n>7p}=eb83>7}:<<81>hm4=2;4>7cd3ty8>44?:3y>004=;;301>78:20:?xu4:k0;6?u2440977d<5:3<6>7p}<3983>7}:;021?>64=2;`>4623ty89=4?:3y>7<1=;<:01>7k:025?xu4=j0;6?u2385970e<5:3>6<>9;|q0=6<72;q6?475739>7<3=9k;0q~=64;296~;41=0:n<52387955152z?0=<<5lo16?4j51c38yv5>j3:1>v3<9b82f4=:;0n1==94}r1b4?6=;rT8m=523`795g7<5:k;67}:;h91=o?4=2c7>4603ty8m84?:3y>7d2=9k;01>o::026?xu4i?0;6>uQ3`4896g?28h:70=n6;3`2>{t;h21<74d6349j47??5:p7dg=839pR>on;<1bf?77=278ml4>c79~w6ge290?w0::2;1b=>;41o08m45238;97d?<5:ki6;|q0ef<72:qU?lm4=2cg>4d6349jo7?l6:p7db=838p1>7j:2c:?85fl3;;96s|3c794?5|V:h>70=m6;3a5>;4j<0:o;5rs2`5>5<5s492i7=m5:?0f3<68<1v>l6:180[5e1278nl4>b09>7g?=9j<0q~=ma;296~;41008n:523cc955353z\0fg=:;ki1==;4=2`a>4e13ty8nn4?:3y>7ll:0`2?xu4jm0;6>uQ3cf896e728h:70=md;3`2>{t;j:1<76dc349h<7??5:p7f2=839pR>m;;<1`1?7e9278o94>c79~w6e22909w0=69;1`7>;4k<0:<85rs2a5>5<4sW9h:637f1=9k;0q~=lb;297~X4kk16?nm51c3896ee28i=7p}7}:;0o1?nl4=2a`>4623ty8ok4?:2y]7f`<5:n86;<1`b?7d>2wx?i=50;0x96?a2:im70=k3;331>{t;m>1<7=t^2f7?85c=3;i=636=4={<1:a?5c<278h84>049~w6c62908wS=j1:?0a7<68<16?h?51b48yv5b:3:1?v3;5380a5=:;0l1?h>4=2g1>4d63ty8i>4?:2y]7`5<5:o?6;<1f7?7d>2wx?h:50;0x96?b2:o;70=j4;331>{t;oh1<7=t^2da?85am3;i=63049~w16d2908wS:?c:?74a<6j8168=m51b48yv27l3:1>v3;5380b1=:<9n1==;4}r63a?6=;rT?7p};1183>6}Y<8:019?9:0`2?82683;h:6s|40494?4|5=?969??;<622?77=2wx8<950;1xZ17034>:47??5:?752<6k?1v9?7:18085>03>:;63<9d8752=:<821=o?4}r62=?6=;rT?=45240`95g7<5=;267p};1b83>6}Y<8i019?j:0`2?826k3;h:6s|40g94?4|5=?969?l;<62a?77=2wx8?>50;1xZ14734>9=7??5:?765<6k?1v9<>:180822:3>:j63<9g875c=:<;;1=o?4}r616?6=;rT?>?5243195g7<5=8967p};3083>6}Y<:;019==:0`2?82493;h:6s|42094?4|5:3n69=?;<606?77=2wx89o50;1xZ12f34>?n7?m1:?70d<6k?1v9:m:18185>13>?;63;4c8240=z{=>h6=4<{_67g>;3?h7>52z?0=`<3<>1689j51c38yv23n3:1?vP;4g9>006=99?019:i:0a5?xu3=90;69u238:901c<5=?969:j;<1:b?23m27?9=4>b09~yk71k?0;69uG3758yk71k>0;69uG3758yk71k10;6>uG3758yk71k00;65<6sA9=;6G>c7824:|m53ed290:wE=97:K2g3<6s80vqc?9ce83>4}O;?=0Ex{i9?in6=4>{I153>O6k?0:w<4r}o35gc<728qC?;94I0a5>4}62twe=;j?:182M51?2C:o;4>{08~yk71l80;65<6sA9=;6G>c78244?:0yK731:|m53b3290:wE=97:K2g3<6s80vqc?9d483>4}O;?=0Ex{i9?n=6=4>{I153>O6k?0:w<4r}o35`2<728qC?;94I0a5>4}62twe=;j7:182M51?2C:o;4>{08~yk71l00;65<6sA9=;6G>c7824:|m53bd290:wE=97:K2g3<6s80vqc?9de83>4}O;?=0Ex{i9?nn6=4>{I153>O6k?0:w<4r}o35`c<728qC?;94I0a5>4}62twe=;k?:180M51?2we=;k>:180M51?2we=;k=:180M51?2we=;k<:180M51?2we=;k;:180M51?2we=;k::180M51?2we=;k9:180M51?2we=;k8:180M51?2we=;k7:180M51?2we=;k6:187M51?2we=;kn:181M51?2we=;km:180M51?2we=;kl:187M51?2we=;kk:181M51?2we=;kj:181M51?2we=;ki:181M51?2we=;h?:181M51?2we=;h>:181M51?2we=;h=:181M51?2we=;h<:181M51?2we=;h;:181M51?2we=;h::180M51?2we=;h9:180M51?2we=;h8:1827~N4>>1vb<8i8;290~N4>>1vb<8i9;295~N4>>1B=n851z39y_5303;p544rZ022>4}4<10vqc?9f`83>66|@:<<7p`>6g`94?7|@:<<7D?l6;3x5?{];=21=v7m:|X244<6s:>36psa17d`>5<3lrB8::5rn04e`?6=9rB8::5F1b495~7=uS9?47?t9e8~^46628q8854r}o35b`<72;qC?;94}o35bc<728qC?;94I0a5>4}62twe=:>?:187M51?2we=:>>:180M51?2we=:>=:184M51?2we=:><:187M51?2we=:>;:180M51?2we=:>::187M51?2we=:>9:180M51?2we=:>8:184M51?2we=:>7:187M51?2we=:>6:187M51?2we=:>n:182M51?2C:o;4>{38~yk708k0;65<6sA9=;6G>c78274}O;?=0Ex{i9>;;6=4>{I153>O6k?0:w?4r}o3454<728qC?;94I0a5>4}52twe=:?=:182M51?2C:o;4>{38~yk709:0;65<6sA9=;6G>c7827wE=97:m52402908wE=97:m524?2908wE=97:m524>2909wE=97:m524f2909wE=97:m524e2908wE=97:m524d2908wE=97:m524c2909wE=97:m524b290:wE=97:m524a2909wE=97:m5257290:wE=97:m5256290:wE=97:K2g3<6s;0vqc?83383>4}O;?=0qc?83283>4}O;?=0qc?83583>4}O;?=0qc?83483>4}O;?=0Ex{i9>9=6=4>{I153>{i9>9<6=4>{I153>O6k?0:w<4r}o347=<72=qC?;94}o347<<728qC?;94I0a5>4}52twe=:=n:187M51?2we=:=m:182M51?2C:o;4>{38~yk70;j0;69uG3758yk70;m0;65<5sA9=;6sa161e>5<6sA9=;6G>c78246}O;?=0qc?84483>4}O;?=0Ex{i9>>=6=4={I153>{i9>><6=4>{I153>O6k?0:w?4r}o340=<72=qC?;94}o340<<728qC?;94I0a5>4}52twe=::n:181M51?2we=::m:182M51?2C:o;4>{38~yk705<4sA9=;6sa166e>5<6sA9=;6G>c78277}O;?=0qc?85483>4}O;?=0Ex{i9>?=6=4={I153>{i9>?<6=4>{I153>O6k?0:w?4r}o341=<72;qC?;94}o341<<728qC?;94I0a5>4}62twe=:;n:180M51?2we=:;m:182M51?2C:o;4>{38~yk70=j0;6?uG3758yk70=m0;65<5sA9=;6sa167e>5<6sA9=;6G>c78246}O;?=0qc?86483>4}O;?=0Ex{i9><=6=4={I153>{i9><<6=4>{I153>O6k?0:w<4r}o342=<72;qC?;94}o342<<728qC?;94I0a5>4}62twe=:8n:186M51?2we=n;50;3xL6003td:o;4?:0yK73151zJ022=zf8i26=4>{I153>{i9jk1<7?tH244?xh6kk0;688;|l2gc<728qC?;94}o3g4?6=9rB8::5rn0f2>5<6sA9=;6sa1e094?7|@:<<7p`>d283>4}O;?=0qc?k4;295~N4>>1vb51zJ022=zf8nj6=4>{I153>{i9mh1<788;|l2a4<728qC?;94}o3f6?6=9rB8::5rn0g0>5<6sA9=;6sa1d694?7|@:<<7p`>e783>4}O;?=0qc?j7;295~N4>>1vb51zJ022=zf8oo6=4>{I153>{i9lo1<7?tH244?xh6mo0;688;|l2b1<728qC?;94}o3e1?6=9rB8::5rn0d5>5<6sA9=;6sa1g594?7|@:<<7p`>f983>4}O;?=0qc?i9;295~N4>>1vb51zJ022=zf8ln6=4>{I153>{i9ol1<7?tH244?xh5890;688;|l141<728qC?;94}o031?6=;rB8::5rn325>5<5sA9=;6sa21594?4|@:<<7p`=0983>4}O;?=0qc>1vb?>n:182M51?2we>=l50;3xL6003td952zJ022=zf;:n6=4={I153>{i><31<7=tH244?xh1=k0;6>uG3758yk02k3:1>vF<669~j33c2909wE=97:m20c=83;pD>88;|l51c<728qC?;94}o454?6=9rB8::5rn742>5<6sA9=;6sa67094?7|@:<<7p`97183>4}O;?=0qc881;297~N4>>1vb;9=:180M51?2we::=50;3xL6003td=;94?:0yK73151zJ022=zf?==6=4>{I153>{i>>=1<7?tH244?xh1?10;688;|l53f<728qC?;94}o44`?6=9rB8::5rn75f>5<6sA9=;6sa66d94?7|@:<<7p`98183>4}O;?=0qc871;295~N4>>1vb;6=:182M51?2we:5=50;3xL6003td=494?:0yK73152zJ022=zf?2=6=4={I153>{i>1=1<7?tH244?xh1010;6f290:wE=97:m2=d=83;pD>88;|l55<6sA9=;6sa69d94?7|@:<<7p`99183>4}O;?=0qc861;295~N4>>1vb;7=:180M51?2we:4=50;1xL6003td=594?:0yK73151zJ022=zf?3=6=4>{I153>{i>0=1<7?tH244?xh1110;613:1=vF<669~j3?f290:wE=97:m288;|l5=f<728qC?;94}o4:`?6=9rB8::5rn7;f>5<6sA9=;6sa68d94?7|@:<<7p`9a183>4}O;?=0qc8n1;295~N4>>1vb;o=:182M51?2we:l=50;1xL6003td=m94?:2yK73151zJ022=zf?k=6=4>{I153>{i>h=1<7?tH244?xh1i10;688;|l5ef<728qC?;94}o4b`?6=9rB8::5rn7cf>5<6sA9=;6sa6`d94?7|@:<<7p`9b183>4}O;?=0qc8m1;295~N4>>1vb;l=:182M51?2we:o=50;3xL6003td=n94?:0yK73151zJ022=zf?h=6=4>{I153>{i>k=1<7?tH244?xh1j10;688;|l5ff<728qC?;94}o4a`?6=9rB8::5rn7`f>5<6sA9=;6sa6cd94?7|@:<<7p`9c183>4}O;?=0qc8l1;295~N4>>1vb;m=:182M51?2we:n=50;3xL6003td=o94?:0yK73151zJ022=zf?i=6=4>{I153>{i>j=1<7?tH244?xh1k10;688;|l5gf<728qC?;94}o4``?6=9rB8::5rn7af>5<6sA9=;6sa6bd94?7|@:<<7p`9d183>4}O;?=0qc8k1;295~N4>>1vb;j=:182M51?2we:i=50;3xL6003td=h94?:0yK73151zJ022=zf?n=6=4>{I153>{i>m=1<7?tH244?xh1l10;688;|l5`a<72:qC?;94}o4ga?6=;rB8::5rn7fe>5<6sA9=;6sa6d294?7|@:<<7p`9e083>4}O;?=0qc8j2;295~N4>>1vb;k<:182M51?2we:h:50;3xL6003td=i84?:0yK73151zJ022=zf?o<6=4>{I153>{i>l21<7?tH244?xh1m00;688;|l5aa<72:qC?;94}o4fa?6=9rB8::5rn7ge>5<6sA9=;6sa6g294?7|@:<<7p`9f083>4}O;?=0qc8i2;295~N4>>1vb;h<:182M51?2we:k:50;3xL6003td=j84?:0yK73151zJ022=zf?l<6=4>{I153>{i>o21<7?tH244?xh1n00;688;|l5ba<728qC?;94}o4ea?6=9rB8::5rn7de>5<6sA9=;6sa71294?5|@:<<7p`80083>6}O;?=0qc9?2;295~N4>>1vb:><:182M51?2we;=:50;3xL6003td<<84?:0yK73151zJ022=zf>:<6=4>{I153>{i?921<7?tH244?xh0800;688;|l454<72:qC?;94}o520?6=;rB8::5rn636>5<4sA9=;6sa70:94?7|@:<<7p`81883>4}O;?=0qc9>a;295~N4>>1vb:?m:182M51?2we;51zJ022=zf>8:6=4>{I153>{i?;81<7?tH244?xh0:>0;6290:wE=97:m37g=83;pD>88;|lb64<72;qC?;94}oc10?6=:rB8::5rn`06>5<4sA9=;6saa3494?7|@:<<7p`n2683>4}O;?=0qco=8;295~N4>>1vbl<6:182M51?2wem?o50;3xL6003tdj>n4?:2yK73153zJ022=zfh8m6=4<{I153>{ii:91<788;|lb7=<72;qC?;94}oc0=?6=:rB8::5rn`1`>5<4sA9=;6saa2f94?7|@:<<7p`n3d83>4}O;?=0qco>1vbl:?:182M51?2wem9?50;3xL6003tdj8?4?:0yK73151zJ022=zfh>?6=4>{I153>{ii=?1<7?tH244?xhf<>0;6?uG3758ykg303:1=vF<669~jd2>290:wE=97:me1g=83;pD>88;|lb0g<72;qC?;94}oc7g?6=9rB8::5rn`6g>5<6sA9=;6saa5g94?7|@:<<7p`n4g83>4}O;?=0qco:0;295~N4>>1vbl;>:182M51?2wem8<50;0xL6003tdj9>4?:0yK73187>51zJ022=zfh?>6=4>{I153>{ii<<1<7?tH244?xhf=>0;6vF<669~jd3>2909wE=97:me0g=83;pD>88;|lb1f<72;qC?;94}oc6`?6=9rB8::5rn`7f>5<6sA9=;6saa4d94?7|@:<<7p`n6183>4}O;?=0qco91;296~N4>>1vbl8=:181M51?2wem;=50;4xL6003tdj:94?:5yK7315bzJ022=zfh<=6=4={I153>{ii?=1<710;688;|lb2f<728qC?;94}oc5`?6=9rB8::5rn`4f>5<6sA9=;6saa7d94?7|@:<<7p`n7183>6}O;?=0qco81;297~N4>>1vbl9=:182M51?2wem:=50;1xL6003tdj;94?:2yK73151zJ022=zfh==6=4>{I153>{ii>=1<7?tH244?xhf?10;688;|lb3f<728qC?;94}oc4`?6=9rB8::5rn`5f>5<6sA9=;6saa6d94?7|@:<<7p`n8183>4}O;?=0qco71;295~N4>>1vbl6=:186M51?2wem5:50;3xL6003tdj484?:0yK73151zJ022=zfh226=4>{I153>{ii1k1<7?tH244?xhek00;6>uG3758ykddi3:1?vF<669~jgee2908wE=97:mffb=83;pD>88;|lag`<728qC?;94}o`g4?6=:rB8::5rncf2>5<5sA9=;6sabe094?7|@:<<7p`md583>7}O;?=0qclk5;296~N4>>1vboj9:182M51?2weni950;3xL6003tdih54?:0yK73151zJ022=zfknj6=4>{I153>{ijmn1<76tH244?xhelo0;6?uG3758ykdb83:1>vF<669~jgc6290:wE=97:mf`5=838pD>88;|laa1<72;qC?;94}o`f1?6=9rB8::5rncg4>5<5sA9=;6sabd:94?4|@:<<7p`me883>4}O;?=0qclja;295~N4>>1vbokm:182M51?2wenhm50;3xL6003tdiii4?:3yK73152zJ022=zfkom6=4<{I153>{ijo:1<7?tH244?xhen80;688;|lab=<72;qC?;94}o`e=?6=9rB8::5rncda>5<6sA9=;6sa131;>5<4sA9=;6sa131:>5<6sA9=;6sa131b>5<6sA9=;6sa131a>5<6sA9=;6sa131`>5<6sA9=;6sa131g>5<4sA9=;6sa131f>5<6;rB8::5rn000b?6=;rB8::5rn0074?6=>rB8::5rn0077?6=9rB8::5rn0070?6=;rB8::5rn0071?6=;rB8::5rn0072?6==rB8::5rn007{|l11f<728qvb?9>:182xh5190;6>urn3:b>5<6std9mk4?:2y~j7g>290:wp`=cd83>6}zf;i36=4>{|l1aa<72:qvb?k8:182xh48j0;6>urn225>5<6std8>o4?:2y~j642290:wp`<4`83>6}zf:>?6=4>{|l02<<72:qvb>8<:182xh4010;6>urn2:1>5<6std<9;4?:0y~j20e290:wp`88183>4}zf>3>6=4>{|l4f1<72:qvb:oj:182xh0l:0;6>urn6ag>5<6std6}zf1:i6=4>{|l;75<72:qvb55<6std3:k4?:0y~j=>3290:wp`79883>4}zf1kn6=4>{|l;ga<72:qvb5m8:182xh?mj0;6>urn9g5>5<6stdjn<4?:0y~jde1290:wp`ndc83>4}zfhl;6=4>{|la40<728qvbo?n:182xhe:o0;65<4stdi854?:0y~jf4?290:wp`l4683>6}zfj>:6=4>{|l`23<72:qvbn8?:182xhd0<0;6>urnb5e>5<6stdhm94?:2y~jf?b290:wp`lc283>6}zfjho6=4>{|l`a7<72:qvbnjl:182xhc880;6>urnbda>5<6stdo>=4?:2y~ja7f290:wp`k3g83>6}zfm926=4>{|lg1`<72:qvbi;7:182xhc?m0;6>urne54>5<6stdo5n4?:2y~ja?1290:wp`kbc83>6}zfmh>6=4>{|lg`d<72:qvbij;:182xhcn00;6>urned0>5<6stdn=54?:2y~j`75290:wp`j3683>6}zfl9:6=4>{|lf13<72:qvbh;?:182xhb?<0;6>urnd4e>5<6stdn594?:2y~j`>b290:wp`jb283>6}zflko6=4>{|lf`7<72:qvbhml:182xhbm>0;65<4stdm<=4?:0y~jc422908wp`i1g83>4}zfo>?6=4<{|le7`<728qvbk8<:180xha=m0;65<4stdm;n4?:0y~jcg62908wp`i9c83>4}zfoi;6=4<{|lefd<728qvbkji:180xhal00;65<4stdmj54?:0y~j466l3:1?vsa1134>5<6std:?=750;3xyk749l0;6:7:182xh6;?=1<7=t}o3024<728qvb<=76;297~{i9:2;6=4>{|l27d3=839pqc?<9g83>4}zf89h87>53zm56db290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<GKM8Ud~=>?0^kntZGKM8Ud~=>?0068EKB5>2KEH?L>6:CM@7D5<2KEH>84AOF0F40GIL=H9:6OAD5@02>GIL=H?:6OAD4@12>GILGIL86OAD968EVtak2KX~kQaou23447b3HYyjR``t1235ZojxVKX~kQaou23447>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9<2Kh`?9;@aovZOI^Vcf|ROlls]JJS733KKHXh5MABV\mhvXJHI_=85MABVq0>DBZ[?0NH\]079AAWT7z<1II_\>6:@FVW7u12HN^_QFOCQf?GCUZVcf|RLJRS35?GCUZ{l=7OK]Rspf?GCUZ{xTbbz?01316>DBZ[xySca{0122[lkwWKOY^|Pnnv3457602H^_RGAFN38G1=D9?G87N6B4:ABGQ4B;:7>0H1=16:F?0?69<2N783:4D=7=0>B;>7<0H1950?68@919=2N_MNE>0:FWEFMXadzTHYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t1235723:2=Ci}k7=3;4D`vbE==Ci}kJ0=07;EcweD:6611OmyoN<3<;?AgsiH682l5KaucB81<7611OmyoN<5<;?AgsiH6>255KaucB838f3MkmL28:1<;?AgsiH6<2<5J2:GP1>CT494>7H]31?78AV:56<1N_1=17:GP81<76<1N_1:1a:GP[dhc89:;=l5JS^cm`5678Vcf|RK\_`lg45679h1N_Road12354g?00]jiuYB[Vkeh=>?10f8AVYdeyUn}=>?0328AVYdeyUn}=>?0^kntZCTWjg{Sh?0122`>CTWjg{Sh?01314>CTWjg{Sh?013\mhvXMZUha}Qjq12354??00:8AVYhz9:;?0103?@RFKBUjbi>?01]jiuYB\HI@Sl`k0123546?149F[LIE:2Oy?6K}259EGIM53ON?7KJLE39EB1=ANm;<7KHk1,Km<>@Al8'Bb<74FGf2)Lh6911MJi?"Io0:?C@c9$Ce><64FGf2)Lh412LMh<#Fn23:?C@c9$Ce8<64FGf2)Lh2j2LMh<#Fn^knt1=ANm8<7KHk2,Km<>@Al;'Bb<74FGf1)Lh6911MJi<"Io0:?C@c:$Ce><64FGf1)Lh412LMh?#Fn23;?C@c:$Ce845IFe0.Mk2602LMh?#Fn4;8BCb5%@d>=55IFe0.Mk0>3OLo> Ga60;8BCb5%@d<=55IFe0.Mk>e3OLo> Ga_hos0>@Al:=0JKj<-Hl;?C@c;$Ce=45IFe1.Mk7602LMh>#Fn3;8BCb4%@d9=55IFe1.Mk5>3OLo? Ga30:8BCb4%@d?56HId2/Jj17>3OLo? Ga50:8BCb4%@d=n6HId2/JjZojx=1MJi:;;GDg11=ANm03OLo4 Ga8:DE`=+Nf830JKj7-Hl25<=ANm2&Ec<>8:DE`=+Nf:h0JKj7-Hl\mhv43OYI?6H\Mb9EWHY7%ykyiczl;GQN[4+wi{oexn5ISL]1)ugumg~:7J=4GOF2?L4=5FO@AW[dhc89:;Sdc_HMBGQYffm:;<=?k;HMBGQYj}q:;<=k4INC@PZkrp9:;<e:KLEFRXe|r;<=><109JKDESWds<=>?_hosg>OHIJ^Tc>?013f?LIFK]Ud~=>?0^kntZOHIJ^Tc>?0135?LIFK]x=7DAMESPf?LIEM[XTmcj?01216>OHJLXYSl`k0123[lkwW@EII_\Paof34566m2CDNH\]_omw4566:;1BCOK]R^llp5679Vcf|RG@BDPQ[kis89::=i5FOCGQVZiu89:;>=5FOCGQVZiu89:;Sdc_HMAAWTXg{:;<=?;;HMAI1=NGKY?7DALS49JKFU6=2CDO^<:;HM@W6`?1^kntZOHKZUha}Qjq12354`?3^kntZOHKZUha}Qjq12374`?5^kntZOHKZUha}Qjq12314`Vcf|RG@CR]`iuYby9:;:?7^kntZOHKZUha}Qjq12334>OHD9Ufyu>?013f?LIK8Vg~t=>?003f?LIK8Vg~t=>?033g?LIK8Vg~t=>?0233?LIK8Vg~t=>?0^knt1=NGE;h7DAC1^llp56798o0EBB>_omw4566W`g{SDAC1^llp56798?0EBBKS79JKUQD[880EB^XCR]jiuYNGY]H_<;4INPFUa=NG[OZSl`k012365=NG[OZSl`k0123[lkwW@EYI\Qnne23457c3@EYI\Qbuy2345c=5FOSU3[dhc89:;Sdc_HMQS5Yffm:;<=?k;HMQS5Yj}q:;<=k4INPT4Zkrp9:;<e:KLVR6Xe|r;<=><109JKWQ7Wds<=>?_hos`>OHZ^:Tbbz?01314>OHZ^:Tbbz?013\mhvXAFX\OHZ^:Tc>?00]jiuYNG[];Sb|?01321>OHZ^;<7DA]e`fzb>OHZlkouRoad123472OHZlkouRa}012367=NG[ojhtQ`r1234ZojxVCD^hoky^mq45679:1BC^k4INQ\ghvXmx:;<=k4INQ\ghvXmx:;<k4INQ\ghvXmx:;<9k4INQ\ghvXmx:;<8k4INQ\ghvXmx:;<;k4INQ\ghvXfl:;<=:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>=1e9JKP6Xe|r;<=><119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDYOi;:1Bb9=4Io7f?NCBXVBBI_O]CI[4?II@AJKGh6B_M^CG@ZURJV;o7A^B_@FG[VSEW;n0@]CPCNWMP@TX9m1G\@QLOTLWAWY502F[ARJIF^;8HUKXLOLT=l5CPL]GBCY68h1G\@QKFG]25d=KXDUOJKQ>2`9OTHYCNOU:?l5CPL]GBCY66`9OTHYCNOU:;l5CPL]GBCY60h1G\@QKFG]2=<=KXDUOJKQ=a:NSIZBANV8;m6B_M^FEBZ46i2F[ARJIF^01e>JWEVNMJR<JWEVNMJR<8a:NSIZBANV83m6B_M^FEBZ4>12F[ARJIF^1b?IVJWMLMS>>n;MRN[A@AW:;j7A^B_EDE[64f3EZFSIHI_21b?IVJWMLMS>:6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]809L0>ICKZo0CIM\_hos[JBD[890C_<:;NP1F43>5@R278KW5E9<1D^>L=3:MQ06=HZ<90C_8<;NP47>IU0=1Dbnkl;Nl`aZgil9:;<0Cxz?e:Mvp5YneyUDyy>>4:Mvp4cIr|?Uba}Q@uu420>Ir|>>0Cxz7e:Mvp=YneyUDyy6>1:R`?U(5889:<<=PL59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ2:RP`>VTWjg{Sh?012g?UUXkdzTi|>?00f8TVYdeyUn}=>?2e9SWZejxVoz<=>>;P68U969<2[7=3:4Q=0=0>W;;7<0]1:50?68U929<2[793:4Q=4=2>W;?3:586_37?c8UZ7Xg{:;<=?n;P]2[jt789:Te`~PQ^3\kw6789;>7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU682XJAN]POwgqhdHno8=7_OBCR]Lr`tkipEmjRgbp^PBIFUXGoy`lw@fg3e?WGJKZUDzh|caxMm61=UIDIXSBxjrmczKkYneyUYM@M\_Ntfvig~Gg;o7_OBCR]bja67898;7_OBCR]bja6789Uba}Q]ALAP[dhc89:;=i5]ALAP[dhc89::>=5]ALAP[dhc89::Sdc_SCNGVYffm:;<0:PBIFUXadzT^LCLS048VDKCAZ=0^LCKIR3e?WGJL@Y:S`{w012355=UIDNB_0:PBIAOT9Vg~t=>?0232?WGJL@Y:S`{w012374763[KFHD]>_lw{4567<8;:7_OBDHQ2[hs89:;9;SCN@LU6Wds<=>?6033?WGJL@Y:S`{w0123345TFEMCX>Road123472TFEMCX>Ra}012367=UIDNB_?Q`r1234ZojxVXJAIG\2^mq45679880^LCKIR]jiuYUIDNB_<64R@OQadb~991YM@\jae{\ekb789:9:6\NMSgb`|Yffm:;<=Qfmq]QEHTbimsTmcj?012255=UIDXnmiwPaof34575>2XJA_kndx]bja6788Uba}Q]ALPfeaXign;<=?>119QEHTbimsTmcj?01012>TFE[ojhtQnne2347YneyUYM@\jae{\ekb7898:==5]ALPfeaXign;<===6:PBIWcflpUjbi>?02]jiuYUIDXnmiwPaof34556991YM@\jae{\ekb789>9:6\NMSgb`|Yffm:;<9Qfmq]QEHTbimsTmcj?016255=UIDXnmiwPaof34535>2XJA_kndx]bja678119QEHTbimsTaxv?01225>TFE[ojhtQbuy234576:2XJA_kndx]nq}6789;:=?5]ALPfeaXe|r;<=>=1038VDKUmhnrS`{w0123742Pilr\VDKUmhnrSb|?01221>TF[LFo7_O\EM]nq}6789o0^L]JL^ov|56788l0^L]JL^ov|56788;m7_O\EM]nq}67898:i6\NSDN\ip~789:8j6\NSDN\ip~789:8=k5]ARGO[hs89:;8;SCPAIYj}q:;<=Qfmqa8VDY7Wds<=>?d:PB[5Yj}q:;<=?j;SC\4Zkrp9:;<??;SC\4Zkrp9:;k;SC\5Zkrp9:;<4R@]2[hs89:;Sdcc:PB[7Yj}q:;<=j4R@]1[hs89:;=h5]A^0\ip~789::=h5]A^0\ip~789:9=i5]A^0\ip~789:8==5]A^0\ip~789:Te`~l;SC\7Zkrp9:;7_K\rg78V@Uuzm1YI^|}_omw4566:91YI^|}_omw4566W`g{S_K\rs]mkq6788;>7_ABCRf8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?011g?WUXkdzTi|>?05f8VVYdeyUn}=>?5e9QWZejxVoz<=>93:PWH0=Umhnr:6\jae{33>Tbims;~;5]e`fz52=Umhnr=84Rdcg}712XnjLmd6:PfbFhs12XnjN`{<1<:?WcaKg~7=374Rdd@jq:5601YikMat=1==>TbnJd0906;SgeGkr;=730^hhLnu>5:g=UmoIex1950?;8V``Df}6<2:5]egFlj`753[omHb`j_bos[`w789:9m6\jfEmmaZejxVoz<=>?_hos[WcaLfdnSnc_ds34566n2XnjIaae^llp5679;>0^hhKoog\jjr789;Te`~PRddGkkcXff~;<=?>6:PfbWGT>2Xnj_k~139QacTbyVcf|R\jfSgr5<=Umo_ykh`{199QacSuoldSdc_SgeQwabf};=7_k|umv:?Wct}e~7<374Rdqvhq:6601Yi~{ct=0==>Tb{|f0>0m;Sgpqir;<3:556\jstnw818>3[oxyaz35?;8V`urd}6=2o5]erwop91=8730^h}zlu>4:70UNOVH^_COBE59Pgit33Zixxl5\nePBIFUPZ880_cj]ALAPSWYffm:;<=6]adSCNGVQUWhdo<=>>2`9PjaTFEJY\^Road1235ZojxVYeh_OBCRUQ[dhc89::=<;4SofQEHET_[Uha}Qjq123466<[gnYM@M\WS]`iuYby9:;>319PjaTFEJY\^Rmbp^gr4566W`g{S^`kR@O@WRTXkdzTi|>?00321>Uil[KFO^Y]_bos[`w78988<6]adSCNGVQUWjg{Sh?010\mhvX[gnYM@M\WS]`iuYby9:;>Qfmq]PjaTFEJY\^Rmbp^gr456498?0_cj]ALAPSWYdeyUn}=>?4228WkbUIDIX[_Qlmq]fu567?=5\nePBIFUPZVif|Rk~0126[lkwWZdo^LCLSVP\ghvXmx:;<8?>a:Qm`WGJKZ]YSdc_RlgVDKD[^X:=<5\nePBIFUPZVey<=>?299PjaTFEJY\^Ra}0123[lkwWZdo^LCLSVP\kw6789;:=6]adSCNGVQUWfx;<=?=8:Qm`WGJKZ]YSb|?013\mhvX[gnYM@M\WS]lv56798;:7^`kR@O@WRTXg{:;?03]jiuYTfmXJAN]XR^mq45659=1Xhz;;U[SA==SadodyyO7;UknajssJ8:0XdcjotvA[dhc89:;>;5[ilglqqDXign;<=>Pilr\Plkbg|~ISl`k012356=QKJ30ZDKX_U[SA6=QXHi0Z]OPilr\RUG6=2\[Mh:;WRBvwb<^YKy~R``t123576<^YKy~R``t1235ZojxV\[M|Pnnv34576i2\bh_OBCRUQ`>Pnl[KFO^Y]65i2<>Pnl[KFO^Y]65i\ghvXmx:;<==9;WkgVDKD[^X=8fQlmq]fu5678Vcf|RXfdSCNGVQU>=aTo`~Pep23457602\bh_OBCRUQ21mXkdzTi|>?0015?SocZHGH_Z\94j]`iuYby9:;=Rgbp^Tj`WGJKZ]Y:9ePclr\at6788;9<6XfdSCNGVQU>=aTe`~PVhfQEHET_[?1228RlbUIDIX[_Qlmq]fu5679Vcf|RXfdSCNGVQUWjg{Sh?013250=QamXJAN]XR^antZcv89:9?=5YiePBIFUPZVif|Rk~0121[lkwW_co^LCLSVP\ghvXmx:;5:Tj`WGJKZ]YSnc_ds3455482\bh_OBCRUQ[fkwWl{;<==Pilr\RlbUIDIX[_Qlmq]fu567;8;>7[gkR@O@WRTXkdzTi|>?0513?SocZHGH_Z\Pclr\at678=Uba}QYiePBIFUPZVif|Rk~0127543<^`nYM@M\WS]`iuYby9:;9>>4VhfQEHET_[Uha}Qjq1231ZojxV\bh_OBCRUQ[fkwWl{;<=;>149UmaTFEJY\^Rmbp^gr4561;91]ei\NMBQTVZejxVoz<=>9_hos[SocZHGH_Z\Pclr\at678?;:96XfdSCNGVQUWjg{Sh?01504>Pnl[KFO^Y]_bos[`w789=Te`~PVhfQEHET_[Uha}Qjq12334723_co^LCLSVP\ghvXmx:;<5=?;WkgVDKD[^XTo`~Pep234=YneyU]ei\NMBQTVZejxVoz<=>71078RlbUIDIX[_Qlmq]fu5671::0Zdj]ALAPSWYdeyUn}=>?9^kntZPnl[KFO^Y]_bos[`w7893:=85YiePBIFUPZVif|Rk~013375=QamXJAN]XR^antZcv89;;Sdc_WkgVDKD[^XTo`~Pep235576=2\bh_OBCRUQ[fkwWl{;<>103b?SocZHGH_Z\Pilr\RlbUIDIX[_?>1:Tj`WGJKZ]YSb|?01226>Pnl[KFO^Y]_np345669;1]ei\NMBQTVZiu89:;><<4VhfQEHET_[Ud~=>?0236?SocZHGH_Z\Pos2345Yney;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@<0T^ZCIC58\VRXOGN:i6V\T^KNTICJMG^JXDAA_BMMWQTFAG^X==5WSU]QPIYWZFZN^YW8;YQW[SED>2RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk3;5Wo=2=7<=_g5:5Sdc3:Zpp<=_{}MFcikcb:]b`a67896;2o5Paef3456;97h0Sljk0123878e3Vkoh=>?0=1=`>Yflm:;<=2;:12o5Paef3456;>7n0Sljk012382<76k1Tmij?012?3;>?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0158[jt789::86Q`r1234ZojxVUd~=>?0058[jt789;:86Q`r1235ZojxVUd~=>?1078eabbzl1jbi>?01]lv5678;80mcj?012\kw6789Uba}Qnne2345Yhz9:;<<=4cov4?firf}oy>6m|3:feb<=ca{ohxdaa3:dpfg=a{kUecy>?013`?cueWge<=>?_hos[cueWge<=>?159jkgk33`ei45aAEmvpIC7911eMIaztMG3[lkwWgKOcxzCE1324>hFLf@H>Pos2345413gKOcxzCE1]lv5678Vcf|R`NDnwwH@6Xg{:;<=?6;oCGkprKM8;37cOKotvOA4YneyUeMIaztMG256=iIZ=0bL]PFR@4?kGTW@EIn6`NS^llp56798i0bL]Pnnv3457XadzTbL]Pnnv34576i2dJ_Ra}01235d=iIZUd~=>?0^kntZhF[Vey<=>?169mEjssGL?0bOK]R89mF@TUWOYI56`MESP\MJDc3gHN^_Qnne2345473gHN^_Qnne2345YneyUeNH\]_`lg456798:0bOK]R^kntZhEM[X:86`LARa8jFGTWge<=>>1d9mGDUXff~;<=?Pilr\jFGTWge<=>>179m@QGDCh1eHYOLK^DPFd=iL]KHGRG@Bd9m@QGDCVkeh=>?0308jARFKBUjbi>?01]jiuYiL]KHGRoad1234404nEkmH@Yhz9:;>6:lGmkIB9;1eHd`@E^kntZhCagEN=:5aDnwwK@`?1^kntZhCg|~DIR``t12354chKLZUjbi>?01]jiuYiDMYTmcj?0122g>hKLZUjbi>?003f?kJC[Vkeh=>?1^kntZhKLZUjbi>?003`?kJC[Vddx=>?10g8jIBTWge<=>>_hos[kJC[Vddx=>?10`8jIBTWfx;<=>>c:lO@VYhz9:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>c:lLAZojxVdDI<74nNtfvig~88;0bBxjrmcz4Zgil9:;?0^kntZhH~lxgmt>Paof3456612dDzh|cax34?kTFEE]N;6`]ALWTA1=iZHYh7c\NS^cm`56788o0b_O\_`lg4567W`g{Sc\NS^cm`56788o0b_O\_hos[kTF[8h0b_O\_np34566k2dYM^Q`r1234ZojxVdYM^Q`r123442?0308jWIJ_LUjbi>?01]jiuYiZFG\IRoad12344753gXDAZKPilr\jWIJ_L;?7c[KS99mQAUXNZH37c[KS^KLFf=i]MYTmcj?0122a>hRLZUjbi>?01]jiuYi]MYTmcj?0122g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?0037?kSPMj1eYZKPaof34566m2d^[HQnne2345YneyUeYZKPaof34566m2d^[HQfmq]mQRC6<2d]AL64nWOB[LIEk2d]ALQnne23457b3g\FMRoad1234ZojxVd]ALQnne23457a3g\FMRmbp^gr4567:=1eZ@OPclr\at6789Uba}QaVLC\ghvXmx:;<=?l;oTNEZhh|9:;=hQXHUM_O64nWRB[LIEk2d]\LQnne23457b3g\[MRoad1234ZojxVd]\LQnne23457b3g\[MRgbp^lUTD743g]N;6`XE^DPFc=ig}:;<=Qnne2345433ge<=>?_`lg4567W`g{Sca{0123[dhc89:;=:5om]`hn?pfd|oTod`6;wcoq`Yhxk20tn7:01zoa>~d1<9=ta~l45/6yEFw9:=?7MNw35:9B?4=9rY2m7=;7;034?74<7?m2eaxj627281e?9?56:&07c<4;01v_4753559656=9:>=98>51c0g`>b6km0;6<4>{R;b>6202;:;6<=;6473>4d5lj1}J?l<:182>4<7sZ3j6>:8:323>453>>:2d7?g7dl3:18>4l:72xH65d2;qC=l>4$322>6`43tF8?i4>{%1fa?34?::k0`3<722e8o>4?::m0ed<722e8;<4?::m030<722c85l4?::k:0?6=3`3>6=44o2`a>5<=h:;91<7*=02815<=i:981>65`23094?"58:09=45a21097>=h:;;1<7*=02815<=i:981865`23294?"58:09=45a21091>=h:8l1<7*=02815<=i:981:65`20g94?"58:09=45a21093>=h:8n1<7*=02815<=i:981465`20a94?"58:09=45a2109=>=h:8h1<7*=02815<=i:981m65`20594?"58:09=45a2109f>=n?=0;6)=<51:9j34<72-8;?79<;o036?4<3`=;6=4+211936=i:981?65f6d83>!47;3=87c==5729m654==21b:n4?:%037?143g8;>784;h4a>5<#:991;>5a21093>=n>h0;6)=<59:9j2=<72-8;?79<;o036?g<3`<<6=4+211936=i:981n65f6783>!47;3=87c==5729m654=l21b;n4?:%037?143g8;>7k4;h5a>5<#:991;>5a2109b>=n?h0;6)90b?>=:038?l1?290/>==5729m654=9;10e:950;&146<0;2d93:9j33<72-8;?79<;o036?7332c<97>5$320>255<#:991;>5a210953=b;15>1g|D:9h6ki:3a8 6cb2<1v(>>8:8`8^=b=9ri1qW5g83>>i5m>0;66a=ed83>>o0n3:17d=ma;29?j74n3:17d=ka;29?j45=3:17b=<50:9j7<<72-8;?7=n;o036?7<3`936=4+21197d=i:981>65f3783>!47;39j7c==53`9m654=<21b?>4?:%037?5f3g8;>7;4;h11>5<#:991?l5a21092>=n;80;6)=<58:9j6c<72-8;?7=n;o036??<3`8n6=4+21197d=i:981m65f2e83>!47;39j7c==53`9m654=k21b>o4?:%037?5f3g8;>7j4;h60>5<#:991?l5a2109a>=n<;0;6)j51z&0a`<63t.8<:4>a69je4<722e950z&0420;66g7e;29?lg62900c?>n:188yg1b29096=4?{%133?7512B8?l5f10c94?=h9mh1<75rs8a94?4|V0i01:47e:p3a<72;qU;i527d825d=z{8n=6=4={<59=2=:?l0:ho5rs8f94?4|V0n01:462:p5a1=838p1:4=0`9]65g52z\b5>;02h;0qpl0<42?qG?>m51zJ2e5=zD:9o6{#;9=1=o<4i`394?=h:9k1<75f9b83>>o>l3:17d78:188f2<72<0;6=u+3159`g=O;:k0@>=l:0y'57>=;lk0qd7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xd>m3:1>7>50z&042<6:01C?>o4i03b>5<5<5sW3h70958d9~w52z?4><4<50o1=il4}r3g3?6=:r78?o4>199>3??03ty:h54?:3y>3?47i2T95<5sWk:7095a09~yg75?3:1=<4<:01x 660288<7b?=3;29?l252900e9=50;9j6g<722c9o7>5;h0g>5<>o483:17d=>:188m64=831b?>4?::k00?6=3`9=6=44i2:94?=n;00;66g50z&042<192B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=n9;:1<75f13394?=n9;81<75`1e`94?=zj88>6=46:183!57?3<:7E==4?::k264<722c:>?4?::m2`g<722wi=?850;194?6|,::<68?4H21b?l76j3:17d?>c;29?j7cj3:17p}>2283>7}Y9;901<<9:0fa?xu3:3:1>vP;2:?261<69k1v9=50;0xZ15<588?625825a=z{;i1<794>1g9~w7c=838pR?k4=007>4473ty9j7>52z\1b>;6:=0:><5rs2294?4|V::01<<;:001?xu493:1>vP<1:?260<69k1v><50;0xZ64<588>624825a=z{:>1<727:>84>1g9~w6>=838pR>64=006>4473ty857>52z\0=>;6:<0:><5rs2`94?4|V:h01<<::001?xu6:=0;6?u213695ad<588=6>8:070?j74n3:17de99K76g5<5<5<5<50z&042<6ll1C?>o4i03a>5<5<52z\27c=:9=81=il4}r0f3?6=:rT9i:52152954e52z\206=:9=:1=52z\202=:9=:1=52z\20g=:9=:1=??4}r37`?6=:rT:8i52152957652z\20c=:9=:1=?<4}r365?6=:rT:9<52153954d52z\051=:9=;1=dc9>514=98h0q~?;1;296~;6<80:ho52150954ec}#;9=1?>64o217>5<5<5<5<5<5<5<6=46:183!57?3;n46F<3`9j54d=831b=50;9j577=831b=?<50;9l5ad=831vn>=9:186>5<7s-9;;7?j4:J07d=n98h1<75f10a94?=n98n1<75f10g94?=h9mh1<75rb214>5<4290;w)=?7;3ga>N4;h1b=?m:181[56j278?84>1b9~w67c2909wS=>d:?070<69k1v>?i:181[56n278?84>1e9~w6462909wS==1:?070<69o1v><<:181[55;278?84>1d9~w6422909wS==5:?070<6:91v><8:181[55?278?84>239~w64>2909wS==9:?070<6:81v>1c9~w64c2909wS==d:?073<69m1v>1b9~w6562909wS=<1:?073<69l1v>=::181854=3;on63<36825g=z{:9=6=4={<102?7cj278?:4>1b9~yg7cl3:197>50z&042<2j2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj;8<6=4<:183!57?3?87E=?750;694?6|,::<68;4H21b?l76j3:17d?>c;29?l76l3:17b?kb;29?xd5:l0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3th8=l4?:483>5}#;9=1945G32c8m47e2900e5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a6dg=8391<7>t$224>4bb3A98m6g>1c83>>o69j0;66a>dc83>>{e:l21<7;50;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3gf?6=3th::=4?:283>5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a74?=83?1<7>t$224>0><@:9j7d?>b;29?l76k3:17d?>d;29?l76m3:17b?kb;29?xd49j0;684?:1y'751==k1C?>o4i03a>5<5<5<55;294~"48>0>m6F<3`9j54d=831b=5<7s-9;;7;m;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm33094?3=83:p(>>8:4c8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`061<72<0;6=u+31591d=O;:k0e3:197>50z&042<212B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj:836=4::183!57?3?j7E=c;29?l76l3:17d?>e;29?j7cj3:17pl<2b83>0<729q/?=955`9K76g5<5<N4;h1b=6=4?{%133?3>3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e;:81<7;50;2x 6602<20D>=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3gf?6=3th9j94?:483>5}#;9=1945G32c8m47e2900e5<2290;w)=?7;7;?M54i2c:=o4?::k25f<722c:=i4?::k25`<722e:ho4?::a6cg=83?1<7>t$224>0d<@:9j7d?>b;29?l76k3:17d?>d;29?l76m3:17b?kb;29?xd5nj0;684?:1y'751==k1C?>o4i03a>5<5<5<55;294~"48>0>m6F<3`9j54d=831b=5<7s-9;;7;l;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm15694?3=83:p(>>8:4`8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`203<72<0;6=u+31591d=O;:k0e50z&042<2i2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj8>j6=4::183!57?3?27E=c;29?l76l3:17d?>e;29?j7cj3:17pl>4d83>0<729q/?=95589K76g5<5<N4;h1b=6=4?{%133?3?3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:;h1<7=50;2x 6602<;0D>=n;h32f?6=3`;:o7>5;n3gf?6=3th9>i4?:283>5}#;9=19?5G32c8m47e2900ee09K76g5<5<53;294~"48>0>=6F<3`9j54d=831b=><:187>5<7s-9;;7?j1:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zj;l96=4<:183!57?3?:7E=o69k0;66g>1b83>>i6lk0;66sm2`594?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|q:7?6=;rT2?63;4j802o6s|14d94?4|V8?m70?90;3gf>{t:l=1<7=t^3g4?872;38n;63=e982`g=z{;on6=4={_0fa>;5no0:ho5rs6d94?5|V>l01>l>:8f896d728;i7p}7}Y;kk01>oj:6f8yv74n3:1>vP>3g9>505=9:l0q~=ka;297~X4lh16?6}Y:;?01?70{t9;91<7>70?;6;3gf>{t9==1<742034;?47?kb:p51?=838p1<;<:06:?873i3;on6s|15`94?4|58?86<:m;<37g?7cj2wx=9j50;0x943428>o70?;e;3gf>{t9=l1<742a34;><7?kb:p507=838p1<;<:072?872:3;on6s|2`494?4|5;kj6;4j90:=n5rs3d0>5<5s48m87?kb:?044<69k1v?h::18184a>3;on63<00825f=z{;l<6=4={<0e1e9~w7`>2909w0;48:0:=o5rs3da>5<5s48mo7?kb:?046<69j1v?hk:18184am3;on63<02825a=z{;om6=4={<0e4?7cj278<84>1c9~w7`62909w0;48<0:=n5rs2cf>5<5s49ji7;5:m0:=o522`5954d52z?1e<<6lk16>l9510a8yv56>3:1>v3<1`825a=:;8=1=il4}r121d9>74?=9mh0q~<=a;296~;5:l0:=o5223`95ad52z?16`<69j16>?j51e`8yv54<3:1>v3<398071=:;:91=?::180856i3;on63<32825g=::ol1=dc9>6c6=98i0q~=?2;296~;48:0:ho522g0954e52z?1bc<69j16?=;51e`8yv56j3:1>v3<39805g=:;8i1=il4}r0144>dc9>67b=98i0q~=>d;296~;4;108=i5230g95ad52z?07=<49o16??>51e`8yv5593:1>v3<398064=:;;81=il4}r117?6=:r78?54<229>772=9mh0q~==5;296~;4;108>85233495ad52z?07=<4:o16?>>51e`8yv56<3:1>v3>528051=:;:91=il4}r105?6=;r78?54<309>764=9mh01?h?:03a?xu4:>0;6>u232:9771<5:836{t;;h1<764e3499o7?kb:p77b=838p1>=7:20g?855m3;on6s|23494?5|5;8<6o4>1c9~w6d=83lpR>l4=004>6d<58no644>1c9>6`>=98i01?o6:03f?873<3;:i63>47825`=:9=21=47b34;?o7?>e:?20`<69l16=8>510g8943528;n7)34;9;7=6;<3g`?76m279>:4>1c9>67?=98i01?k7:03f?84f13;:h63>45825a=:9=<1=47d34;?m7?>b:?20f<69m16=9k510f8943728;o70?:2;32`>"5mk08m6`=e`82?xu403:1jvP<8:?262<4027:hi4>1b9>67?=98n01?k7:03a?856?3;:o63=a8825f=:9=>1=47e34;?47?>d:?20d<69m16=9m510a8942b28;i70?:0;32g>;6=;0:=n5+2d`97d=i:lk1>6s|3783>`}Y;?16=?95379>5ab=98h01?k7:03g?856?3;:n63=a8825g=:9=>1=47c34;?47?>b:?20d<69j16=9m510`8942b28;h70?:0;32f>;6=;0:=o5+2d`97d=i:lk1?6s|3583>6}Y;=16=?95359>74?=98o0(?km:2c8j7cf2=1v>=50;1xZ65<588<6>=4=23:>47c3-8nn7=n;o0fe?39;32g>"5mk08m6`=e`85?xu493:1?vP<1:?262<49278=44>1c9'6`d=;h1e>ho57:p75<72lqU?=52135975=:;8i1=47d3499<7?>d:?067<69j16??:510a8964128;i70==8;32a>;4:h0:=h5233a954c<5:8n61d9'6`d=;h1e>ho58:p6c<72lqU>k5213596c=:;8i1=47e3499<7?>e:?067<69l16??:510g8964128;n70==8;32g>;4:h0:=o5233a954b<5:8n61e9'6`d=;h1e>ho59:p6`<72lqU>h5213596`=:;8i1=47b3499<7?>c:?067<69k16??:510f8964128;o70==8;32`>;4:h0:=i5233a954e<5:8n61b9'6`d=;h1e>ho5a:p6a<72lqU>i5213596a=:;8i1=47c3499<7?>b:?067<69m16??:510`8964128;h70==8;32f>;4:h0:=n5233a954d<5:8n61c9'6`d=;h1e>ho5b:p6f<721qU>n5213596f=::o>1=47b348m47?>e:?1bd<69m16>km510f897`b28;h7)1e9>6c>=98n01?hn:03`?84ak3;:o63=fd825g=#:lh1?l5a2dc9`>{t<:0;65uQ429>571=<:16>k:510`897`128;h70;5nh0:=o522ga954c<5;ln65970?=7;61?84a<3;:o63=f7825g=::o21=47b348mo7?>b:?1b`<69m1/>hl53`9m6`g=n2wx=i950;0x965b28lm70=ne;c2?x{e;lh1<7=;:22913}K;:i1=vF>a19~H65c2;qe?hh5349'7`c==2w/?=952368^=b=:r31j7sU2d;96~g=m3wb5>4?::k1`<<722e9o;4?::m0g=<722c8;:4?::m0fa<722e8n94?::m0<0<722c9h:4?::k4b?6=3f9jm7>5;h1:=?6=3`9om7>5;h1`5?6=3`8997>5;n1af?6=3`8j97>5;n0a0?6=3f9j:7>5;n02e?6=,;:86??6;o036?6<3f8:47>5$320>77>3g8;>7?4;n017?6=,;:86??6;o036?4<3f89>7>5$320>77>3g8;>7=4;n015?6=,;:86??6;o036?2<3f89<7>5$320>77>3g8;>7;4;n02b?6=,;:86??6;o036?0<3f8:i7>5$320>77>3g8;>794;n02`?6=,;:86??6;o036?><3f8:o7>5$320>77>3g8;>774;n02f?6=,;:86??6;o036?g<3f8:;7>5$320>77>3g8;>7l4;h1;>5<#:991?:5a21094>=n;?0;6)1e>=<52:9j76<72-8;?7=8;o036?5<3`996=4+211972=i:981865f3083>!47;39<7c==5369m654=>21b>k4?:%037?503g8;>794;h0f>5<#:991?:5a2109<>=n:m0;6)1e>=<5a:9j6g<72-8;?7=8;o036?d<3`>86=4+211972=i:981o65f4383>!47;39<7c==5369m654=m21b8=4?:%037?503g8;>7h4;h1e>5<#:991?:5a210955=h58;0:=65f3e83>!47;39<7co4k3:1(?><:258j76528907d=::18'655=;>1e>=<51598f7ge290:<7?511yO76e=9rB:m=5rL21g>4}#;lo1:6s+31595431<75`1g494?=h9o21<75`1gc94?=h9oi1<75`1gf94?=n90k1<75f18`94?=n90i1<75f18f94?=n90o1<75f18d94?=e98>1<7??:d821~J4;j0:wE?n0:'751=:hh0e<7n:188m4?e2900e<7l:188m4?c2900e<7j:188m4?a2900e4h50;9l5`c=831d=k>50;9l5c4=831d=k:50;9l5c0=831d=k650;9l5cg=831d=km50;9l5cb=831ihi4?:282>6}K;:i1=vF>a19~ 6602mi0el?50;9l65g=831b5n4?::`4>5<4290;w)=?7;fb?M54i2F8?n4>{%315;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srbd:94?5=939p@>=l:0yK5d65<50z&042>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg`>29086<4<{M10g?7|@8k;7p*<068gg>of93:17b5}#;9=1hl5G32c8H65d28q/=?653dc8yl>b2900el?50;9l65g=831v4m50;0xZ03i6s|1e494?4|5>09vPn1:?4>d757;79f~"48>0n;6gi9;29?lc?2900eij50;9j5N4;h1b=50;194?6|,::<68<4H21b?l76j3:17d?>c;29?j7cj3:17plj2;297?6=8r.8<:4:2:J07d=n98h1<75f10a94?=h9mh1<75rbd694?0=83:p(>>8:4g8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3gf?6=3thn97>55;294~"48>0:i95G32c8m47e2900e34o=647e34o?6;b:3;:n63j4;32`>{tlm0;69uQde9>a3<69k16i=4>1b9>a7<69j1v<7n:181[7>i27n87?>f:p547b3ty:5k4?:3y]5<`<5l>1=5<5sWnn70k::0fa?xucn3:1>v3j6;3gf>;b=3;:n6s|e183>7}:m90:ho52e4825f=z{l;1<75<5s4o?647b3twij54?:88;>46|,::<6k64ief94?=n90k1<75f18g94?=nm10;66g>9b83>>o61k0;66g>9e83>>oa13:17bk6:188fc1=83>1<7>t$224>03<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pljb;290?6=8r.8<:4:6:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zjln1<7850;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;on7>5;|`fb?6=;3:1N4;h1b=t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xda;3:1?7>50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zjo?1<7:50;2x 6602<<0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`e2?6=03:1:188k4be2900q~jk:180[bc34l<647d3ty:5l4?:5y]5k3:1?vP>9b9>ag<69k16ii4>1c9~w4?e2909wS?6b:?f`?76n2wx=4j50;0xZ4?c34oo6;a93;:n6s|e883>7}Ym016j;4>dc9~w`g=838p1k951e`89c0=98h0q~km:1818ce28ni70h9:03`?xubk3:1>v3jd;3gf>;a>3;:h6s|ed83>7}:mo0:ho52f7825`=z{o:1<75<5s4l864473tym87>52z?e1?7cj27m:7?=1:~f46229036;4k{%133?77=2c:5l4?::k2=f<722cm57>5;h3:a?6=3`o36=44ief94?=n90l1<75`f`83>>d68=0;684?:1y'751==01C?>o4i03a>5<5<5<5}#;9=19?5G32c8m47e2900e5<2290;w)=?7;7b?M54i2c:=o4?::k25f<722c:=i4?::k25`<722e:ho4?::a555=83<1<7>t$224>4c23A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i6lk0;66s|18c94?2|V83j70??4;32g>;ak3;:n63>03825a=z{83h6=4<{_3:g>;68=0:=i52110954c;6890:=o52110954e53z\2=`=:99>1=1b9~w4?a2909wS?6f:?247<69k1vko50;0xZcg<58:86dc9>555=98h0q~hl:1818`d28ni70??3;32g>{tnm0;6?u2fd82`g=:9991=5<5s4;;<7?kb:?246<69l1v<>>:181877:3;on63>02825c=zuk;nj7>54;294~"48>0>;6F<3`9j54d=831b=1c83>>o69j0;66g>1e83>>i6lk0;66sm1g194?2=83:p(>>8:448L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th:j84?:583>5}#;9=19;5G32c8m47e2900e50z&042<2=2B8?l5f10`94?=n98i1<75f10f94?=h9mh1<75rb0d:>5<3290;w)=?7;75?M54i2c:=o4?::k25f<722c:=i4?::m2`g<722wi=kl50;694?6|,::<68;4H21b?l76j3:17d?>c;29?l76l3:17b?kb;29?xd6nl0;6>4?:1y'751==;1C?>o4i03a>5<5<76f34o<6ij4=g:9`a=:99?1hi521dd954b<58l:61c9>5c1=98h01fd825g=z{l21<7mt=d:965g<5l=1i552f98f<>;68<0n463>eg825f=:9o;1=47d34;m97?>d:?2b2<69j16=k7510a894`e28;i70?ie;32g>{tn00;6ou2f8814d=:m>0m563i8;d:?877=3l270?jf;32f>;6n80:=o521g1954b<58l>61c9>5cd=98n0q~?6a;290~X61h16i:4>9`9>b=<61h16==;518c8yv7>j3:1>vP>9c9>b=<61k1v<7l:187[7>k27n;7?6c:?ek27:<84>9b9~w4?c2909wS?6d:?el2wx=4k50;1xZ4?b34l36<7j;<331?7>m2wx=4h50;1xZ4?a34o<6<7i;<331?7>n2wx5k4?:5y]=c=:lm02o63j8;;`?8`>20i0q~?je;296~X6ml16=hh51e`8yv7a83:1>vP>f19>5c7=9mh0q~?i2;296~X6n;16=k=51e`8yv7a<3:1>vP>f59>5c3=9mh0q~?i6;296~X6n?16=k951e`8yv7a03:1>vP>f99>5c?=9mh0q~?ia;296~X6nh16=kl51e`8yv7al3:1>vP>fe9>5cc=9mh0q~jj:1818bc2h;01h95dd9~w`?=838p1h65a09>b=b<<`52z\2a`=:98>1=hk4}r3e4?6=:rT:j=5210695c67>52z\2b7=:98>1=k<4}r3e0?6=:rT:j95210695c252z\2b3=:98>1=k84}r3e52z\2bd=:98>1=ko4}r3eg?6=:rT:jn5210695ce52z\2ba=:98>1=kj4}r3:e?6=:rT:5l521069552z\2=g=:98>1=4l4}r3:g?6=:rT:5n521069552z\2=a=:98>1=4j4}r3:a?6=:rT:5h521069552z\2=c=:98>1=4h4}|`2a<<72<0968uC32a95~N6i91v@>=k:`y'7`c=>2.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9kn0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6B<3b82!75039nm6sf9383>>o>?3:17d6j:188md7=831d>=o50;9~f65e290:6=4?{%133?7612B8?l5`10:94?=z{0i1<7d783>7}:;:h1=<64=68:3>{t9m=1<7b;29?l76k3:17b?kb;29?xu>k3:1>vP6c:?4>3?g6349==7?>b:p736=838p1:46d:?024<6lk1vqo<{I3b4>{K;:n1mv*"4m?09h4lo0:7)=j8;0fg>h4m90;7p*<0682g6=n1j0;66g6d;29?lg62900c?>n:188m7cd2900n:4?:481>0}K;:i1=vF>a19~ 66028k=7do>:188k76f2900e4m50;9j=a<722c2;7>5;c594?3=83:p(>>8:e`8L65f3E98o7?t$00;>6cf3tc2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj1;1<7=51;1xH65d28qC=l>4}M10`?7|,:on6;5r$224>4e33`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<74<4sE98o7?tH0c3?xJ4;m0:w)=je;48y!57?3;h96gn1;29?j47i3:17d7l:188f2<72:0;6=u+3159`d=O;:k0@>=l:0y'57>=;lk0qd6j:188md7=831d>=o50;9~w{t9m<1<7uC32a95~N6i91v@>=k:0y'7`c=>2w/?=951b48md7=831d>=o50;9j=f<722h<6=4<:183!57?3nj7E=5;n03e?6=3ty2o7>52z\:g>;021o0q~?k6;296~;02;:j7S{zj;9;6=4<:080I54k3;pD5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g52z\b5>;02h;0qpl=d783>6<62:qG?>m51zJ2e5=zD:9o6{#;9=1=n64i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6B<3b82!75039nm6sf8d83>>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f6>229086?4;{M10g?7|@8k;7pB<3e82!5bm3<0q)=?7;3b<>of93:17b5}#;9=1hl5G32c8m=c=831bm<4?::m14d<722wim=4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xu>k3:1>vP6c:?b4?76i2wx=i850;0x9252z\b5>;02h;0qpl=5783>=<42hq/?=952448k7362900e?ol:188m7302900e?:m:188m72c2900e?ok:188m7202900e?:i:188f732290>6=4?{%133?3e3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:<91<7:50;2x 6602<<0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`111<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xu5=80;6?uQ2438973328ni7p}=ab83>7}Y:hi01?;::03f?xu5=>0;6?uQ2458973228;i7p}=4c83>7}Y:=h01?;::03`?xu57}Y:hn01?;<:03g?xu5<>0;6?uQ2558973428;h7p}=4g83>7}Y:=l01?;<:03a?xu5=;0;6?u224795ad<5;??68=51e`8973328;h7psm27094??==3np(>>8:341?j42i3:17d5<4290;w)=?7;3ga>N4;h1b=1c83>>o69j0;66a>dc83>>{e:=n;h32f?6=3`;:o7>5;n3gf?6=3th9:=4?:783>5}#;9=1=h;4H21b?l76j3:17d?>c;29?l76l3:17d?>e;29?l76n3:17b?kb;29?xu5=h0;6?uQ24c8970728ni7p}=ab83>7}Y:hi01?;k:03a?xu5=>0;6>uQ2458970628;i70<:c;32f>{t:=h1<7{t:hl1<7{t:hn1<7<70<:f;32g>{t:4be348>o7?>c:p5a0=838p1?;l:0fa?842l3;:o6s|1e594?4|5;?o68k50;0x973a28ni70<90;32b>{zj;9i6=4l:6826~"48>09?o5`22394?=n:hi1<75f24594?=n:=h1<75f25f94?=n:ho1<75f2`d94?=n:k:1<75f2c394?=n:hn1<75f25594?=n:=l1<75m22c94?2=83:p(>>8:448L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th9?>4?:283>5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17pl=3583>6<729q/?=95509K76g5<N4;h1b=>750;594?6|,::<6o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>i6lk0;66s|22394?4|V;9:70<<9;3gf>{t:hi1<7;5;:0:=o5rs36a>5<5sW8?n63=3`825f=z{;>o6=4={_07`>;5;h0:=i5rs3cf>5<5sW8ji63=38825f=z{;km6=4={_0bb>;5;00:=i5rs3`3>5<5sW8i<63=38825`=z{;h:6=4={_0a5>;5;00:=k5rs3cg>5<5sW8jh63=39825g=z{;><6=4<{_073>;5;?0:=o52225954d52z\10c=:::<1=dc9>665=98i0q~?k6;296~;5;:0:ho52226954e52z?171<6lk16>>7510`8yv44=3:1>v3=3782`g=:::=1=dc9>66>=98i0q~?k9;296~;5;10:ho5222;95763}#;9=1>;64o334>5<6<729q/?=95509K76g5<N4;h1b=;5>?0:ho5rs37:>5<4sW8>563=66825g=::??1=5<5sW9>70<97;32g>{t:k0;6?uQ2c9>633=98i0q~<93;296~;5>>0:ho52274954d52z?120<6lk16>;8510a8yxd5>l0;694<:7y'751=:?o0c??m:188m73>2900e>m50;9j6f<722h9:i4?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=b:?12f<6lk1v?;6:180[421279:i4>1c9>63d=98h0q~=l:181[5d348=h7?>c:p6f<72;qU>n5227`954e52z?12a<6lk16>;m510`8yv41i3:1>v3=6c82`g=::?i1=5<>d5?:0;6>4?:1y'751==81C?>o4i03a>5<5<53;294~"48>0>>6F<3`9j54d=831b=5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rs33`>5<5sW8:o63=7382`g=z{;?26=4<{_06=>;5?:0:=o52263954d7}Y:m16>:?510a8yv41n3:1>v3=7282`g=::>81=dc9>624=98i0qpl=7`83>1<42?q/?=9526c8k77c2900e?;6:188m6c=831b>h4?::`13<<72:0;6=u+315914=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;=36=4<:183!57?3;oi6F<3`9j54d=831b=dc9~w73>2908wS<:9:?13<<69k16>:9510`8yv5b2909wS=j;<04=?76k2wx>h4?:3y]6`=::>=1=dc9>62>=98h0q~<86;296~;5?>0:ho5226:954e3}#;9=1>5>4o33f>5<6<729q/?=95509K76g5<N4;h1b=;5?l0:ho5rs37:>5<4sW8>563=7g825g=::>n1=5<5sW9m70<8f;32g>{t:o0;6?uQ2g9>62b=98i0q~<8b;296~;5?o0:ho5226g954d52z?13a<6lk16>:k510a8yxd50?0;694<:7y'751=:1<0c??i:188m73>2900e9>50;9j75<722h9484?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=f:?1<1<6lk1v?;6:180[421279484>1c9>6=5=98h0q~:?:181[27348397?>c:p75<72;qU?=52291954e52z?1<0<6lk16>5:510`8yv4?:3:1>v3=8282`g=::1>1=5<>d50k0;6>4?:1y'751==81C?>o4i03a>5<5<53;294~"48>0>>6F<3`9j54d=831b=5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rs303>5<5sW89<63=8`82`g=z{;?26=4<{_06=>;50k0:=o5229;954d:6=4={_62?84?j3;:o6s|3083>7}Y;816>57510a8yv4??3:1>v3=8c82`g=::1k1=dc9>6=g=98i0qpl=9383>1<42?q/?=952808k7462900e?;6:188m14=831b??4?::`1=4<72:0;6=u+315914=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;3;6=4<:183!57?3;oi6F<3`9j54d=831b=:181[4592795=4>dc9~w73>2908wS<:9:?1=4<69k16>5h510`8yv252909wS:=;<0:5?76k2wx??4?:3y]77=::1l1=dc9>6<6=98h0q~<7e;296~;50o0:ho52282954e3}#;9=1>464o301>5<6<729q/?=95509K76g5<N4;h1b=;51?0:ho5rs37:>5<4sW8>563=96825g=::0?1=5<5sW>870<67;32g>{t;:0;6?uQ329>6<3=98i0q~<63;296~;51>0:ho52284954d52z?1=0<6lk16>48510a8yxd51l0;694<:7y'751=:0o0c?<<:188m73>2900e>850;9j71<722h95i4?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=1c9>6c:p71<72;qU?95228`954e52z?1=a<6lk16>4m510`8yv4>i3:1>v3=9c82`g=::0i1=b;29?l76k3:17b?kb;29?xd4k90;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0gg<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th8mi4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4j>0;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0ff<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl=4283>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|`2ag<72:0;6=u+315914=O;:k0e50z&042<6ll1C?>o4i03a>5<5<53;294~"48>0>?6F<3`9j54d=831b=mn:186>5<7s-9;;7;l;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm3`:94?3=83:p(>>8:4a8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`0ef<72:0;6=u+315917=O;:k0e3:187>50z&042<2>2B8?l5f10`94?=n98i1<75f10f94?=h9mh1<75rb2`:>5<4290;w)=?7;3ga>N4;h1b=6=4?{%133?3?3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:=:1<7:50;2x 6602<>0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`103<72<0;6=u+31591d=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;>j6=4;:183!57?3?>7E==83>1<7>t$224>4c63A98m6g>1c83>>o69j0;66g>1e83>>i6lk0;66sm25a94?2=83:p(>>8:478L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th98h4?:583>5}#;9=1995G32c8m47e2900e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zj:2<6=4=:183!57?3;956F<3`9j54g=831d=il50;9~w<5=83kpR4=4=3ca>;5ik0:5h5222g954e<5;>;61b9>61c=98n01?;?:03`?xu4k10;6?uQ3b:896ee28ni7p}<7683>3}Y;>=01>li:03a?85di3;:n6347d3ty8ni4?:3y]7gb<5:i;64be3ty8484?:3y]7=3<5:2>6?>n;|q1`2<72:qU>i94=3ca>4?e348?:7?>e:p3c<72kqU;k522``95;<072?76l279854>1c9>61g=98n01?:l:03g?843m3;:n63=51825g=z{:kj6=4={_1be>;4im0:ho5rs2;:>5<5sW92563;4jo0:=n523bc954e<5:k36d:p673=83kpR?<:;<0bf?7>k279nk4>1c9>5`d=98i01>l9:03g?844m3;:i63=47825g=::=k1=47d348?i7?>c:p7gd=838pR>lm;<1ag?7cj2wx>l;50;0xZ7g2349io7?>a:p6g2=838pR?l;;<0ab?7cj2wx?l850;0xZ6g1349j57?kb:p<4<72:q6>ll518f89=7=:9k01?:9:03`?xu5:o0;69u225195ad<5:ij61c9~w75c2909w0<;2;32f>;5;l0:ho5rs31e>5<5s48?>7?>c:?105<6lk1v?j9:180[4d>279h;4=0`9>7g?=98i0q~=nb;296~;4im0:=l523`a95ad52z?0gg<69h16?no51e`8yv4483:1>v3=31814d=::=91=615=98i0q~1c9>66c=98h0q~1c9~w7gb2908w0;5>;09mh5222`96dc52z?103<6lk16>86510`8yv4fn3:1?v3=ac82b1=::?81>lh4=31a>7ga3ty98:4?:4y>600=:==01?8=:364?844j38?;63=4982`g=::<21=f79>66d=:k:0q~<;9;296~;552z?1eg<6n116>>l52c38yv43j3:18v3=57810g=::?81>9l4=31a>72e348?o7?kb:p6g4=838p1?om:0db?841:38i>6s|25f94?5|5;?=6?:k;<00f?43l2798h4>dc9~w4c>290>w0;6m009;69h50;1x97312;>m70<;5=90:ho5rs27e>5<5s48jn7?id:?1`328;j70=n8;3gf>{t;k?1<74be349i57?>b:p617=838p1?=l:8f8972528ni7p}<8783>7}:;1?1m<5239595ad57>5cz?11<<58h16>;6524;8970b2;?270<84;06=>;5?h099452292960?<5;2=6?;6;<0;g?4212795?4=589>6<>=:<301?7j:37:?85??3;:m6s|24c94?4|5;?26l?4=341>73f3ty:il4?:3y>5`?=1m16=hl51e`8yv42?3:18v3=578112=::?81>894=31a>730348>47?kb:p7g>=838p1>l8:03b?85e13;on6s|22394?4|5;9;6l?4=31a>7563ty9894?:3y>612=:9k01?li:03`?xu5=80;6?u22569e4=::<<1>8?4}r1aa?6=:r78o=4>1`9>7g`=9mh0q~<=3;296~X5::16>4k52318 7ce2;;27cvP=239>6<>=:;80(?km:33:?k4bi390q~<=1;296~X5:816>4<52338 7ce2;;27cvP=219>6=e=:;:0(?km:33:?k4bi3?0q~<>f;296~X59o16>58520d8 7ce2;;27cvP=1d9>6=6=:8o0(?km:33:?k4bi3=0q~<>d;296~X59m16>:o520f8 7ce2;;27cvP=1b9>622=:8i0(?km:33:?k4bi330q~<>b;296~X59k16>;k520`8 7ce2;;27cvP=169>63>=:8=0(?km:33:?k4bi3h0q~=7:181[5?3W8:46*=ec803>h5mh0;7p}<6;296~X4>2795h4<6:&1ag<4?2d9il4>;|q00?6=;rT886P=1`9>6hl5369m6`g=:2wx?>4?:3y]76=::021?>5+2d`972=i:lk1?6s|3383>7}Y;;16>4<5339'6`d=;>1e>ho54:p74<72;qU?<5229a974=#:lh1?:5a2dc91>{t;90;6?uQ319>6=0=;91/>hl5369m6`g=>2wx>k4?:3y]6c=::1:1>k5+2d`972=i:lk1;6s|2d83>7}Y:l16>:o52d9'6`d=;>1e>ho58:p6a<72;qU>i5226696a=#:lh1?:5a2dc9=>{t:j0;6?uQ2b9>63c=:j1/>hl5369m6`g=i2wx>o4?:3y]6g=::?21>o5+2d`972=i:lk1n6s|4283>7}Y<:16>465429'6`d=;>1e>ho5c:p07<72;qU8?52280907=#:lh1?:5a2dc9`>{t<80;6?uQ409>6=e=<81/>hl5369m6`g=m2wx8=4?:3y]05=::1<18=5+2d`972=i:lk1j6s|3g83>7}Y;o16>5>53g9'6`d=;>1e>ho5119~w6c=838pR>k4=35b>6c<,;oi6>94n3gb>473:p70<72;qU?85227:970=#:lh1?:5a2dc951=zuk9<<7>512811?54sE98o7?tH0c3?xJ4;m09wc=jf;6;?!5bm3?0q)=?7;30a>o>;3:17d?<6;29?l72n3:17d?=a;29?l50?3:17b6=:188m2`=831d=;?50;9j7h50;9l53c=831b?io50;9j7f7=831d=8:50;9l6g2=831d?4>50;9l5=c=831d894?::m7g?6=3k8;87>5b;39f~J4;j0:wE?n0:O76b=9r.8ih4;;|&042<69?1b5n4?::m2a`<722e:j=4?::m2b7<722e:j94?::m2b3<722c:5l4?::k2=g<722c:5n4?::k2=a<722c:5h4?::`251<72k026kuC32a95~N6i91v(>>8:327?l7>i3:17d?6b;29?l7>k3:17d?6d;29?l7>m3:17d7i:188k4cb2900c:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=5;h;`>5<0;6>4?:1y'751=lh1C?>o4L21`>4}#9;21?ho4}h:f>5<=o4}r3g3?6=:rTj=638:`38yxdb?3:187<55z&0425;h3:a?6=3`o36=44oeg94?=em80;6>4?:1y'751==81C?>o4i03a>5<5<5<>o61l0;66g>9c83>>o61j0;66g>9e83>>ib13:17oh;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66smec83>6<729q/?=95539K76g5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::aac<72=0;6=u+315910=O;:k0e:186>5<7s-9;;7;n;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66smf283>3<729q/?=951d78L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3gf?6=3ty:5l4?:3y]51=5<2sWno70h;:03a?8ce28;i70ki:03`?8`628;h7p}j8;290~Xb027nn7?>c:?f`?76k27nj7?>b:p547e34om652z\2=f=:n80:=h5rs0;g>5<5sW;2h63i1;32f>{tm00;6?uQe89>b6<6lk1vho50;0x9c2=9mh01k=510`8yvce2909w0km:0fa?8`428;h7p}jc;296~;bl3;on63i3;32`>{tml0;6?u2eg82`g=:n:0:=h5rsg294?4|5o;1=il4=g1954`5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a5c3=8391<7>t$224>05<@:9j7d?>b;29?l76k3:17b?kb;29?xd6n>0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3tyoh7>58z?g`?47i27n;7jk;ab<58om64>1b9>5c3=98i01;b?3o370h7:d:894ca28;h70?i1;32f>;6n:0:=o521g7954d<58l<652z\2=g=:n10:5o5rs0;`>5<5sW;2o63i8;3:g>{t90n1<79d83>6}Y90o01h9518g89c>=90o0q~7i:180[?a34no64m4=d:9=f=z{8on6=4={_3fa>;6mo0:ho5rs0d3>5<5sW;m<63>f082`g=z{8l96=4={_3e6>;6n:0:ho5rs0d7>5<5sW;m863>f482`g=z{8l=6=4={_3e2>;6n>0:ho5rseg94?4|5mn1m<52e68ga>{tm00;6?u2e98b5>;a03o27ps|9b83>7}Y1j16=<:59g9~w4cb2909wS?je:?251<6ml1vf19~w4`52909wS?i2:?251<6n;1vf59~w4`12909wS?i6:?251<6n?1v<7n:181[7>i27:=94>9`9~w4?e2909wS?6b:?251<61k1v<7l:181[7>k27:=94>9b9~w4?c2909wS?6d:?251<61m1v<7j:181[7>m27:=94>9d9~yg74=3:1?7?53zN07f<6sA;j<6sC32f95~"4ml0?7p*<0682e<=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi8n4?:481>0}K;:i1=vF>a19~H65c2hq/?hk54:&0af<4>h1/?h:5a09'7`0=:9k0(>k=:8a8j6bb281/?h=59e9m7a`=92.8i44<079m7`7=92w/?=951`c8m5;h132?6=3k=1<7;52;7xH65d28qC=l>4}%133?7f>2cj=7>5;n03e?6=3`3h6=44i8f94?=n1>0;66l8:186>5<7s-9;;7jm;I10e>o>:3:17d78:188m=c=831bm<4?::m14d<722wi?>l50;394?6|,::<6i6910;66s|9b83>7}Y1j16;76j;|q:`?6=:rT2h638:808yv7c>3:1>v3<3c825==:?33<7p}>d683>7}:?38;m6P=0`9~w4b?2909wSo>;<59e4=zuk9=?7>53;294~"48>0:hh5G32c8m47e2900e8<:03`?xu58h0;6?uQ21c892<58h1v>>9:180[57>27<6l?4=240>47e3ty8:?4?:3y>3??c349=?7?kb:~f41c290>6?4:{M10g?7|@8k;7pB<3e82!5bm3>0q)=?7;3bf>of93:17b>{e;:h1<7?50;2x 66028;27E=l27<64<4}r3g2?6=:r78?o4>199>3??03ty:h:4?:3y>3?47i2T95<5sWk:7095a09~yg7di3:187<54zN07f<6sA;j<6sC32f9<~"4ml0?7)=jc;15e>"4m=0j=6*"4m109in5a3d295>{#;9=1=lm4i8a94?=ni80;66a=0`83>>o5mj0;66l8:180>4<4sE98o7?tH0c3?x"48>0:m;5fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7d6j:188md7=831d>=o50;9~w{t9m<1<7a;29?j7cj3:17p}6c;296~X>k27<64m4}r03e?6=:rT9{t:li1<70j=63<5582`g=zuk;>n7>55;091~J4;j0:wE?n0:O76b=9r.8ih4;;|&042<6ik1bm<4?::m14d<722c2o7>5;h;g>5<b2900el?50;9l65g=831vn>=m:182>5<7s-9;;7?>9:J07d=h9821<75rs8a94?4|V0i01:47e:p=a<72;qU5i527;;1?xu6l?0;6?u232`954><5>02;6s|1e594?4|5>09vPn1:?4>d70}K;:i1=vF>a19~H65c28q/?hk54:'751=9hh0el?50;9l65g=831b5n4?::k:`?6=3`3<6=44b683>0<729q/?=95dc9K76g>o?m3:17do>:188k76f2900qo=189K76g5<5sW3h70958d9~w{t9m<1<747?34=15:5rs0f4>5<5s4=1>=o4^32b?xu6l10;6?uQa09>3?g63twi=;?50;195?5|D:9h6kj:59~ 66028i?7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1b4h4?::kb5?6=3f8;m7>5;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srb04f>5<22;0>wA={%1fa?25;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1v5<42808wA={%1fa?25;h;`>5<0;6>4?:1y'751=lh1C?>o4i9g94?=ni80;66a=0`83>>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg72<3:197<55zN07f<6sA;j<6sC32f95~"4ml0?7p*<0682eg=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9j=7<722c2;7>5;h:f>5<:183!57?3;:56F<3`9l54>=831v4m50;0xZ03i6s|9e83>7}Y1m16;77=;|q2`3<72;q6?>l510:892<>?2wx=i950;0x92<58h1U>=o4}r3g4}#;lo186s+31595dd5}#;9=1ho5G32c8m<4=831b5:4?::k;a?6=3`k:6=44o32b>5<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e9l31<7;52;7xH65d28qC=l>4}M10`?g|,:on695+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o864j4n2fe>4=#;l21>hm4n2g3>5=z,::<65<>i58h0;66g=eb83>>d0290>6?4:{M10g?7|@8k;7p*<0682e3=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9O76e=9r.:>545<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e;?;1<7=50;2x 66028nm7E=l278:<4>1b9~w76f2909wS76f3ty9in4?:2y]6`e<5>0j=63<60825g=z{:<;6=4={<59=a=:;?;1=il4}|`23`<72<086:uC32a95~N6i91v@>=k:`y'7`c=<2.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9ho0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1vN4;h1b=k2wx5i4?:3y]=a=:;?;1=5<5sWk:70=:4;32g>{t:9k1<77}:?3k:70=:4;3gf>{t;?:1<7;4>80:ho5r}c:1>5<22:08n;%1f0?g63-9n:7k2d8hh4>;%1f7??c3g9oj7?4$2g;>7cd3g9n<7>4}%133?7fm2c2o7>5;h;g>5<{I3b4>{#;9=1=l84i`394?=h:9k1<75f9b83>>o>l3:17d78:188f2<72<0;6=u+3159`g=O;:k0e4<50;9j=2<722c3i7>5;hc2>5<:2wx=i850;0x965e28;37095969~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psm34694?5=83:p(>>8:438L65f3`;:n7>5;h32g?6=3f;on7>5;|`024<72:0;6=u+31595a`<@:9j7d?>b;29?l76k3:17b?kb;29?xu>k3:1>vP6c:?4>7}Yi816?8:510a8yv47i3:1>vP=0`9>3?47i2wx>hm50;1xZ7cd349>87?>b:?024<69k1v>;<:18181=i816?8:51e`8yv5183:1>v38:8f8960628ni7psm17a94?1==3hp(>>8:04`?j71<3:17d9:188m4522900n<8m:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm17494?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::a531=8391<7>t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd6>00;6>4?:1y'751==;1C?>o4i03a>5<5<54;294~"48>0:i<5G32c8m47e2900evP>659>53g=9mh0q~1c9~w4052909wS?92:?22g<69j1v?>::181[47=27::l4>1b9~w7612909wS1c9~w4022909w0?9b;3gf>;6>?0:=n5rs0f5>5<5s4;=:7?kb:?222<69j1v6`825g=z{8<36=4={<35=?7cj27::l4>1e9~yg70>3:187=56z&042<6??1d=:?50;9j0f<722c:;i4?::k0`d<722h:;84?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=6c:p7ag=838pR>jn;<347?76k2wx=:<50;0x941228ni70?84;32f>{t9>91<74be34;<87?>c:~f43f290?6>49{%133?72i2e:984?::k7g?6=3`;>n7>5;h1:=?6=3k;>57>53;294~"48>0>=6F<3`9j54d=831b=5<7s-9;;7;<;I10e>o69k0;66g>1b83>>i6lk0;66sm14:94?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::p503=838pR<;:;<3647e3ty:9o4?:3y]50d<58?2647d3ty:9;4?:3y>50?=9mh01<;7:03a?xu6=>0;6?u214595ad<58?361?78t$224>4?33f;3j7>5;h6`>5<6=44i2a2>5<c;29?j7cj3:17pl>9383>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|q24be3ty?o7>53z\7g>;61:0:=o52183954d52z\2=0=:9091=52z?2=6<6lk16=4<510`8yv7>93:1>v3>9082`g=:9081=b;29?l76k3:17d?>d;29?j7cj3:17pl<9083>1<729q/?=95549K76g5<5<n6=49:183!57?3?o7E=t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd6090;684?:1y'751==h1C?>o4i03a>5<5<5<53;294~"48>0>>6F<3`9j54d=831b=50;694?6|,::<6;I10e>o69k0;66g>1b83>>o69m0;66a>dc83>>{e9?91<7:50;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`23d<72=0;6=u+31595`5<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl>7183>6<729q/?=95539K76g5<50z&042<6ll1C?>o4i03a>5<5<53;294~"48>0:hh5G32c8m47e2900e289K76g5<h7>52;294~"48>0:>45G32c8m47f2900c?3:1>7>50z&042<6:01C?>o4i03b>5<289K76g5<0z\:7>;58=02o63>348:g>;3k33h70?8d;;`?87di33h70?:b;;`?87>=33h70?91;;`?871m33h70:;:8a8943320i01<6j:8a894c>20i01<9j:8a89=4=1j1v<=9:180[74>273>7o>;<3;6?76i2wx=8h50;0xZ43a348ii7?>c:p57g=838pR<6372;03e>{t?o0;65uQ7g9>652=90h01;3m3;:h63>62825a=:9>k1=53z\0=<=:947f3ty:?k4?:9y]56`<5;:?6<7l;<0ab?76j2785<4>1b9>0`<69o16=hl510`8940428;h70?8a;32g>{t9?o1<739om63<90825a=z{:i:6=4<{_1`5>;61=08o<52185954g87>52z\211=:9<>1>=o4}r0a0?6=:rT9n9522cd95ad52z\0=5=:;0;1=il4}r3;a?6=:rT:4h5219g965g?6=4={_67?8232;:j7p};c;29f~X3k279<94>9`9>0f<58h16=;m54b9>520=5<2=1c9>5=6=98i01?lj:03a?87083;:n6s|19394?4|58=n6l?4=0:1>4be3ty:4k4?:3y>5=c=i816=4:519d8yv71n3:1>v3>7182`g=:9>i1=9d9>563=:9k01<8l:016?87?83;:n6s|17094?4|58;3m3;:o63>81825a=:9?91=47c3ty:oo4?:3y>5fg=:li01=:51g48941d28;h7p}>7g83>6}:9>o15i52838:`>;6090:ho5rs07`>5<5s4;>n7o>;<36`?7cj2wx8k4?:3y>0`<69l169=4>dc9~w4>42909w0?70;32a>;60=0:ho5rs054>5<5s4;=i77k;<34=;50;5x976328on70:l:8f8912=i816=8:59e9>5=c=1m16=;m52178906=98h0q~f39>15<69m16=5:510a8yv4703:18v3=0582b1=:9?i1>=64=0gg>47e34;387?>b:p52b=838p1<9k:32b?870>3;4be3ty:ol4?:2y>5fg=:9k01<;m:8f894?220n0q~?:b;296~;6=k0997>52z?211549~w4162909w0?9e;c2?870>3;<=6s|17694?4|58<:6l?4=04`>4033ty:;44?:3y>52g=9mh01<9?:03`?xu5jm0;6>u22cd954b<5;hn6{tn15i524d82`g=:9ji1==38;m63>9582=0=z{8=n6=4={<34a?47i279nk4>1b9~yg51n3:1=8413:17b?=a;29?j50?3:17dli:188m6df2900e<8>:188k61b2900e4>50;9j5;c10f?6=93:1:02xH65d28qC=l>4}M10`?7|,:on6>5r$224>4703`3h6=44o0gf>5<5<5<5<5<5<5<5<0;f951}K;:i1=vF>a19~ 660282>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17d?6e;29?l7>n3:17d7i:188k4cb2900c:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=5;h;`>5<0;6>4?:1y'751=lh1C?>o4L21`>4}#9;21?ho4}h:f>5<=o4}r3g3?6=:rTj=638:`38yxda13:1?7?53zN07f<6sA;j<6s+3159`f=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi==850;195?5|D:9h6:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v=n;M10g?7|,8836>kn;|k;a?6=3`k:6=44o32b>5<n;|q2`2<72;qUm<527;c2?x{e9921<7=51;1xH65d28qC=l>4}%133?bd3`k:6=44o32b>5<2980ad=za1o1<75fa083>>i58h0;66s|9b83>7}Y1j16;76j;|q2`3<72;q6;7{I3b4>{#;9=1hn5fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7A=n:188yv?d2909wS7l;<59<`=z{8n=6=4={<5965gd683>7}Yi816;7o>;|a55e=8391=7=tL21`>4}O9h:0q)=?7;f`?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zukl36=48:48a!57?3l37d?i8;29?l7>j3:17d?6e;29?l7>n3:17d?6c;29?l7>l3:17bk6:188fc4=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pljb;290?6=8r.8<:4:4:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zjln1<7:50;2x 6602<>0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`fb?6=<3:1N4;h1b=o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66s|1g:94?3|V8l370h=:03a?8ce28;i70kk:03a?8ca28;i7p}>9c83>0}Y90h01k<510a89`d=98i01hj510a89``=98i0q~?6e;296~X61l16j?4>1e9~w4?a2909wS?6f:?ff?76l2wx=4m50;0xZ4?d34oo6{tmk0;6?u2ec82`g=:n80:=n5rsda94?4|5ln1=il4=g3954b4be34l:64623`;m47>5;h3:g?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3:f?6=3`;m:7>5;ndb>5<=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;on7>5;|`eg?6=;3:1N4;h1b=1<7>t$224>4c63A98m6g>1c83>>o69j0;66g>1e83>>i6lk0;66s|1g:94?5|V8l370hi:03e?8`d28;h7p}>9b83>7}Y90i01kh510a8yv7>l3:1>vP>9e9>bc<69k1v<7j:181[7>m27mj7?>d:p5<`=838pR<7i;47b3ty:5o4?:3y]5v3ic;3gf>;am3;:o6srb031>5<22:0o6ml0;66g>9c83>>o6nj0;66g>9`83>>i68m0;66l>1083>6<729q/?=95509K76g5<N4;h1b=5<4sW;ni63>10825g=:99l1=52z\2bf=:98:1=52z\24a=:98:1=il4}r33a?6=:r7:=<4>dc9>546=98h0q~??f;296~;68o0:ho52102954b5}#;9=1985G32c8m47e2900e7>50z&042<6:01C?>o4i03b>5<6<7m;<326?7>j27:1c9~w4?d2908wS?6c:?ek27:<84>9b9~w4?c2908wS?6d:?el27:<84>9e9~w4?b2908wS?6e:?em27:<84>9d9~w4?a2908wS?6f:?en27:<84>9g9~w<`=833pR4h4=ef9=f=:m102o63i9;;`?877>33h70??7;;`?877033h70??9;;`?877k33h7p}>ed83>1}Y9lo01<>l:32b?876:3;ni63>0c825a=z{8l;6=4<{_3e4>;6810j=63>08814d=z{8l96=4<{_3e6>;68>0j=63>09814d=z{8l?6=4<{_3e0>;68?0j=63>06814d=z{8l=6=4<{_3e2>;68?0955z\2b==:n00947f3ty:jl4?:2y]5cg<5mn1m<52e9814d=z{8lh6=4<{_3eg>;cl38;m63>1382bf=z{8lo6=4={_3e`>;6nl0:ho5rsd;94?4|5l21m<52f98f=>{tnh0;6?u2f88b5>;68<0mm6s|11c94?4|58:26l?4=02a>4be3ty:55e=i816=<<511f8yxu>k3:1>vP6c:?251<>n2wx=hk50;0xZ4cb34;:87?je:p5c6=838pR=838pRi2wx=4l50;0xZ4?e34;:87?6b:p5k2wx=4j50;0xZ4?c34;:87?6d:p5m2wx=4h50;0xZ4?a34;:87?6f:~f40c29086?4;{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3bb>of93:17b5}#;9=1hl5G32c8H65d28q/=?653dc8yl>b2900el?50;9l65g=831vnl>50;094?6|,::<6<<6;I10e>o69h0;66a>dc83>>{t1j0;6?uQ9b9>e5<69h1vdc9~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psmag83>6<62:qG?>m51zJ2e5=zD:9o6{#;9=1=n:4i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6g7e;29?lg62900c?>n:188yv?d2909wS7l;<59<`=z{8n=6=4={<5965gd683>7}Yi816;7o>;|a747=8391=7=tL21`>4}O9h:0qA=>8:0a7?lg62900c?>n:188m53;294~"48>0om6F<3`9j<`<722cj=7>5;n03e?6=3ty2o7>52z\:g>;021o0q~?k6;296~;02;:j7S{zj1k1<7=51;1xH65d28qC=l>4}M10`?7|,:on6>5r$224>4e33`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<74<4sE98o7?tH0c3?xJ4;m0:w)=je;18y!57?3;h86gn1;29?j47i3:17d7l:188f2<72:0;6=u+3159`d=O;:k0e5k50;9je4<722e9X58h1v5<42;0?wA={%1fa?55;h;`>5<0;6>4?:1y'751=lh1C?>o4i9g94?=ni80;66a=0`83>>{ei90;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|q:g?6=:rT2o63n0;32e>{t9m<1<7;f83;on6s|1e594?4|5>09vPn1:?4>d71}K;:i1=vF>a19~H65c28q/?hk53:'751=9h20el?50;9l65g=831b5n4?::`4>5<4290;w)=?7;fb?M54i2c3i7>5;hc2>5<5<5290;w)=?7;31=>N4;h1b=52z?4>76f3W8;m6s|1e:94?4|Vh;01:4n1:~f=?=8391>7:tL21`>4}O9h:0qA=>8:0c;?lg62900c?>n:188m53;294~"48>0om6F<3`9j<`<722cj=7>5;n03e?6=3thj<7>52;294~"48>0:>45G32c8m47f2900c47f3ty:h;4?:3y>3?>b34k;6{I3b4>{K;:n1=v*0:m55fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7d6j:188md7=831d>=o50;9~fd6=8381<7>t$224>44>3A98m6g>1`83>>i6lk0;66s|9b83>7}Y1j16m=4>1`9~w4b12909w0958d9>e5<6lk1vn;|q2`=<72;qUm<527;c2?x{el>0;6>4=:5yO76e=9rB:m=5rL21g>4}#;lo1?6s+31595d>5<7s-9;;7jn;I10e>o?m3:17do>:188k76f2900qoo?:181>5<7s-9;;7?=9:J07d=n98k1<75`1e`94?=z{0i1<751e`8yv7c?3:1>v38:32b?[47i2wx=i650;0xZd7<5>0j=6srbe:94?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~ff6=8321>7jtL21`>4}O9h:0qA="4m;02o6`0:m95U8e824g=uS8n57>o5mj0;66a=1183>!47;38;j6`=0383?>i58l0;6)h58;0:76a=0e83>!47;38;j6`=0381?>i58j0;6)h58;0876a=0c83>!47;38;j6`=0387?>d0290o6;4j{M10g?7|@8k;7pB<3e84!5bk39=m6*"4m?09v?n:0:9y_4b138p=n4>5;j6`b=831b5n4?::k1af<722e9==4?:%037?47n2d95=h58;0:76gn4;29 7642h<0b?>=:398md5=83.9<>4n6:l147<432cj>7>5$320>d00<42>qG?>m51zJ2e5=zD:9o64u+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o36?kl;o1f4?7<,:o26>>9;o1f5?75<5<0;6>4>:2yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<7;;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm37494?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::p=f<72;qU5n527;;`?xuf93:1>vPn1:?023<69j1v?>n:181[47i27<6?>n;|q043<72;qU?=84=245>47e3ty9in4?:3y]6`e<5:??67}:?3k:70=:4;3gf>{zj10;694=:4yO76e=9rB:m=5rL21g>2}#;li1?;o4$2g7>d7<,:o=6?>n;%1f6??d3g9oi7?4$2g;>7cd3g9n<7?4}%133?7fk2c2o7>5;hc2>5<5<0;6>4>:2yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<7;;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66s|9b83>7}Y1j16;77l;|qb5?6=:rTj=63<55825f=z{;:j6=4={_03e>;02;:j7p}=eb83>7}Y:li01>;;:03a?xu4=:0;6?u27;c2?852<3;on6srbe83>1<52m51zJ2e5=zD:9o6:u+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o36?kl;o1f4?75<6<62:qG?>m51zJ2e5=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f63329086=4?{%133?353A98m6g>1c83>>o69j0;66a>dc83>>{t1j0;6?uQ9b9>3??d3tyj=7>52z\b5>;4==0:=n5rs32b>5<5sW8;m638:32b?xu5mj0;6?uQ2da8963328;i7p}<5283>7}:?3k:70=:4;3gf>{zj881<7:52;7xH65d28qC=l>4}M10`?1|,:oh6>8n;%1f0?g63-9n:7k2d8hh4>;%1f;|&042<6ij1b5n4?::kb5?6=3f8;m7>5;h0fg?6=3k=1<7=51;1xH65d28qC=l>4}%133?7f>2cj=7>5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g>i58h0;66s|9b83>7}Y1j16;76j;|q2`3<72;q6;752z\14d=:?38;m6s|2da94?4|V;oh70=:4;32f>{t;<91<7;4==0:ho5r}c30>5<32;0>wA=5<42808wA=a79je4<722e9=n;h:f>5<=o4}r3g3?6=:rTj=638:`38yxd4==0;6>4?:1y'751==;1C?>o4i03a>5<5<=o4=6814d=z{;oh6=4={_0fg>;4==0:=o5rs270>5<5s4=1m<5234695ad5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17p}=ee83>6}Y:ln01:4<079>640=98i0q~7l:185[?d34=15n528;;`?8b=1j16=?46c:?27??d3ty9in4?:2y]6`e<5>09in52204954d55z?;>7cd34n1>hm4=0096`e<5891>hm4=335>4be3ty9==4?:3y]646<5891>=o4$3ga>76a3g8nm7>4}r03a?6=:rT9=h4n3gb>4=z{;:o6=4={_03`>;c2;:j7)h5mh097p}=0b83>7}Y:9i0154=0`9'6`d=:9l0b?kn:29~w76e2909wS76f3-8nn752z\b3>;6;3k:7)d001i4n1:&1ag2d9il4=;|qb7?6=:rTj?637:`38 7ce2h<0b?kn:29~wd4=838pRl<4=68b5>"5mk0j:6`=e`87?x{e9jo1<7o58;fxH65d28qC=l>4}M10`?3|,:oh6>8;;%1f1?d33-9m>764$2g4>7be3-9m=794}%133?7f:2P3h7v?k:049yj4b93:1(?><:3g3?k47:3:07b<:3g3?k47:3807b<:3g3?k47:3>07dln:18'655=j01e>=<50:9jf=<72-8;?7l6;o036?7<3`h<6=4+2119f<=i:981>65fb783>!47;3h27c==5b89m654=<21i=nh50;195?5|D:9h64:{%133?53>2ci>7>5;h`0>5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a712=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd4<<0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3tyi>7>53z\a6>;4<:0:=n52356954d;4<<0:ho5rs261>5<5s49??7?kb:?000<69k1v>:<:181853<3;on63<44825f=zuzh96=4={_`1?853>3h97p}m3;296~Xe;2788;4m3:p6ad=838pR?jm;<172?4cj2wvn4<4sE98o7?tH0c3?x"48>0:o45fb383>>oe;3:17b3:1?7=55z&042<45;c177?6=;3:1N4;h1b=1c83>>o69j0;66a>dc83>>{e;=?1<7=50;2x 66028nn7E=47e3tyi?7>53z\a7>;4<:0:=o52356954e52z\1`g=:;=?1=il4}r176?6=:r788>4>dc9>713=98h0q~=;3;296~;4<=0:ho52357954e7>52z\a6>;46s|b283>7}Yj:16?985b29~w7be2909wS{I3b4>{#;9=1=n74ic094?=nj:0;66a=dc83>>d44<:4y'751=;=<0eo<50;9jf6<722e9ho4?::`006<72:0;6=u+315917=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj:>>6=4<:183!57?3;oi6F<3`9j54d=831b=864?:2y]f6=:;=91=47d3ty9ho4?:3y]6ad<5:>>67}:;=>1=il4=266>47d3twxn?4?:3y]f7=:;=<1n?5rsc194?4|Vk901>:9:c18yv4cj3:1>vP=dc9>710=:mh0qpl>d383>6<62:qG?>m51zJ2e5=z,::<65<=7dl=:188mg5=831d>il50;9a715=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd4<=0;6>4?:1y'751==;1C?>o4i03a>5<5<53;294~"48>0:hh5G32c8m47e2900ec:?001<69k1vo=50;1xZg5<5:>86il50;0xZ7be349?97?kb:p714=838p1>:<:0fa?853=3;:n6s|35194?4|5:>?6=6o<4}r`0>5<5sWh870=;6;`0?xu5lk0;6?uQ2e`896212;ni7psm34694?5=83:p(>>8:438L65f3`;:n7>5;h32g?6=3f;on7>5;|`013<72:0;6=u+315914=O;:k0e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zj;o=6=4=:183!57?3;956F<3`9j54g=831d=il50;9~w6342908w0?k0;`1?852<3;on63<57825f=z{:?>6=4<{<3g5?d5349>:7?kb:?01=<69j1v>;8:18187c:3h970=:8;3gf>{t:l;1<7"5mk09i=5a2dc95>{t:mo1<76s|2ef94?4|V;no70?lf;0gf>"5mk09i=5a2dc97>{t:mi1<73;on6*=ec81a5=i:lk186s|b`83>7}Yjh16=i<5b29'6`d=j01e>ho50:pf=<72:qUn5521e39f6=:;<21=g?54z\a1>;6ko0i>63<55825f=::l<1=g?{t1j0;6?uQ9b9>3??d3ty9in4?:3y]6`e<5>09in5rs333>5<4sW8:<638:333?87dm3hj7)h5mh0;7p}=0d83>6}Y:9o01:4=0d9>5fc=j11/>hl521d8j7cf281v?>k:180[47l27<6?>k;<3`a?d03-8nn709=h4n3gb>6=z{;:i6=4<{_03f>;02;:i70?le;`6?!4bj38;j6`=e`87?xu40j963>cd81`c=#:lh1?9j4n3gb>4=z{:>i6=4={<59e1=:9jo1>ik4$3ga>62c3g8nm7<4}r17e?6=:r7<6l=4=0af>7bc3-8nn7=;d:l1ad<43ty8844?:3y>3?g534;hi7ho54:~fa7=8391=7=tL21`>4}O9h:0qA=>8:0`0?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zuk9ho7>55;192~J4;j0:wE?n0:O76b=ir.8ih4<;%1fg?51i2.8i94n1:&0a3<58h1/?h<59b9m7ac=92.8i>46d:l0`c<73-9n47b59j=f<722c2h7>5;hc2>5<5<0;684=:4yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<>o>?3:17o950;794?6|,::<6il4H21b?l?52900e4950;9j<`<722cj=7>5;n03e?6=3th8?o4?:083>5}#;9=1=<74H21b?j7603:17p}6c;296~X>k27<65k4}r;g>5<5sW3o7095939~w4b12909w0=;020=0q~?k7;296~;02;:j7S=838pRl?4=68b5>{zj:??6=4=:183!57?3;956F<3`9j54g=831d=il50;9~f60629086=4?{%133?7cn2B8?l5f10`94?=n98i1<75`1e`94?=z{0i1<7k2wx5i4?:3y]=a=:;?;1={t:li1<7=t^3g`?852<3;:m63<60825f=z{:?86=4={<59e4=:;<>1=il4}r154?6=:r7<64j4=242>4be3twi=?o50;195?5|D:9h6kj:29~ 66028i?7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1b4h4?::kb5?6=3f8;m7>5;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srb5694?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f451290>6?4:{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3bf>of93:17b>{e;:h1<7?50;2x 66028;27E=l27<64<4}r3g2?6=:r78?o4>199>3??03ty:h:4?:3y>3?47i2T95<5sWk:7095a09~yg5?l3:1?7<54zN07f<6sA;j<6sC32f95~"4ml087p*<0682e==ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?l>b2900el?50;9l65g=831vnl>50;094?6|,::<6<<6;I10e>o69h0;66a>dc83>>{t1j0;6?uQ9b9>e5<69h1vdc9~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psm38094?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f61029086?4;{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3b<>of93:17b5}#;9=1hl5G32c8m=c=831bm<4?::m14d<722wim=4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xu>k3:1>vP6c:?b4?76i2wx=i850;0x9252z\b5>;02h;0qpl<9883>6<52=qG?>m51zJ2e5=zD:9o6{#;9=1=l64i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6g7e;29?lg62900c?>n:188ygg729096=4?{%133?7512B8?l5f10c94?=h9mh1<75rs8a94?4|V0i01l>510c8yv7c>3:1>v38:9g89d6=9mh0q~?k7;296~;02;:j7S=838pRl?4=68b5>{zj:i:6=4<:387I54k3;pD5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g>i58h0;66sma183>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3ty2o7>52z\:g>;f83;:m6s|1e494?4|5>03i63n0;3gf>{t9m=1<75<5<5<5<5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a57`=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd6;90;6:4?:1y'751=9l<0D>=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3`;9<7>5;n3gf?6=3ty:>i4?:3y]57b<589;647e3ty::i4?:3y]53b<589:647d3ty:454?:3y]5=><589;647b3ty:4l4?:3y]5=g<589;647d3ty:>o4?:3y]57d<588m6?51e`8945728;i7p}>2g83>7}:9;l1=il4=013>4473twi8o4?:981><}#;9=18o5`4683>>o60?0;66g>6e83>>o60>0;66g>8983>>o6000;66g>8`83>>o60k0;66l;a;297?6=8r.8<:4:1:J07d=n98h1<75f10a94?=h9mh1<75rb5;94?1=83:p(>>8:0g5?M54i2c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:ho4?::p02<72;qU8:524882`g=z{82=6=4={_3;2>;3i3;:n6s|17f94?4|V80;6?uQ195891?=98i0q~?78;296~X60116844>1e9~w4>>2909wS?79:?7=?76m2wx=5o50;0xZ4>f34>2636=4={<6b>4be34>26t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd48o0;6>4?:1y'751==81C?>o4i03a>5<5<5}#;9=19<5G32c8m47e2900e5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66smc`83>6<729q/?=95539K76g5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a7f`=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl<8g83>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|``g?6=>3:1N4;h1b=c;29?l76l3:17d?>e;29?l76n3:17b?kb;29?xdc=3:1:7>50z&042<2n2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=h9mh1<75rb2f2>5<1290;w)=?7;7g?M54i2c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::m2`g<722wih=4?:283>5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17pl>3583>0<729q/?=951d68L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`26f<72:0;6=u+315914=O;:k0e50z&042<2k2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj89h6=4;:183!57?3;n>6F<3`9j54d=831b=2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=n9;:1<75`1e`94?=zj:3?6=4<:183!57?3?:7E=o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66a>dc83>>{e;h91<7=50;2x 66028nn7E=c;29?j7cj3:17pl0<729q/?=951d68L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`0=c<72:0;6=u+315914=O;:k0e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zjk;1<7<50;2x 66028827E=t$224>44>3A98m6g>1`83>>i6lk0;66sm8b83>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th9m94?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4l:0;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`27d<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl<9783>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th8;o4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4i80;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0g2<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17p}>3783>7}Y9:<01<=9:32b?xu4l=0;6?uQ3e68967428;j7p}<8983>7}Y;1201o?510c8yv7>13:1?vP>989>7<2=98h01>7k:03a?xu6:h0;6>uQ13c8944f2;:j70=lf;32g>{t;>=1<77}Yjo16h:4n1:p7gg=838pR>ln;<0b0?76i2wx=;?50;0xZ40634;=h7o>;|q03`<72;qU?:k4=21a>47?3ty2<7>55z\:4>;60<0:5o52d68:g>;6:j0:=o5212a954d7z\;b>;60<02o63>6e8:g>;fn33h70=>1;;`?8>f20i01?o=:8a89dc=1j16?<>59b9><<<>k279m<46c:?g378:g>;40m02o63<938:g>;4?>02o63<988:g>;4k802o6s|38;94?4|V:3270=69;03e>{t9n3;:n6s|3b394?4|V:i:70=l1;03e>{t9021<7c2;:j7p}<9383>7}Y;0801>7=:32b?xu3<3:1>vP;4:?70?47i2wx?nm50;1xZ6ed349ho7`6<69j16h84>dc9~w6?02909w0=68;3gf>;41=0:=n5rs`a94?2|582>6<7l;4be34;887?>b:?27=<69k1v>m;:18185d=3;on631b9~w4542909w0?<4;3gf>;6:j0:=n5rs9094?2|582>6<7j;<:;>4be34;887?>d:?27=<69m1vi<50;0x9a7=i816h>4>dc9~w6762909w0=>1;03e>;48o0:=o5rsc294?5|5hl1m<52ad8b5>;e93;on6s|c683>7}:k909in52c982`g=z{j31<7=t=b296`b<5j21=47d34n;6{t;jo1<77cd349hj7?kb:p`=<72;q6h54=0`9>gd<69j1v<=6:181874>33o70?{t;0i1<74be349j97?>b:p77i:0fa?85f93;:m6s|ad83>7}:il092283o70j>:32b?874<3;:o63>39825f=z{8i27::i4=0`9>564=9?n019l517f8yvga2909w0oi:32b?8gc28;i7p}=a083>7}::h;1>=o4=3c3>47d3ty85>4?:3y>7<2=9mh01>79:03b?xu60m0;6?u219795cb<589h6746=i816?<=51e`8yv75l3:1>v3>2`8b5>;6;;0:>i5rs3c1>5<5s48j>7>j:181857n3;on636}:kj0:ho52d1825g=:;m91=5<5s4in647d3ty:4;4?:2y>5=3=9lo01<==:0:5?82e282=7p}>8683>3}:91?1=k>4=011>4>034>i6<68;<1:1c9>7d5=98h0q~?78;292~;60<0:j?5212095=><5=h1=564=2;;>47d349c:?0e6<69j1v<66:18587?=3;m863>3382<<=:228l=70?<2;3;e>;3j3;3m63<8g825g=:;021=47b349j97?>d:p5=d=83=p1<6::0d;?874:3;3n63;b;3;f>;40o0:=n5238:954`<5:=o6228lj70=68;314>{t;j<1<7d7<5:i<6l<5a09>6d7=i816>l:51e`8yv50i3:1>v3<768b5>;4?k0:ho5rs9`94?2|51k1m<52888b5>;6;?0j=637c;3gf>{t;m81<76}:;h?1=il4=2;e>47d349h97?>c:p7d6=838p1>76:`3896g628ni7p}=9g83>1}:91?1=4h4=3c3>4be34;887?>e:?27=<69l1v<=8:18187403;on63>3b825f=z{88i6=4={<306?75j27:>n4>dc9~w45e2909w0?;6;h0:=l5rs2;6>5<5s492>7o>;<1:2?7cj2wx?i>50;0x96ea28;i70=k1;3gf>{tk?0;68u2c18155=:kj0:=k52cd825c=:l<0:=k523e3954d<,;oi6n;4n3gb>5=z{j>1<7;t=b2965c<5ji1=47d3-8nn7m:;o0fe?776c34ih647d34n>67>55z?`4?47k27ho7?>c:?`a?76l27o97?>c:?0`4<69m1/>hl5c49m6`g=;2wxo<4?:4y>g5<58k16on4>1c9>g`<69l16h84>1c9>7a7=98o0(?km:b78j7cf2=1vqo=97;293??=9:qG?>m51zJ2e5=zD:9o6?ua3dd926=#;lo196s+3159===]:l31=v<7:|m1`<<722c9o;4?::m1`2<722co57>5;n135;h;2>5<r.8ih4?;%1fg?5102.8:o4k=:8a8j6bb281v(>>8:0c6?_>c28q997sU2d;96~402;k1qd7l:188k773290/>==52018j7652910c??=:18'655=:890b?>=:098k776290/>==52018j7652;10c???:18'655=:890b?>=:298k76b290/>==52018j7652=10c?>k:18'655=:890b?>=:498k76d290/>==52018j7652?10c?>m:18'655=:890b?>=:698f2<728;147?>{M10g?7|@8k;7pB<3e86!5bk39=m6*"4m?09v<::319y_4b138p>:4=1;j=f<722e9=94?:%037?46;2d93:l147<632e9=<4?:%037?46;2d93:l147<432e93:l147<232e93:l147<032cjn7>5$320>dgof03:1(?><:`c8j7652;10el950;&1461=1<7*=028be>h58;0>76gn3;29 7642hk0b?>=:798md4=83.9<>4na:l147<032h<6=4<:080I54k3;pD5;c594?5=83:p(>>8:ec8L65f3E98o7?t$00;>6cf3tc3i7>5;hc2>5<5<5sW3h70958d9~w4b12909w09521c8Z76f3ty:h:4?:3y]e4=:?3k:7psm8;297?7=;rF8?n4>{I3b4>{#;9=1=o94i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6B<3b82!75039nm6sf8d83>>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~fa<72:0:6>uC32a95~N6i91v(>>8:0`;?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zuk;96=4<:080I54k3;pD5;c594?5=83:p(>>8:ec8L65f3E98o7?t$00;>6cf3tc3i7>5;hc2>5<5<5sW3h70958d9~w4b12909w09521c8Z76f3ty:h:4?:3y]e4=:?3k:7psm1283>6<62:qG?>m51zJ2e5=z,::<65<50z&042>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg7329086<4<{M10g?7|@8k;7p*<0682fg=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi=k4?:282>6}K;:i1=vF>a19~ 66028hh7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=bd9je4<722e9=n;M10g?7|,8836>kn;|k;a?6=3`k:6=44o32b>5<n;|q2`2<72;qUm<527;c2?x{t1j0;64uQ9b9>3??d34215n52d;;`?87520i01<=59b9>51<>k27:j77l;<03>52z\151=::9095<5sW8:>63>f;03e>"5mk09=>5a2dc95>{t:8;1<7h5mh097p}=1183>7}Y:8:01<=521c8 7ce2;;87cvP=0d9>57<58h1/>hl52018j7cf2=1v?>k:181[47l27o6?>n;%0ff?46;2d9il4:;|q14f<72;qU>=m4=9814d=#:lh1><=4n3gb>3=z{;:i6=4={_03f>;02;:j7)h5mh0<7p}nb;296~Xfj279<7o>;%0ff?gf3g8nm7>4}rc:>5<5sWk270?i:`38 7ce2hk0b?kn:09~wd>=838pRl64=069e4=#:lh1ml5a2dc96>{ti>0;6?uQa69>5652z\b1>;6:3k:7)0q~o;:181[g334n1m<5+2d`9ed=i:lk196s|a283>7}Yi:1647o>;%0ff?gf3g8nm784}rc1>5<5sWk97095a09'6`d=ih1e>ho57:~f4eb290:<7k517yO76e=9rB:m=5rL21g>0}#;li1?;:4$2g6>g2<,:l9655+3d596ad<,:l:6:5r$224>4g43S2o6?u=6;07>x\5m009w?75238~k7c2290/>==52d68j7652910c?k<:18'655=:l>0b?>=:098k7c5290/>==52d68j7652;10c?k>:18'655=:l>0b?>=:298k7ba290/>==52d68j7652=10c?jj:18'655=:l>0b?>=:498k7bc290/>==52d68j7652?10c?jl:18'655=:l>0b?>=:698mgc=83.9<>4md:l147<732cio7>5$320>gboei3:1(?><:cf8j7652:10eo650;&1460=h58;0=76gm5;29 7642kn0b?>=:698f4ea29086<4<{M10g?7|@8k;7p*<0682g<=nj;0;66gm3;29?j4cj3:17o=;6;297?5==r.8<:4<479jf7<722ci?7>5;n0gf?6=3k9??7>53;294~"48>0>>6F<3`9j54d=831b=:;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm35794?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::pf7<72:qUn?52351954e<5:>?61=7>52z?006<6lk16?9;510`8yv53;3:1>v3<4582`g=:;=?1=63<478a6>{tj:0;6?uQb29>710=j:1v?jm:181[4cj2788;4=dc9~yg7c83:1?7?53zN07f<6sA;j<6s+31595f?>i5lk0;66l<4783>6<424?::m1`g<722h88>4?:283>5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=47d349?87?>b:pf6<72:qUn>52351954d<5:>?6il4=266>4be3ty88?4?:3y>715=9mh01>:::03a?xu4<:0;6?u235695ad<5:>>64>:2yO76e=9rB:m=5r$224>4e>3`h96=44ic194?=h:mh1<75m35494?5=;3?p(>>8:265?ld52900eo=50;9l6ad=831i?9=50;194?6|,::<68<4H21b?l76j3:17d?>c;29?j7cj3:17pl<4583>6<729q/?=95539K76g5<1c9~wg5=839pRo=4=260>47e349?87?>c:p6ad=838pR?jm;<171?7cj2wx?9<50;0x962428ni70=;5;32f>{t;=91<74be349?97?>c:~wg4=838pRo<4=265>g43h87p}=dc83>7}Y:mh01>:9:3fa?x{e9m81<7=51;1xH65d28qC=l>4}%133?7d12ci>7>5;h`0>5<5<42:0>w)=?7;172>oe:3:17dl<:188k7be2900n>:<:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm35694?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`000<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xue:3:1?vPm2:?006<69j16?9:510`8yvd42908wSl<;<177?76j278894>1b9~w7be2909wS:=:181853;3;on63<44825g=z{:>86=4={<170?7cj278884>1b9~yvd52909wSl=;<172?d53tyi?7>52z\a7>;4{zj8n86=4<:080I54k3;pD5;c172?6=;3919v*<068003=nj;0;66gm3;29?j4cj3:17o=;3;297?6=8r.8<:4:2:J07d=n98h1<75f10a94?=h9mh1<75rb267>5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a713=8391<7>t$224>4bb3A98m6g>1c83>>o69j0;66a>dc83>>{tj;0;6>uQb39>715=98i01>:;:03a?xue;3:1?vPm3:?006<69k16?9:510a8yv4cj3:1>vP=dc9>713=9mh0q~=;2;296~;4<:0:ho52357954d52z?001<6lk16?9;510a8yxue:3:1>vPm2:?0034?:3y]f6=:;=<1n>5rs3fa>5<5sW8on63<4781`g=zuk;o87>53;397~J4;j0:wE?n0:'751=9j30eo<50;9jf6<722e9ho4?::`003<72:0868u+3159710>i5lk0;66l<4283>6<729q/?=95539K76g5<N4;h1b={tj:0;6>uQb29>715=98h01>:;:03`?xu5lk0;6?uQ2e`8962228ni7p}<4383>7}:;=91=il4=266>47e3ty88>4?:3y>712=9mh01>:::03`?x{tj;0;6?uQb39>710=j;1vo=50;0xZg5<5:>=6o=4}r0gf?6=:rT9ho5235496ad6}K;:i1=vF>a19~ 66028i27dl=:188mg5=831d>il50;9a710=8391?7;t$224>6213`h96=44ic194?=h:mh1<75m35194?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`001<72:0;6=u+315917=O;:k0e50z&042<6ll1C?>o4i03a>5<5<{t:mh1<786{z{k81<7il4=265>7be3twi?8:50;194?6|,::<68?4H21b?l76j3:17d?>c;29?j7cj3:17pl<5783>6<729q/?=95509K76g5<N4;h1b=1c83>>o69j0;66a>dc83>>{e;=n;h32f?6=3`;:o7>5;n3gf?6=3th89h4?:283>5}#;9=19<5G32c8m47e2900e289K76g5<?7>53z?2`5dc9>700=98i0q~=:5;297~;6l80i>63<5782`g=:;<21=d28a6>;4=h0:ho5234a954en7>53z?2`1dc9>70c=98i0q~=:d;296~;6l<0i>63<5d82`g=z{;o>6=4={_0f1>;6l<09ho5+2d`96`25<5sW8n?63>d581`g=#:lh1>h:4n3gb>4=z{;o96=4={_0f6>;6l:09ho5+2d`96`25<5sW8n=63>d381`g=#:lh1>h:4n3gb>6=z{;nm6=4={_0gb>;6l809ho5+2d`96`25<5sW8oi63>d181`g=#:lh1>h:4n3gb>0=z{;no6=4={_0g`>;6ko09ho5+2d`96`25<5sW8oo63=e782`g=#:lh1>h:4n3gb>2=z{ko1<747e3-8nn7lk;o0fe?7"5mk0ih6`=e`81?xuei3:1?vPma:?2`71c9'6`d=jm1e>ho53:pf=<72:qUn5521e39f6=:;<21=gb54z\a1>;6ko0i>63<55825f=::l<1=gb5<5sW3h70959b9~w7732908wS<>4:?4>77334;hi7lj;%0ff?46;2d9il4?;|q157<72:qU><<4=68157=:9jo1nn5+2d`96455<4sW8:=638:332?87dm3hi7)h5mh097p}=1183>6}Y:8:01:4=119>5fc=jh1/>hl52018j7cf2:1v?>j:180[47m27<6?>j;<3`a?d?3-8nn7<>3:l1ad<33ty909<=4n3gb>0=z{;:h6=4<{_03g>;02;:h70?le;`5?!4bj38:?6`=e`85?xu58k0;6>uQ21`892<58k16=nk5b49'6`d=:890b?kn:69~w6352909w095ac9>5fc=:l?0(?km:272?k4bi3:0q~=:0;296~;02h301=6`=e`82?xu46*=ec8014=i:lk1>6s|35g94?4|5>0j;63>cd81a4=#:lh1?8?4n3gb>6=z{:>h6=4={<59e0=:9jo1>ih4$3ga>6363g8nm7:4}r17f?6=:r7<6l:4=0af>7bb3-8nn7=:1:l1ad<23ty88l4?:3y>3?g434;hi7ho56:p71?=838p1:4n2:?2g`<5lj1/>hl53438j7cf2>1vqo{I3b4>{K;:n1mv*"4m?09h4lo0:7)=j8;0fg>h4m90;7p*<0682fc=n1j0;66g6d;29?lg62900c?>n:188m7cd2900n:4?:481>0}K;:i1=vF>a19~ 66028k=7do>:188k76f2900e4m50;9j=a<722c2;7>5;c594?3=83:p(>>8:e`8L65f3E98o7?t$00;>6cf3tc2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj::36=4::386I54k3;pD4$2g`>60f3-9n87o>;%1f2?47i2.8i?46c:l0``<63-9n?77k;o1gb?6<,:o36?kl;o1f4?65}#;9=1ho5G32c8m<4=831b5:4?::k;a?6=3`k:6=44o32b>5<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e;?;1<7=50;2x 66028o;7E=l278:<4>1b9~w76f2909wS76f3ty9in4?:2y]6`e<5>0j=63<60825g=z{:<;6=4={<59=a=:;?;1=il4}|`04<<72<0968uC32a95~N6i91v@>=k:`y'7`c=82.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9ho0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1v7}Y:9k01:4=0`9~w7cd2908wSd7<5:<:65<7s-9;;7?=9:J07d=n98k1<75`1e`94?=zj;nj6=4::183!57?3?27E=c;29?j7cj3:17pl=d283><<729q/?=951ec8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;h314?6=3`;9=7>5;h316?6=3f;on7>5;|`1`0<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17p}=d883>7}Y:m301?jn:0fa?xu5k?0;6?uQ2b4897b620n0q~16>i651e`8yvb>290>wSj6;<0`3??d348o=77l;<1376f349;n7?>c:p75?=838pR>>6;<13=?47i2wx5<4?:2y]=4=:;921>hm4=22:>7cd3ty9h<4?:2y>6a7=:9k01?j7:03b?84ci3;:n6s|2e694?5|5;n:6?kl;<13>m:03a?84c;3;on63=d4825d=z{::j6=4={<13=??c349;n7?kb:p6a6=839p1?m8:337?84ci3;:o63=d28267=#:lh1>nh4n3gb>5=z{;in6=4<{<0`3?46:279hl4>1e9>6a5=9;;0(?km:3ae?k4bi3;0q~09=<522ec954c<5;n86<n95202897b428;m7)h5mh087p}=cc83>7}::j=1>=k4=3f0>47b3-8nn76f1=:9n01?j<:03g?!4bj38hj6`=e`86?xu5k00;6?u22b5965e<5;n86n9521`897b428;i7)h5mh0<7psm37a94?e=9=0:ovB<3b82M7f82wG?>j52zl0ac<1j2.8ih4:;|&042<69:1d?i:50;9l7=>=831b?:950;9l3c<722co57>5;h:0>5<l1<75f13194?=e>;0;6>4=:5yO76e=9rB:m=5rL21g>4}#;lo1>6s+31595f75<7s-9;;7jn;I10e>J4;j0:w)?=8;1fe>{n0l0;66gn1;29?j47i3:17pln0;296?6=8r.8<:4>289K76g5<d783>7}:?32n70o?:0fa?xu6l>0;6?u27;03e>X58h1vj5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb242>5<4290;w)=?7;3gb>N4;h1b={t1m0;6?uQ9e9>737=98i0q~4be3twi>o650;796?3|D:9h6kj:39'7`e=;?k0(>k;:`38 6c12;:j7)=j2;;`?k5cm3;0(>k<:8f8j6ba281/?h652da8j6c7291v(>>8:0cf?l?d2900e4j50;9je4<722e95;h;g>5<b2900el?50;9l65g=831vn>=m:182>5<7s-9;;7?>9:J07d=h9821<75rs8a94?4|V0i01:47e:p=a<72;qU5i527;;1?xu6l?0;6?u232`954><5>02;6s|1e594?4|5>09vPn1:?4>d75}#;9=1=ih4H21b?l76j3:17d?>c;29?j7cj3:17p}6c;296~X>k27<64m4}r;g>5<5sW3o70=91;32g>{t:9k1<7;<155?76j2wx?;>50;0x92<>l278:<4>dc9~yg4ei3:197<55zN07f<6sA;j<6sC32f9e~"4ml097)=jc;15e>"4m=0j=6*"4m:02h6`0:mh5f9b83>>o>l3:17do>:188k76f2900e?kl:188f2<72<0968uC32a95~N6i91v(>>8:0c5?lg62900c?>n:188m=n;h;1>5<>of93:17b=n;n3252z\:g>;021o0q~7k:181[?c34=15?5rs0f5>5<5s498n7?>8:?4><152z?4>76f3W8;m6s|1e:94?4|Vh;01:4n1:~f60629086=4?{%133?7cn2B8?l5f10`94?=n98i1<75`1e`94?=z{0i1<7k2wx5i4?:3y]=a=:;?;1={t:li1<7=t^3g`?81=i816?;?510`8yv5183:1>v38:8f8960628ni7psm8583>0<52m51zJ2e5=zD:9o6lu+3dg96>"4mj08:l5+3d69e4=#;l<1>=o4$2g1>>of93:17b5<2290;w)=?7;fa?M54i2c2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj1<1<7;52;7xH65d28qC=l>4}M10`?g|,:on6?5+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o864j4n2fe>4=#;l21>hm4n2g3>5=z,::<65<>i58h0;66g=eb83>>d0290>6?4:{M10g?7|@8k;7p*<0682e3=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9j=7<722c2;7>5;h:f>5<:183!57?3;:56F<3`9l54>=831v4m50;0xZ03i6s|9e83>7}Y1m16;77=;|q2`3<72;q6?>l510:892<>?2wx=i950;0x92<58h1U>=o4}r3g80;6>4?:1y'751=9ml0D>=n;h32f?6=3`;:o7>5;n3gf?6=3ty2o7>52z\:g>;020i0q~7k:181[?c349==7?>c:p65g=838pR?>n;<5965g53z\1af=:?3k:70=91;32f>{t;?:1<7;4>80:ho5r}c1;j5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f=?57>2d8i<4?;|&042<6k;1b5n4?::k:`?6=3`k:6=44o32b>5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb242>5<4290;w)=?7;3gb>N4;h1b=k2wx5i4?:3y]=a=:;?91=5<5sWk:70=91;32g>{t:9k1<77}:?33o70=93;3gf>{t;?:1<7;4>80:ho5r}c13a?6==391;vB<3b82M7f82wG?>j5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb277>5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a737=8391<7>t$224>4ba3A98m6g>1c83>>o69j0;66a>dc83>>{t1j0;6?uQ9b9>3??d3ty2h7>52z\:`>;4>80:=n5rs`394?4|Vh;01>;;:03`?xu58h0;6?uQ21c892<58h1v?kl:180[4bk278994>1c9>737=98h0q~=:3;296~;02h;01>;;:0fa?xu4>90;6?u27;;g?85193;on6srb9294?5=83:p(>>8:0fe?M54i2c:=o4?::k25f<722e:ho4?::a6g`=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl78;291?6=8r.8<:4>e59K76g5<5<289K76g5<53;294~"48>0>>6F<3`9j54d=831b=:180>5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rb3a0>5<4290;w)=?7;3ga>N4;h1b=c;29?j7cj3:17pl94;296?6=8r.8<:4>289K76g5<52z\0`1=:;m31=il4}r1;55z\032=:090:=o52391954d<5:n3647f3ty59z\4b>;5j?09in522c:96`e<5;hj6?kl;<:7>7cd342=6?kl;<1;278<5<6lk1vi750;;xZa?<5?815n522c49=f=::k215n522cc9=f=:0=02o6376;;`?85?033h70=?e;;`?xu?;3:1?vP73:?;0??c34236?28;o7p}=b483>6}Y:k?01?l9:8f897e628;i7p}=b683>6}Y:k=01?l7:8f897e428;i7p}=b883>6}Y:k301?ln:8f897e228;i7p}<7g83>7}Y;>l01>6;:0fa?xu6::0;6>uQ131896>?2h;01>>j:`38yv>52908w067:0fa?85?k3;:n63<82825f=z{:296=4={<1;0?76i2784>4>dc9~w34=838p1;<521c89=6=98i0q~7>52z?1fc<69j16>n=51e`8yv4d<3:1>v3=bg825a=::j?1=il4}r:7>5<5s42?6?>n;<:;>47d3ty3:7>52z?;2?47i27347?>e:p75c=838p1>>j:32b?85c03;:o6s|2c494?4|5;h=6?>n;<0`5?76k2wx>o650;0x97d?2;:j70{t:kk1<776f348h97?>c:p7a1=838p1>j6:03b?85c03;on6s|2c694?4|5;hm64?:3y>2767:8f8966b20n01>6l:0fa?x{e;>81<7<50;2x 66028827E=t$224>44>3A98m6g>1`83>>i6lk0;66sm34694?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`031<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xd4lm0;6>4?:1y'751==;1C?>o4i03a>5<5<52;294~"48>0:>45G32c8m47f2900c67;|q03=<72=qU?:64=2ga>610349<<7=87:?02f<4?>1v>j::181[5c=278:n453b38yv5el3:1>vP7`d=;kn0q~=m4;296~X4j=16?hl53c68yv5?93:1>vP<809>73`=;>l0q~=m2;296~X4j;16?hl53`48yv5?=3:1>vP<849>7`d=;1?0q~=ma;297~X4jh16?;753cc8960a2:hj7p}<8`83>7}Y;1k01>8i:2:;?xu4?00;6?uQ36;8960a2:=<7p}<7d83>7}Y;>o01>8i:25f?xu4j:0;6?uQ3c1896ce2:i37p}7}Y;m<01>8i:2f7?xu4k:0;6?uQ3b18960a2:i:7p}7}Y;hk01>km:2cb?xu4?80;6?uQ3638961528ni7p}<7483>7}Y;>?01>99:0fa?xu41h0;6>uQ38c896ce2:3270=80;1:=>{t1=0;6?uQ959>73`=0o1v4;50;4xZ<3<5:<264=4=2ga><5<5:=;64=4=244>a?<5:7}Y;1n01>8i:2:g?xu41k0;6?uQ38`8960a2:327p}7}Y;jn01>8i:2a`?xu4190;6?uQ382896172:3;7p}<9383>7}Y;0801>8i:2;1?xu4lk0;69uQ3e`8960>2:nj70=jb;1ge>;4?908hl5rs2:3>5<5sW93<63<6b803c=z{;n26=4={<1ff?4c1278::4=d89~w7e12909w0=jb;0`2>;4>>09o;5rs015>5<5s49<<7?<6:?02c<6;?1v<;i:18185113;>j63<71821c=z{88j6=4={<144?75i278:k4>2`9~w7c02909w0=99;0f3>;4==0:=o5rs3gf>5<5s49=5701>9;:03`?xu3>3:1>v3<71870>;4?=0:=o5rs3f4>5<5s49nn71v:h50;7x960>2>l01>km:6d896172>l01>88:838960d2>l0q~=9d;296~;4>j09n45237g95ad52z?035<6>816?;h51738yv5703:1>v3<66804==:;mn1=702=98i0q~=kc;296~;4lm0:ho5237g954g52z?0ag<5j=16?;m52c78yv74n3:1>v3<68827c=:;>:1=>h4}r35a?6=:r78;=4>6d9>73`=9030q~52z?037<69h16?::51e`8yv72<3:1>v3<718211=:;?l1=8k4}r011?6=:r78:44=249>7`d=:;?0q~=:3;296~;4>j03?63<5582`g=z{;k>6=4={<15=?4f=278io4=a49~w4442909w0=99;317>;4>j0:>>5rs9594?4|5:=;65<4=24`>=352z?035<60l16?;h518:8yv2d2909w0=80;6`?850>3;:m6s|20c94?4|V;;j70=jb;02e>"5mk09=45a2dc94>{t:821<7"5mk09=45a2dc96>{t:;81<76*=ec815<=i:lk1?6s|23394?4|V;8:70=jb;015>"5mk09=45a2dc90>{t:;:1<7"5mk09=45a2dc92>{t:8o1<7"5mk09=45a2dc9<>{t:8i1<7"5mk09=45a2dc9e>{t:8=1<77}Y?=16?;753c9'6`d=?:1e>ho50:p37<72;qU;?5237;97<=#:lh1;>5a2dc95>{t?80;6>uQ709>73?=;116?hl5399'6`d=?:1e>ho52:p35<72:qU;=5237;973=:;lh1?;5+2d`936=i:lk1?6s|6d83>6}Y>l16?;75359>7`d=;=1/>hl5729m6`g=<2wx:i4?:2y]2a=:;?31?>523d`976=#:lh1;>5a2dc91>{t>j0;6>uQ6b9>73?=;;16?hl5339'6`d=?:1e>ho56:p2g<72:qU:o5237;974=:;lh1?<5+2d`936=i:lk1;6s|6`83>6}Y>h16?;75319>7`d=;91/>hl5729m6`g=02wx:44?:2y]2<=:;?31>k523d`96c=#:lh1;>5a2dc9=>{t>10;6>uQ699>73?=:l16?hl52d9'6`d=?:1e>ho5a:p22<72:qU::5237;96a=:;lh1>i5+2d`936=i:lk1n6s|6783>6}Y>?16?;752b9>7`d=:j1/>hl5729m6`g=k2wx:84?:2y]20=:;?31>o523d`96g=#:lh1;>5a2dc9`>{t?j0;6>uQ7b9>73?=<:16?hl5429'6`d=?:1e>ho5e:p3g<72:qU;o5237;907=:;lh18?5+2d`936=i:lk1j6s|7`83>7}Y?h16?hl5409'6`d=?:1e>ho5119~w2?=838pR:74=2ga>16<,;oi6:=4n3gb>473:p33<72;qU;;523d`97a=#:lh1;>5a2dc951=z{>?1<7752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk459EBa333OLo:95IFe54?C@c?$Ce=95IFe5.MkYneyUMJi9"Io37?C@c0>1MJi6"Io:8BCb?%@d:56HId9/Jj47>3OLo4 Ga20:8BCb?%@d8n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue?159JKGK33@EI_95FOBQ6?LID[8?0EBM\249JKFU4n2CDO^Qlmq]fu5678;>0EBM\_bos[`w789:Te`~PINAP[fkwWl{;<=>>f:KLGVYdeyUn}=>?1368MJETWjg{Sh?013\mhvXAFIXSnc_ds34576n2CDO^Qlmq]fu567:;>0EBM\_bos[`w7898Te`~PINAP[fkwWl{;<=<>f:KLGVYdeyUn}=>?3368MJETWjg{Sh?011\mhvXAFIXSnc_ds34556n2CDO^Qlmq]fu567<;>0EBM\_bos[`w789>Te`~PINAP[fkwWl{;<=:>f:KLGVYdeyUn}=>?5368MJETWjg{Sh?017\mhvXAFIXSnc_ds34536n2CDO^Qlmq]fu567>;>0EBM\_bos[`w789f:KLGVYdeyUn}=>?7368MJETWjg{Sh?015\mhvXAFIXSnc_ds3451602CDO^Qfmq68MJJ7k2CD@=Qbuy2345b6G@PVAP[lkwW@E[[N]>5:KLV@Wc3@EYI\Qnne2345473@EYI\Qnne2345YneyUBC_K^_`lg45679m1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345669o1BC_K^_lw{4567:8o0EB\JQ^ov|5678:l0EB\JQ^ov|5678:;m7DA]EP]nq}6789>:i6G@RDS\ip~789:>=<5FOSGR[hs89:;Sdc6:KLV@Wu=2CD^Z>k;HMQS5Yffm:;<=?1e9JKWQ7Wds<=>?e:KLVR6Xe|r;<=>>f:KLVR6Xe|r;<=>>1g9JKWQ7Wds<=>?20g8MJTP8Vg~t=>?0232?LIU_9Ufyu>?01]jiubPnnv34576k2CD^Z>Pos23457b3@EY[=Q`r1234ZojxVCD^Z>Pos23457d3@EY[=Q`r12354c=5FOSU2[dhc89:;Sdc_HMQS4Yffm:;<=?l;HMQS4Yhz9:;<>259JKWcflpUecy>?00]jiuYNG[ojhtQaou23447b3@EYiljv_np34565:2CD^hoky^mq4567W`g{SDA]e`fz[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?6d9JKVYdeyUei=>?059JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]@KPHSM[U:h6B_M^ALQKRBZV837A^B_EDE[<=KXDUOJKQ>a:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U:96B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{012251=JPZOo7@okd^]b`a6789o0Aua}_Sgpqir;97o0Aua}_Sgpqir;:7o0Aua}_Sgpqir;;7o0Aua}_Sgpqir;<7o0Aua}_Sgpqir;=7o0Aua}_Sgpqir;>7o0Aua}_Sgpqir;?780B=<4N008J740BB\84:LLV=7IU:K;>7B\=B318KW523FX8N<;4OS1A66=HZ=90C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?013a?JhdmVey<=>?1b9LjfcXg{:;<=Qfmq]LjfcXg{:;<=?;;Nww4`=H}}:Te`~POtv351=H}};n7B{{1^kntZIr|8;?7B{{2d9Lqq4XadzTCxz=159Lqq5b3F?Rgbp^Mvp6733F8h5@uu6\mhvXG|~?=95@uu7f?Jss=Vcf|RAzt437?Jss>l1Dyy8Pilr\Kpr19=1Dyy9;;Nww<`=H}}2Te`~POtv;54=Wk2Z%>=?<1130[I2W33X6;295^<0<7?T:56=1Z0>09;P>7>5833X6?295^<4<7?T:16?1Z0:4?>59R828f3XU:Sb|?0122e>WX9Vey<=>?_hos[TY6Wfx;<=>>5:PB85813[K7==07;SC?54<76?1YM1?>>49QE979=2XJ0?0:;SC?7;3TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX==5]ALAP[JpbzekrCkh=6:PBIFUXGoy`lw@fg]jiuYUIDIXSBxjrmczKc`6n2XJAN]POwgqhdHf;>0^LCLS^MuawjfqFdTe`~PR@O@WZIqm{fjuB`>d:PBIFUXign;<=>=0:PBIFUXign;<=>Pilr\VDKD[Vkeh=>?00f8VDKD[Vkeh=>?1328VDKD[Vkeh=>?1^kntZTFEJYTmcj?013255=UIDIXSdc_SCNGV713[KFHD]8;SCN@LU6n2XJAIG\1^ov|56788:0^LCKIR3\ip~789::=<5]ALFJW4Yj}q:;<=?>109QEHBN[8Ufyu>?010255=UIDNB_1:PBIAOT9Vg~t=>?04325>TFEMCX=Rczx123437682XJAIG\1^ov|5678>;87_OBDHQ2[hs89:;Sdc7:PBIAOT:o1YM@JFS3]bja67898?7_OBDHQ1[dhc89:;Sdc_SCN@LU5Whdo<=>?1g9QEHBN[;Ujbi>?0007?WGJL@Y9Sl`k0122[lkwW[KFHD]=_`lg45669l1YM@JFS3]lv5678;80^LCKIR0\kw6789Uba}Q]ALFJW7Yhz9:;<4R@OQadb~Whdo<=>?279QEHTbimsTmcj?012\mhvXZHGYiljv_`lg456798:0^LC]e`fz[dhc89::>;5]ALPfeaXign;<=?Pilr\VDKUmhnrSl`k0122546=1028VDKUmhnrSl`k012063=UIDXnmiwPaof3455XadzT^LC]e`fz[dhc89:8=<>4R@OQadb~Whdo<=>;279QEHTbimsTmcj?016\mhvXZHGYiljv_`lg456398:0^LC]e`fz[dhc89:>>;5]ALPfeaXign;<=;Pilr\VDKUmhnrSl`k0126546>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;?0107?WGJZlkouRa}0123[lkwW[KF^hoky^mq45679<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?i;SCPAIYj}q:;<=?>f:PBW@JXe|r;<=>=1d9QEVCKWds<=>?3g9QEVCKWds<=>?30d8VDUBDVg~t=>?053f?WGTMEUfyu>?01725>TF[LFTaxv?012\mhvd3[KTTFW9Ufyu>?0132a>TFW9Ufyu>?0102`>TFW9Ufyu>?01124>TFW9Ufyu>?01]jiueTFW8Ufyu>?013f?WGX9Vg~t=>?003f?WGX9Vg~t=>?033g?WGX9Vg~t=>?0233?WGX9Vg~t=>?0^kntf=UIV8Taxv?012g?WGX:Vg~t=>?00g8VDY5Wds<=>?10g8VDY5Wds<=>?20f8VDY5Wds<=>?3028VDY5Wds<=>?_hosg>TFW:Ufyu>?01f8VDY4Wds<=>?1d9QEZ5Xe|r;<=>>1d9QEZ5Xe|r;<=>=1e9QEZ5Xe|r;<=><119QEZ5Xe|r;<=>Pilr`?WGX?0e9QEZ2Xe|r;<=>>e:PB[1Yj}q:;<=?>e:PB[1Yj}q:;<=<>d:PB[1Yj}q:;<==>0:PB[1Yj}q:;<=Qfmqa8VDY2Wds<=>?d:PB[0Yj}q:;<=?j;SC\1Zkrp9:;<??;SC\1Zkrp9:;k;SC\2Zkrp9:;<4R@]5[hs89:;Sdcc:PB[2Yj}q:;<=j4R@]4[hs89:;=h5]A^5\ip~789::=h5]A^5\ip~789:9=i5]A^5\ip~789:8==5]A^5\ip~789:Te`~l;SC\5]ERa8V@UXadzT^H]>5:PFWw`23[OX~j4RDQqvZhh|9:;=?>4RDQqvZhh|9:;=Rgbp^PFWwtXff~;<=?>5:PLIFUc3[EFO^Qnne2345473[EFO^Qnne2345YneyUYC@M\_`lg456798:0^BCLS^antZcv89:;>;5]OLAP[fkwWl{;<=>Pilr\VJKD[Vif|Rk~01235461Yiljv0s48V`gcq8=0^hoky0p5?Wcflp8<7_kndx0qe>TbimsTEBL\9:PfeaXZHGo7_kndx]bja67898;7_kndx]bja6789Uba}Q]e`fz[dhc89:;=<>4Rdcg}ZojxVXnmiw>c:PfeaXg{:;<=?j;Sgb`|Yhz9:;374Rdd@jq:4601YikMat=6==>TbnJd0806;SgeGkr;>7h0^hhLnu>4>58>3[omOcz37?58V``Cggo:>6\jfEmmaZejxVoz<=>?2`9QacBhflUha}Qjq1234ZojxVXnjIaae^antZcv89:;=k5]egFlj`Yig}:;<<<;;Sge@jhbWge<=>>_hos[WcaLfdnSca{012253=UmoXJ_;5]egPfu446:Pfwpjs12Xnxb{<1<:?Wct}e~7=374Rdqvhq:5601Yi~{ct=1=f>Tb{|f094?>89Qavsk|5>556\jstnw808>3[oxyaz36?`8V`urd}6<6=06;Sgpqir;?78=7_k|umv\4Zhh|9:;?0135?VETAJY:>6]LSHAP[lkwWZIXEN]>b:QJCGSTW@DMCl5\IF]AQVOCPk1XEJQMURLBI@2<[jfy86]lsuc8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><:4Srgw0>R^XL20XdcjotvB56=SadodyyOPclr\at67898h7YgbenwwEZejxVoz<=>?_hos[QojmfMRmbp^gr456798:0XdcjotvB[kis89::>;5[ilglqqGXff~;<=?Pilr\Plkbg|~JSca{01225==SadodyyLm;UknajssJYxn==5[ilglqqDXign;<=>=6:Vji`ir|KUjbi>?01]jiuYSadodyyLPaof34566;2\HO45YIDU\P\VB;2\[Mn5YP@]jiuYQXH;>7[^Nrg78RUGuzm1]\L|}_omw4566:91]\L|}_omw4566W`g{S[^Nrs]mkq6788;j7[gkR@O@WRTc3_co^LCLSVP50n7?3_co^LCLSVP50nYdeyUn}=>?0248RlbUIDIX[_8;k^antZcv89:;Sdc_WkgVDKD[^X=8fQlmq]fu56788;37[gkR@O@WRT1>_hos[SocZHGH_Z\94j]`iuYby9:;=<?0^kntZPnl[KFO^Y]_bos[`w789::=85YiePBIFUPZVif|Rk~012275=QamXJAN]XR^antZcv89::Sdc_WkgVDKD[^XTo`~Pep234476=2\bh_OBCRUQ[fkwWl{;<=<<0:Tj`WGJKZ]YSnc_ds3454XadzTZdj]ALAPSWYdeyUn}=>?2036?SocZHGH_Z\Pclr\at678:9;7[gkR@O@WRTXkdzTi|>?02]jiuYQamXJAN]XR^antZcv89:8=<;4VhfQEHET_[Uha}Qjq123066<^`nYM@M\WS]`iuYby9:;8Rgbp^Tj`WGJKZ]YSnc_ds345269<1]ei\NMBQTVZejxVoz<=>:319UmaTFEJY\^Rmbp^gr4562W`g{S[gkR@O@WRTXkdzTi|>?04321>Pnl[KFO^Y]_bos[`w789<8<6XfdSCNGVQUWjg{Sh?014\mhvX^`nYM@M\WS]`iuYby9:;:?8228RlbUIDIX[_Qlmq]fu5670Vcf|RXfdSCNGVQUWjg{Sh?01:250=QamXJAN]XR^antZcv89:2?=5YiePBIFUPZVif|Rk~012:[lkwW_co^LCLSVP\ghvXmx:;<4?>5:Tj`WGJKZ]YSnc_ds3446482\bh_OBCRUQ[fkwWl{;<<>Pilr\RlbUIDIX[_Qlmq]fu56688;>7[gkR@O@WRTXkdzTi|>?1013?SocZHGH_Z\Pclr\at6798Uba}QYiePBIFUPZVif|Rk~013254g<^`nYM@M\WS]jiuYQamXJAN]XR032?SocZHGH_Z\Pos2345753_co^LCLSVP\kw6789;:>6XfdSCNGVQUWfx;<=>=139UmaTFEJY\^Ra}0123743<^`nYM@M\WS]lv5678Vcf|0:ZPPZTSDVZYC]K]TX58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf<1Sc1>16:Zl8586>2Rd0=0=6:Zl858412Rd0=0Pilr0?]us12RxxJC`ddna?Zgcl9:;<1>1b:]b`a67896:2o5Paef3456;:7h0Sljk0123868c3Vkoh=>?0=694;d?<5Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Rczx12342=Xg{:;<=?;;^mq4567W`g{SRa}012352=Xg{:;<?5nne2345Yhz9:;?129`jq1ohjd>0ebl|9:lB@jssDL::46`NDnwwH@6XadzTbLJ`uuNF44773gKOcxzCE1]lv5678;<0bLJ`uuNF4Ziu89:;Sdc_oCGkprKM9Ud~=>?00;8jDBh}}FN=<64n@FlqqJB9Vcf|R`NDnwwH@76;2dJ_:5aAR]EWG1hFg|~DI85aBDPQ=>hEM[XTJ^L6;o@FVWYNGKn0bOK]R^cm`5678;:0bOK]R^cm`5678Vcf|R`MESP\ekb789::==5aBDPQ[lkwWgHN^_?;;oABWf=iKHYTbbz?0132a>hDIZUecy>?00]jiuYiKHYTbbz?01322>hC\HI@m6`KT@AH[CUEi2dOXLMD_HMAa>hC\HI@Sl`k012367=iL]KHGRoad1234ZojxVdOXLMD_`lg45679?1eHd`CEe9m@lhKMVey<=>?219m@lhKMVey<=>?_hos[kBnfEOTc>?0135?kBnfFO:>6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUecy>?0007?kBh}}ENSca{0122[lkwWgNdyyAJ_omw45669l1eHb{{OD]lv5678;80bIaztNG\kw6789Uba}QaDnwwK@Yhz9:;<<:4nMFP<>hKLZUM_O64nMFP[LIEk2dGH^Qnne23457b3gFO_Road1234ZojxVdGH^Qnne23457d3gFO_Road12354c?013`?kJC[Vey<=>?_hos[kJC[Vey<=>?1c9mHAUXg{:;<?00g8jIQBWhdo<=>?_hos[kJPMVkeh=>?00g8jIQBW`g{ScBXE018jJCe3gENSl`k01235f=iGLUjbi>?01]jiuYiGLUjbi>?013`?kIBW`g{ScAJ189mKscudhs;=<5aOwgqhd7Whdo<=>?299mKscudhs;Sl`k0123[lkwWgE}ibny1]bja6789;27cAyesnb}41hUGD]NSl`k012367=iZFG\IRoad1234ZojxVdYC@YJ_`lg45679880b_ABWD]jiuYiZFG\I<:4nTFP<>hRLZUM_O64nTFP[LIEk2d^H^Qnne23457b3g_O_Road1234ZojxVd^H^Qnne23457d3g_O_R``t12354c?00a8jSKFWge<=>>1d9mRHGXff~;<=?Pilr\jSKFWge<=>>159mRUG?3g\[MRH\B99mRUGXAFHh7cX_A^cm`56788o0b[^N_`lg4567W`g{ScX_A^cm`56788o0b[^N_hos[kPWI890bZK8;oUF[CUEn2ddx=>?0^cm`5678;>0bbz?012\ekb789:Te`~Pnnv3456Xign;<=>>7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:?n:4@Az017762289?:;>m:0`450}i;=k1=6`<4c85?!53139??6s\948014<58<0:?9890c82f2713m;o=7>51;3xW<0=;<;1>=;5126525d=9k=:96xI2c:94?7=93:p_4853439653=9:>=:=l51c521>"49>0:m95+21497ccvF>a29'650=;on0qA=;7;3x 6`?2<1v(>>l:0f2?_>>2;q26;3:17d=72;29?j5cn3:17d=lc;29?j5d?3:17b=me;29?l5?j3:17b=mc;29?j5?n3:17d=l4;29?l5><3:17b=73;29?j5?03:17b=md;29?l5b83:17b=ld;29?j5e<3:17b=8b;29?j50n3:17d=n4;29?l?72900e4?50;9l7f3=831bh84?::m0=2<722e8m84?::m0`2<722e85l4?::m0=f<722c8i84?::m0e:l142<632e9>54?:%03e:l142<432e9>;4?:%03e:l142<232e9>94?:%03e:l142<032e9>?4?:%03e:l142<>32e9>=4?:%03e:l1425$32;>3`o1l3:1(?>7:7d8j7602;10e;m50;&14=<1n2d9<:4<;:k5e?6=,;:36;h4n324>1=h58>0>76g98;29 76?2?l0b?>8:798m31=83.9<549f:l142<032c=:7>5$32;>3`o1<3:1(?>7:7d8j7602h10e;=50;&14=<1n2d9<:4m;:k56?6=,;:36;h4n324>f=h58>0o76g88;29 76?2?l0b?>8:d98m21=83.9<549f:l1425$32;>3`4;h56>5<#:921:k5a215954=>1<7*=0985b>h58>0:>65f7283>!4703o0:3:1(?>7:7d8j76028>07d9>:18'65>=>o1e>=951498m3d=83.9<549f:l142<6>21i?:=50;3a>60=<6?ua3g;96f=#;o2196s+31a9=2=]000:wn4rZ3gf>4}e2tc3j7>5;n36a?6=3f8no7>5;n0e7?6=3`=i6=44i2a7>5<5<5<o413:1(?>7:2c8j7602810e>650;&14=<4i2d9<:4=;:k02?6=,;:36>o4n324>6=1<7*=0980e>h58>0?76g<3;29 76?2:k0b?>8:498m64=83.9<545$32;>6go5n3:1(?>7:2c8j7602010e?k50;&14=<4i2d9<:4n;:k1`?6=,;:36>o4n324>g=h58>0h76g=b;29 76?2:k0b?>8:e98m15=83.9<547>5$32;>6g5<6290;w)=?c;122>N4<=1d>=:50;9~f6d?290>6?49{M172?7|@8k87pB<4682!5a03;0q)=?c;3be>of83:17b5;h:b>5<k1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p=g<72;qU5o527;:b?xu013:1>vP89:?4e?7612wx=io50;0x92<>;27X58o1vb49je5<722e950z&04f08j95ri9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<50;9~w{t1j0;6?uQ9b9>=a<6901ve19~w4be2909w0=;5;323>;02090q~?kc;296~;02;:m7S4=68b4>{zj88=6=4>1;1956}#;9i1=?84o001>5<>o5j3:17dh4?::k1b?6=3`9;6=44i2394?=n;;0;66g<3;29?l532900e>850;9j7=<722c857>5;h1a>5<<4?::m2a5<722wi=?:50;;94?6|,::h68j4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?l7583:17d?=1;29?j7b83:17pl>2483>6<729q/?=m54e9K7125<?5213795`696=4={_61?875;3;:m6s|4283>7}Y<:16=?=510`8yv4e2909wSn4?:3y]6f=:9;91=5<5sW8o70?=3;32a>{t:l0;6?uQ2d9>575=98l0q~7}Y;;16=?:510`8yv542909wS=<;<310?76k2wx?94?:3y]71=:9;>1=5<5sW9=70?=4;32a>{t;10;6?uQ399>572=98l0q~=6:181[5>34;987?=0:p7g<72;qU?o52136957752z?266<6m916=?;510c8yv75<3:1>v3>2582a5=:9;?1=5<96=44i067>5<=6=44i06;>5<j6=44i06`>5<n6=44i073>5<5<>290;w)=?c;3f`>N4<=1b=50;9j577=831d=h>50;9~f42729086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj8>:6=4<:183!57k3;n?6F<459j54g=831b=e19~w7cd2909wS1`9~w4232909wS?;4:?27c<69j1v<:9:181[73>27:?k4>1e9~w42?2909wS?;8:?27c<69l1v<:n:181[73i27:?k4>219~w42d2909wS?;c:?27c<69o1v<:j:181[73m27:?k4>209~w4372909wS?:0:?205<69h1v>?j:181[56m27:8=4>1c9~w45a2909w0?;6<80:=l5rs063>5<5s4;?<7?j0:?204<69k1vqo=;2;29`?5=nr.8?50;9j765=831b?>;50;9j761=831b?>750;9j76d=831i?>h50;;94?6|,::h6o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>o6:90;66g>2083>>i6m90;66sm35294?3=83:p(>>l:0g:?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a717=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t;:o1<770={t;;=1<7{t;;h1<7{t;;l1<7{t;:91<770=;0;32e>{t;:=1<7{t;:h1<750;0x962728o;70=;1;32f>{zj8o96=4::183!57k3?<7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi>?m50;194?6|,::h69h4H267?l76i3:17d?>b;29?j7b83:17pl=2d83>1<729q/?=m5509K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a752=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5io0;6>4?:1y'75e=9l90D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9ii4?:483>5}#;9i19;5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a777=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd4::0;684?:1y'75e===1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=<7:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm33c94?3=83:p(>>l:458L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`06f<72<0;6=u+31a913=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:9;6=4::183!57k3?>7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi?><50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl<3583>0<729q/?=m5549K7125<5<N4<=1b=50;9~f65?290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e;:k1<7;50;2x 66d2:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th8?n4?:483>5}#;9i1995G3568m47f2900e5<2290;w)=?c;76?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a6cb=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5no0;684?:1y'75e==>1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=><:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm2`g94?3=83:p(>>l:4:8L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`206<72<0;6=u+31a912=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj8><6=4::183!57k3?=7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi=9750;794?6|,::h68;4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl>4c83>0<729q/?=m5579K7125<5<N4<=1b=50;9~f42a290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e9<;1<7;50;2x 66d2<>0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th9?=4?:283>5}#;9i18i5G3568m47f2900e5<3290;w)=?c;3f2>N4<=1b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm31:94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wi>k950;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<0`83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|`1ef<72:0;6=u+31a90`=O;=>0ea2908wS6i;<1a4c73ty9in4?:2y]6`e<58?96?kl;<0f`?7b82wx>k=50;0xZ7`4349;87?j0:p3g<72:qU;o523c`9=f=:;kk1=;6=;0:?h5rs2g7>5<4sW9n863<25825d=:9l5222195`6<5:8?6lo4=3ce>4c73ty:>?4?:3y]574<588=6<<=;|q207<72;q6=8<51508942428o;7p}>4583>7}:9<81=9:4=066>4c73ty:8;4?:3y>504=9=<01<:8:0g3?xu6<10;6?u2140951><58>264b83>7}:9<81=9m4=06g>4c73ty:8h4?:3y>504=9=o01<:i:0g3?xu6=90;6?u21409506<58?:6lh510`897gd28o;7p}>e083>6}:9l81=h>4=3ce>47f349im7?>b:p6c>=838p1?h6:0g3?857>3;:m6s|2gc94?4|5;li6km50;0x97`c28o;70=?6;32g>{t:oo1<74c7349;47?>a:p756=838p1>>>:0g3?85703;:n6s|31094?4|5::86k:50;0x97`228o;70=?a;32e>{t:o<1<74c7349;m7?>b:p7g>=838p1>l7:32e?85ej3k;7p}1}:;kh1>=h4=313>47e3488>7?>a:?1ef<69h1v?ok:18184fm3;n<63=ab825g=z{:8;6=4={<110?76k278><4>e19~w6452909w0==4;32`>;4::0:i=5rs30e>5<5s488?7?>a:?175<6m91v?=>:181844;3;:n63=3382a5=z{:9n6=4={<176?54m278?i4>1c9~w6d>2909w0=m8;;`?85ei3;n<6s|30d94?5|5:8?61`9~w6622909w0=?6;3f4>;5n<0:=o5rs224>5<5s49;47?j0:?1b2<69k1v>>6:181857<3;:n63<0`82a5=z{:8>6=4={<176?55=278>;4>e19~w74c2909w0<=e;3f4>;5;;0:=o5rs204>5<5s49?>7==7:?06=<6m91v><6:181853:399563<2`82a5=z{:8i6=4={<176?55j278>n4>e19~w64c2909w0=;2;11`>;4:l0:i=5rs20e>5<5s49?>7==f:?075<6m91v>=6:181853:398563<3`82a5=z{:;n6=4={<366?56m278?i4>e19~w65e2908w0=;2;10f>;4;j0:i=522g7954g53z?007<4;816?><51d2897`028;j7p}<3283>7}:;=81?>=4=217>4c73ty8?84?:3y>714=;:?01>=9:0g3?xu4;>0;6?u23509761<5:936?m51d28943a28;i70<<0;32e>{t;k0;6kuQ3c9>570=;k16=h<510a8974d28;i70<=e;32e>;5mm0:=o522`g954b<58>861e9>51?=98n01<:m:03g?873l3;:h63>4g825a=:9<;1=6g;5:l0:=o522df954b<5;kn61c9>511=98h01<:6:03b?873j3;:o63>4e825f=:9=l1=47d3-8m<7=n;o0fb?739370?j2;32f>;5:l0:=n522df954g<5:8:64>1c9>513=98k01<:8:03`?87313;:o63>4c825g=:9=n1=47e34;>=7?>b:&1b5<4i2d9ik4=;|q02?6=mrT8:63>27802>;6m;0:=l522df954e<5:8:64>1`9>513=98i01<:8:03b?87313;:n63>4c825d=:9=n1=47f34;>=7?>a:&1b5<4i2d9ik4<;|q00?6=;rT8863>27800>;4::0:=i5+2g297d=i:ll186s|3283>6}Y;:16=?85329>775=98i0(?h?:2c8j7ca2<1v><50;1xZ64<588=6><4=200>47e3-8m<7=n;o0fb?039:70==3;32e>"5n908m6`=eg84?xu483:1ivP<0:?263<48278>;4>1b9>77>=98h01>47c349887?>d:?073<69m16?>6510f8965f28;o70="5n908m6`=eg8;?xu5n3:1ivP=f:?263<5n278>;4>1c9>77>=98k01>47e349887?>a:?073<69j16?>6510a8965f28;h70="5n908m6`=eg8:?xu5m3:1ivP=e:?263<5m278>;4>1e9>77>=98n01>47d349887?>c:?073<69k16?>6510c8965f28;i70="5n908m6`=eg8b?xu5l3:1ivP=d:?263<5l278>;4>1`9>77>=98i01>47f349887?>b:?073<69h16?>6510`8965f28;j70="5n908m6`=eg8a?xu5k3:14vP=c:?263<5k279j44>1e9>6cd=98n01?hk:03g?84an3;:o63<00825f=:;991=6g|V;h01<<9:3`897`>28;h70;5nm0:=n522gd954d<5:::658z\77>;6:?0??63=f8825d=::oh1=47e348mj7?>a:?044<69m16?==510f8 7`72:k0b?ki:d9~w14=832pR9<4=005>14<5;l261`9>6c`=98n01>>>:03b?857;3;:o6*=f180e>h5mo0m7p}>dc83>7}:;=21>=:4=2`;>d6rF88;4>{I3b7>{K;==1>v`"4n10>7p*<0b816<=]0009w44i:|X1a`<5sh0n6pg7f;29?l4cm3:17bl;:188m6g42900e>k;:188m6ee2900e?2900c>l?:188k77a290/>=6520g8j7602910c??k:18'65>=:8o0b?>8:098k74?290/>=6520g8j7602;10c?<8:18'65>=:8o0b?>8:298k741290/>=6520g8j7602=10c?<::18'65>=:8o0b?>8:498k743290/>=6520g8j7602?10c?<<:18'65>=:8o0b?>8:698k745290/>=6520g8j7602110c?<>:18'65>=:8o0b?>8:898k747290/>=6520g8j7602h10c??l:18'65>=:8o0b?>8:c98m6>=83.9<54<7:l142<732c8:7>5$32;>61o4;3:1(?>7:258j7602:10e><50;&14=<4?2d9<:4;;:k05?6=,;:36>94n324>0=h58>0=76g=f;29 76?2:=0b?>8:698m7c=83.9<54<7:l1425$32;>61o5j3:1(?>7:258j7602k10e9=50;&14=<4?2d9<:4l;:k76?6=,;:36>94n324>a=h58>0n76g;0;29 76?2:=0b?>8:g98m6`=83.9<54<7:l142<6821b?h4?:%03;:k0`?6=,;:36>94n324>44<3`9h6=4+21:972=i:9=1=>54i2794?"58108;6`=06820>=e:k:1<7??:0824~J44?:029a?72sE9?:7?tH0c0?x"48j09n=5f18f94?=n90o1<75f18d94?=n9h:1<75f1`394?=n9h81<75f9d83>>i6n:0;66a>f483>>i6n>0;66a>f883>>i6nk0;66a>fe83>>i6no0;66a=0083>>i58;0;66lkc;297?7=;rF88;4>{I3b7>{#;9i1ho5fa183>>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|aa2<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zjo21<7=51;1xH62128qC=l=4}%13g?be3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;705<>o61m0;66g>9g83>>o6i;0;66akd;29?gc2290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66smdg83>6<729q/?=m54d9K7125<5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::aa6<72?0;6=u+31a91d=O;=>0e5<7s-9;o7?j9:J001=n98k1<75f10`94?=n98i1<75f10f94?=h9l:1<75rsg:94?2|Vo201h;510a89a`=98k01h=510c8yvc0290?wSk8;47e34o:647d3tyoo7>54z\gg>;b=3;:m63kf;32f>;b93;:n6s|18f94?4|V83o70k<:03f?xu61o0;6?uQ18d89`5=98n0q~?n2;296~X6i;16i>4>1c9~wab=838pRij4=d695`64c734o?6a4<6m916i94>1b9~w`4=838p1h=51d289`2=98n0qpli7;29=?>=99q/?=m5f69j`f<722c:5i4?::k2e4<722cn;7>5;h3:b?6=3`;2i7>5;h3b4?6=3`l36=44od:94?=en?0;694?:1y'75e==81C?9:4i03b>5<5<5<3290;w)=?c;71?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wiin4?:783>5}#;9i19l5G3568m47f2900e1`83>>o69k0;66a>e183>>{en90;6>4?:1y'75e=5<5<n7E=;4:k25d<722c:=o4?::m2a5<722wij94?:583>5}#;9i19?5G3568m47f2900e1`9>af<69m16j94>1c9~w4g6290?wS?n1:?e2?76j27nm7?>c:?e0?76k2wxi:4?:6y]a2=:mh0:=o52eb825g=:ml0:=l52f1825g=:n;0:=l52f5825d=z{83m6=4<{_3:b>;bi3;:m63jc;32e>{t90o1<7a183>7}Y9h:01hm510a8yv`?2908wSh7;47e34l;6{tm00;6?u2f782a5=:n<0:=l5rsdc94?4|5lk1=h>4=g7954d4c734l>6b5<6m916j84>1d9~wc7=838p1k<51d289c3=98l0q~h<:1818`328o;70h::003?x{e99>1<7656;fx 66d28:?7d?6d;29?l7>n3:17dh7:188m4g62900eh950;9j`f<722c:m?4?::me=?6=3k;;?7>55;294~"48j0>96F<459j54g=831b=b;29?l76k3:17d?>d;29?j7b83:17plid;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`244<72<0;6=u+31a913=O;=>0e50z&04f<6mh1C?9:4i03b>5<5<5<47d3ty:5k4?:2y]5<`<58:8647e3ty:m<4?:2y]5d7<58:8647c3tyn;7>53z\f3>;aj3;:n63id;32f>{tlj0;6>uQdb9>bg<69j16jk4>1c9~w4g52909wS?n2:?244<69h1vk750;0xZc?<58:964>e19>554=98k0q~hm:1818`e28o;70??2;32f>{tnj0;6?u2fe82a5=:9981=5<5s4lm650;0x946628o;70??2;32a>{zj8l?6=4;:183!57k3?87E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a5c0=83>1<7>t$22`>06<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl>f983>1<729q/?=m5539K7125<5<54;294~"48j0>>6F<459j54g=831b=50;9~f4`d290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm1gg94?2=83:p(>>l:408L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3th9<=4?:583>5}#;9i19<5G3568m47f2900e50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=z{mi1<7mt=ea965`<5l<1hn52f68gg>;68=0oo63>f5825f=:9o<1=47f34;mm7?>a:?2bf<69h16=kk510a8976728;i70{tm>0;6nu2e6814c=:m?0n;63i7;g4?877<3o<70?i4;32f>;6n?0:=o521g:954d<58lj61c9>656=98k01?><:03a?xua03:1nv3i8;03b>;b>3l370h8:g:894632o2013;:m63>f9825f=:9ok1=47d34;mi7?>a:?145<69j1v<7k:187[7>l27n:7?6d:?e3?7>l27:<94>9e9~w4?b2909wS?6e:?e3?7>m2wx=4h50;6xZ4?a34o=6<7i;4?a34;;87?6f:p5d6=838pR4g73ty:m<4?:2y]5d7<5o=1=l?4=027>4g63ty:m?4?:2y]5d4<5l<1=l<4=027>4g53ty2i7>54z\:a>;ck33i70k8:8`89c>=1k1ve19~w4`22909wS?i5:?2b3<6m91ve19~w4`>2909wS?i9:?2bd<6m91ve19~w4`c2909wS?id:?2b`<6m91ve19~w7652909wS52z?e;69:0:j>5rs0d6>5<5sW;m963>1282b0=z{8l<6=4={_3e3>;69:0:j:5rs0d:>5<5sW;m563>1282b<=z{8li6=4={_3ef>;69:0:jo5rs0dg>5<5sW;mh63>1282ba=z{8lm6=4={_3eb>;69:0:jk5rs322>5<5sW8;=63>128144=z{;:96=4={_036>;69:095<5sW;2h63>1282=a=z{83n6=4={_3:a>;69:0:5h5rs0;e>5<5sW;2j63>1282=c=z{8k;6=4={_3b4>;69:0:m=5rs0c2>5<5sW;j=63>1282e4=z{8k96=4={_3b6>;69:0:m?5r}c3fa?6==3819vB<4782M7f;2wG?995az&0b=<13-9m:7=84:&0a`k2d8i44>;%1e6?4a92d8il4?;|&04f<6k91b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;48 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3`3>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712=64<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e?m0;6>4>:2yO710=9rB:m>5rL264>4}#;o21:6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi>9750;195?5|D:>=6h7:79~ 66d28i27do?:188k76a2900e4l50;9a3?6=;3:1N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1vc`9je5<722e9:;;M172?7|,88<6>h;;|k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e::?1<7=51;1xH62128qC=l=4}M173?7|,:l36;5r$22`>4ee3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;7{I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a7=`=8391>7:tL265>4}O9h90qA=;7;3x 6`?2?1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6o?50;9j60e=831b>8>50;9j604=831b>o<50;9j61e=831b>8:50;9a60g=83?1<7>t$22`>01<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5=10;694?:1y'75e==;1C?9:4i03b>5<5<0e3:1>vP=579>60?=9l:0q~8o510f8yv42k3:1>vP=5b9>60g=98k0q~<:0;296~X5=916>8o510`8yv42:3:1>vP=539>60g=98i0q~86510a8yv43k3:1>vP=4b9>60>=98h0q~<:4;296~X5==16>86510c8yv42?3:1>v3=5`82a5=::<31=e19>60?=98h0qpl=6683><<22mq/?=m52758k73a2900e?l>:188m73d2900e?;?:188m7d42900e?l;:188m7d02900e?l=:188m72d2900n?89:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm27394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a634=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5>=0;6>4?:1y'75e=5<5<56;294~"48j0:il5G3568m47f2900evP=5g9>633=9l:0q~;<510c8yv42k3:1?vP=5b9>630=98k01?8>:03b?xu5=90;6?uQ2428970128;i7p}=b283>7}Y:k901?8::03a?xu5j=0;6?uQ2c68970228;h7p}=b683>7}Y:k=01?8::03g?xu5j;0;6?uQ2c08970328;j7p}=4b83>7}Y:=i01?8;:03a?xu5>90;6?u227495`6<5;<:6;?51d28970528;i7p}>dc83>7}::?81=h>4=346>47f3ty9:>4?:3y>632=9l:01?8::03f?x{e:=:1<7m57;31!57k38?<6a=3783>>o5j80;66g=5b83>>o5=90;66g=5383>>o5j:0;66g=b583>>o5j<0;66g=b783>>o5j;0;66g=4b83>>o5==0;66l=3g83>1<729q/?=m5539K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a66e=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e::n1<7=50;2x 66d2=n0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9?h4?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p}=3783>7}Y::<01?=j:0g3?xu5j80;6?uQ2c38975>28;j7p}=5b83>6}Y:{t:<81<7{t:k>1<770<{t:k<1<7{t:=i1<7=t^36`?844j3;:m63=3b825d=z{;??6=4={_060>;5;k0:=o5rs314>5<5s488j7?j0:?17=<69k1v1`9~w75f2909w0<;5;j0:=o5rs0f`>5<5s488o7?j0:?17a<69k1v54;192~"48j09:i5`20a94?=n:>o5j3:17o<9c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a63d=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:8i1<7;5>h0:=l5rs2794?4|V:?01?8l:03a?xu5j3:1>vP=b:?12d<69k1v?87:181841k3;n<63=6c825d=z{;<26=4={<05e?7b8279:o4>1c9~yg40;3:187=56z&04f<5?:1d>?>50;9j60c=831b?n4?::k1g?6=3k8<>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm26394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p676=838pR?8k50;1xZ73b348<>7?>a:?135<69h1v>m50;0xZ6e<5;=961`9~w70a2909w0<80;3f4>;5?80:=o5r}c04=?6=<391:v*<0b813<=h:;;1<75f24g94?=n;m0;66g=d;29?g4003:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;==6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>:950;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23394?4|V;8:70<87;3f4>{t:1c9~w7132909w0<88;3f4>;5?>0:=l5rs356>5<5s48<:7?j0:?132<69k1vqo<8f;290?5=>r.88k50;9j7`<722c9i7>5;c04a?6=;3:1N4<=1b=50;9~f71d29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:>n1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?<50;0xZ7453481`9~w6c=838pR>k4=35f>47e3ty9i7>52z\1a>;5?j0:=o5rs35b>5<5s4854;192~"48j09485`23194?=n:>o5n3:17o<74;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6=5=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;91<7;50;0:=l5rs2d94?4|V:l01?6;:03a?xu5n3:1>vP=f:?1<7<69k1v?6?:18184?<3;n<63=82825d=z{;2:6=4={<0;6?7b82794>4>1c9~yg4?j3:187=56z&04f<50k1d>?:50;9j60c=831b8=4?::k04?6=3k83m7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm29;94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p672=838pR?<;;<0;=?7b82wx>8k50;1xZ73b3483m7?>a:?1<=<69h1v9>50;0xZ16<5;2j61`9~w7>02909w0<78;3f4>;5000:=o5r}c0:5?6=<391:v*<0b81=4=h:;?1<75f24g94?=n<80;66g<1;29?g4>83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;2n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>5h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23794?4|V;8>70<7f;3f4>{t:83;:m63=8d825d=z{=;1<71c9~w7>d2909w0<60;3f4>;50o0:=l5rs3:g>5<5s483i7?j0:?1r.88k50;9j07<722c8>7>5;c0:2?6=;3:1N4<=1b=50;9~f7?329086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:0?1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?850;0xZ741348297?j0:p60c=839pR?;j;<0:2?76i279594>1`9~w14=838pR9<4=3;5>47e3ty8>7>52z\06>;51=0:=o5rs3;1>5<5s482:7?j0:?1=0<69h1v?7<:18184><3;n<63=94825g=zuk82h7>54;192~"48j095i5`23594?=n:>o4;3:17o<6c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;=1<7j3;n<6s|24g94?5|V;?n70<6c;32e>;51h0:=l5rs5194?4|V=901?7l:03a?xu4;3:1>vP<3:?1=d<69k1v?77:18184>k3;n<63=9c825d=z{;326=4={<0:e?7b82795o4>1c9~yg4f;3:187=56z&04f<5i:1d>?650;9j60c=831b?;4?::k00?6=3k8j>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm2`394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p67>=838pR?<7;<0b5?7b82wx>8k50;1xZ73b348j>7?>a:?1e5<69h1v>850;0xZ60<5;k961`9~w7?a2909w0;5i80:=o5r}c0`0?6=;3:10e7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0ee29K7125<N4<=1b=50;9~f72029086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj:i26=4<:183!57k3>m7E=;4:k25d<722c:=o4?::m2a5<722wi?i:50;794?6|,::h6864H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl0<729q/?=m5599K7125<5<N4<=1b=50;9~f6e7290?6=4?{%13g?353A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3b194?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a615=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5<<0;694?:1y'75e==91C?9:4i03b>5<5<N4<=1b=50;9~f72c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:=l1<7:50;2x 66d2<;0D>:;;h32e?6=3`;:n7>5;h32g?6=3f;n<7>5;|`11a<72=0;6=u+31a95`0<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl=5083>1<729q/?=m5509K7125<5<?7>54;294~"48j0><6F<459j54g=831b=50;9~f73229086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e;0;1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p;5=l02n63=348:f>;5lk02n63<8g8:f>{t:mo1<76t^3ff?84e83;j=63=42825g=::=?1=47e348?j7?>b:?116<69j16>8;510`8yv5c:3:1>vP7a3=9l:0q~=71;292~X40816?n7510c896b328;j70=m2;32e>;4j?0:=l523b2954d52z\0g2=:;jk1=h>4}r1aa?6=:rT8nh523b395`652z\0=h4}r0gg?6=;rT9hn522c295i6k?4=362>7`634=o6l>4=36a>47d348?h7?>a:?10c<69j16>8?510a8973428;j70<:5;32e>{t;k>1<7{t;l>1<7;t^2g7?85d13;:n6347e3ty8oo4?:3y]7fd<5:n?6?o4=3`3>4?a348h87?>a:?2b5<69k16?n>510a8972428;o70<;b;32e>;54c73ty9ml4?:3y]6dg<5:i=6o74=3a7>4c73ty8n=4?:3y]7g6<5:h86a19>3a<58o16>9l510`8yv44<3:18v3=4982a5=:;m>1=47c349h<7?>a:p614=838p1?:8:03b?843;3;n<6s|25694?4|5;><6il50;1xZ7ee348on7l::18185e?3;:563e19~w7522909w0<<5;03b>;5<10:=l5rs362>5<5s48?=7:18584e83;m?63=5c81f4=::?=1>o?4=363>7d634;m<7?>a:?106<69h1v?l=:18684e83;m963=5c81f7=::?=1>o<4=363>7d5348?97?>a:p6g5=839p1?l?:0d4?841?38i?63=4181f6=z{;>j6=4={<07f?7b82799i4>1`9~w7d32908w0;5>>09n95225296g255z?11g<5;9525a897272;>h70<;d;3f4>;5=m0:=o5rs3`6>5<5s48i<7?ib:?105<5j<1v?:j:181843n3;n<63=5e825f=z{;h=6=4={<0a4?7al2798=4=b79~w737290?w0<:b;064>;5>>099=522529606<5;?:6o>51gd897002;h<7p}=5383>6}::

8<4=363>735348>?7?j0:p5`c=83?p1?l?:0c1?87bm38;j63=42825f=::=?1=47f3ty9994?:2y>60d=:<>01?:?:377?842=3;n<6s|37;94?4|5;h;6?>=;<0gf?g73ty8n<4?:3y>7g5=98301>l=:0g3?xu4jo0;6?u23b295`6<5:i869?59b9>611=9l:0q~=60;296~;40o0j<63<9082a5=z{;?n6=4l{<06a?47n279:i4=5d9>625=:i63=84811`=::1h1>8k4=3;2>73b3482;7<:e:?1=a<5=l16>l=524g896?628;27p}=5g83>7}::52z?2a`<>k27:j=4>e19~w73d290?w0<:b;06g>;5>>099n52252960e<5;?o67}:::?1m=52252966052z?10<<58o16>n:510`8yv42>3:1>v3=488b4>;5=k099;5rs2a;>5<5s49hm7?>9:?0g<<6m91v?<7:181[450279m>4=299'6c6=:8o0b?ki:39~w7402909wS<=7:?1=a<5:>1/>k>520g8j7ca2:1v?<9:181[45>2795:4=279'6c6=:8o0b?ki:59~w7422909wS<=5:?1=4<5:<1/>k>520g8j7ca2<1v?<;:181[45<2794o4=259'6c6=:8o0b?ki:79~w7442909wS<=3:?1<0<5::1/>k>520g8j7ca2>1v?<=:181[45:279;k4=239'6c6=:8o0b?ki:99~w7462909wS<=1:?13<<5:81/>k>520g8j7ca201v?4=219'6c6=:8o0b?ki:`9~w77d2909wS<>c:?12a<59j1/>k>520g8j7ca2k1v>650;0xZ6>5<4sW9?7S<>f:?1e6<4<2.9j=4<7:l1ac<53ty8?7>52z\07>;51m08?6*=f1803>h5mo087p}<2;296~X4:2795:4<2:&1b5<4?2d9ik4;;|q05?6=:rT8=63=90805>"5n908;6`=eg86?xu483:1>vP<0:?152z\1b>;50<09j6*=f1803>h5mo0<7p}=e;296~X5m279;k4=e:&1b5<4?2d9ik47;|q1`?6=:rT9h63=7881`>"5n908;6`=eg8:?xu5k3:1>vP=c:?136<5k2.9j=4<7:l1ac52z\1f>;5>m09n6*=f1803>h5mo0i7p};3;296~X3;2795i4;3:&1b5<4?2d9ik4l;|q76?6=:rT?>63=96876>"5n908;6`=eg8g?xu393:1>vP;1:?1=4<392.9j=4<7:l1ac52z\74>;50k0?<6*=f1803>h5mo0m7p}0:p7`<72;qU?h5226d97`=#:o:1?:5a2dd954=z{:n1<72:n0(?h?:258j7ca2880q~=l:181[5d348"5n908;6`=eg820>{zj:=j6=4>3;04>63|D:>=6h6:5:8 6`?2<1v(>>l:01g?l>a2900e<=::188m43b2900e<<6:188m6>62900c:k50;9j3g<722e::=4?::k0e6<722c:?h4?::m22a<722c8i94?::k0gg<722e:9>4?::m1f<<722e85l4?::m2=4<722e?87>5;n6`>5<3;pD5;n3e7?6=3f;m97>5;n3e3?6=3f;m57>5;n3ef?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3b4?6=3`;j=7>5;c327?6=j331jvB<4782M7f;2w/?=m521;8m4?c2900e<7j:188m4?a2900e6}K;=<1=vF>a29~ 66d2mh0el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srbd594?5=939p@>:9:0yK5d55<50z&04f08j95ri9c94?=ni90;66a=0g83>>{t1k0;6?uQ9c9>3?>f3ty:hl4?:3y>3?47n2T95<5sWk;7095a19~ygc1290?6?4:{%13g?c13`nh6=44i0c2>5<>db83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjml1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wxhn4?:3y]`f=:m90:=l5rs0c2>5<5sW;j=63j0;32f>{tm>0;6?uQe69>`c<69k1vij50;0xZab<5ml1=h>4}rff>5<5s4o;647f3twij:4?:985>a}#;9i1j:5f18f94?=nlj0;66gj7;29?l7f93:17d?6e;29?l7>n3:17d?n0;29?jc?2900nk=50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17plja;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`fa?6=<3:1N4<=1b=50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pli2;292?6=8r.8e`9K7125<5<5<52z\2=a=:n:0:=o5rsea94?3|Vmi01k=510c89`g=98k01hk510`89c6=98h0q~k8:187[c034oj647e34on6;a83;:h6s|1`294?4|V8k;70h?:03b?xub03:1>vPj8:?e6?7b82wxi44?:3y>b6<6m916j?4>1`9~w`g=838p1ho51d289c4=98h0q~km:1818cd28o;70h=:03`?xubl3:1>v3je;3f4>;a:3;:h6s|eg83>7}:n90:i=52f3825`=zuk;m87>53;294~"48j0?i6F<459j54g=831b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm1g:94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`2bd<72:0;6=u+31a90c=O;=>0e50z&04f<6m:1C?9:4i03b>5<5<76a34o=6im4=g59`f=:9o>1=47e34;m47?>b:?2bd<69k16=km510`8yvc02903w0k8:32e?8c12l=01k95e69>5c2=98h01f`825d=:9oi1=;a?3;2i6s|18d94?4|V83m70h8:0;e?xu6i90;6?uQ1`289c1=9h:0q~?n1;297~X6i816i;4>a09>b2<6i81v4k50;1xZ{t9o91<770?i6;3f4>{t9o=1<7{t9oh1<77}:lj0j<63j6;fg?xub03:1>v3j7;c3?8`02l20qp}6b;296~X>j27:=>46e:p5c5=838pR34;:?7?i9:p5cd=838pRm2wx=4h50;0xZ4?a34;:?7?6f:p5d6=838pR0q)=?c;3bg>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi=nk50;696?2|D:>=6h7:59'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4=:183!57k3;946F<459j54?=831d=h>50;9~w{t:9l1<7v38:`28963b28o;7psm9983>1<62:qG?9851zJ2e6=zD:><65u+3g:90>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>4ga3`3i6=44i`294?=h:9l1<75f2g394?=e?3:1?7?53zN003<6sA;j?6s+31a95d?5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twx5o4?:3y]=g=:?33i7p}=0g83>7}Y:9l01:4=0g9~w7`62909wSd655;091~J4=92.8ii46c:l0a<<63-9m?7=?b:l0ag<63t.8b19j=g<722c2o7>5;hc3>5<5<0;684=:4yO710=9rB:m>5r$22`>4g>3`k;6=44o32e>5<>o>;3:17o950;794?6|,::h6io4H267?l>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;j510`8yv47n3:1>vP=0g9>3?47n2wx?=l50;1xZ66e34=1m=5237f954g52z?4>7;tL265>4}O9h90qA=;7;3x 6`?2=1v(>>l:0cg?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f4??290>6?4:{M172?7|@8k87pB<4682!5a03>0q)=?c;3b`>of83:17b>{e;=?1<7?50;2x 66d28;37E=;4:m252<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3ge?6=:r78884>169>3??43ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg7183:1?7?53zN003<6sA;j?6sC35595~"4n10?7p*<0b82g==ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k654:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm14194?3=:3?p@>:9:0yK5d51=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb0;2>5<22;0>wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1vk2d8i44>;%1e6?4a92d8il4?;|&04f<6j81b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>6<0sE9?:7?tH0c0?xJ4<>0jw)=i8;68 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?8k50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<6c83>6<729q/?=m51d68L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yv?d2909wS7l;<15f?76j2wxm=4?:3y]e5=:;{t:o;1<7=t^3d2?852m3;:m63<6c825d=z{:?o6=4={<59e5=:;4}r15e?6=:r7<64m4=24a>4c73twi;h4?:480>2}K;=<1=vF>a29~H6202hq/?k654:&0b3<4?=1/?hk5a19'7c6=:9l0(>kl:8`8j6c?281/?hj59b9m7`?=92.8j?4=f09m7`g=82w/?=m51c08m5;h0e5?6=3k=1<7;52;7xH62128qC=l=4}%13g?7f12cj<7>5;n03b?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9;o7jn;I170>o?m3:17d7<:188m=g=831bm=4?::m14c<722wi?9;50;394?6|,::h6i69>0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7ci3:1>v3<448252=:?3387p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9>i7>53;294~"48j0?h6F<459j54g=831b=8m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d70c=98k01>8m:03b?xu4=m0;6?u27;c3?852m3;n<6s|37c94?4|5>02o63<6c82a5=zuk;=n7>57;79f~"48j0::o5`17194?=n:9n1<75f4b83>>o6>80;66g=0`83>>o58k0;66g>3583>>d6>h0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a53?=83>1<7>t$22`>4c13A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66s|17194?4|V8<870?99;3f4>{t:9n1<73;:m6s|4b83>6}Y6083>7}Y9?;01<8n:03a?xu58h0;6?uQ21c8940>28;i7p}=0c83>7}Y:9h01<87:03a?xu6;=0;6?uQ1268940?28;j7p}>6583>7}:9?k1=h>4=046>47e3ty:hl4?:3y>533=9l:01<89:03a?xu6lk0;6?u217495`6<58<2628;h7psm16794?2=;3>l:056?j7083:17d:l:188m41d2900e>k;:188f41329086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e9>81<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th:;>4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}>7183>7}Y9>:01<9<:0g3?xu3k3:1?vP;c:?231<69h16=:<510c8yv70k3:1>vP>7b9>522=98h0q~=j4;296~X4m=16=:<510`8yv7093:1>v3>7582a5=:9>91=e19>525=98h0qpl>5883>1<42?q/?=m514;8k4332900e9m50;9j50g=831b?l=50;9a50>=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd6=?0;6>4?:1y'75e=5<5<;7>53;294~"48j0:i>5G3568m47f2900e1`9~w43f2909wS?:a:?21=<69k1v>o<:181[5f;27:9;4>1c9~w4322909w0?:8;3f4>;6=>0:=l5rs075>5<5s4;>:7?j0:?212<69k1vqo?67;290?5=>r.8969l5<4=831b8n4?::k2==<722c8oo4?::`2=3<72:0;6=u+31a90a=O;=>0e<3:1?7>50z&04f<3n2B8895f10c94?=n98h1<75`1d294?=zj83>6=4<:183!57k3;n?6F<459j54g=831b=:27:584>e19~w1e=839pR9m4=0;5>47f34;287?>a:p5<>=838pR<77;<3:2?76j2wx?nl50;0xZ6ee34;287?>b:p5<5=838p1<79:0g3?87>=3;:m6s|18694?4|583?65<7s-9;o7?j7:J001=n98k1<75f10`94?=n98i1<75`1d294?=zj:3i6=4;:183!57k3?:7E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a52c=83<1<7>t$22`>0?<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7b83:17pl6a;297?6=8r.85<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a5=5=83?1<7>t$22`>00<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5k:0;6>4?:1y'75e=5<5<54;294~"48j0:i;5G3568m47f2900e50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb05:>5<3290;w)=?c;3f<>N4<=1b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm16`94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a5c4=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e91=1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi=i>50;094?6|,::h6<<7;I170>o6900;66a>e183>>{e9t$22`>44?3A9?86g>1883>>i6m90;66sm16594?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wi=5;50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t0o0;620h01<=;:8`8941d20h01=1k168n46b:?21d<>j27:5546b:?225<>j27::i46b:?70??e34;>?77m;<3:5??e34;ni77m;<3;5??e34=n64l4}r301?6=;rT:?8527d8b4>;60<0:=45rs07f>5<5sW;>i63=c2825g=z{8826=4={_31=>;6;=0j<6s|39394?4|V:2:70=6b;32e>{t?l0;6?uQ7d9>3`<58o1v:l50;:xZ2d<5;:26<7j;<3fa?4a927:4<4=f09>3`<5n816=:k510a8940528;h70?89;32e>{t9?:1<7;6=j0:=45rs01f>51=47e34;e:?2b5<69h16=;<510`8941>28;i7p}>6e83>7}Y9?n01<8k:32e?xu4m=0;69uQ3d68941d2h:01<9::2g7?85>j3;:o6s|3b`94?5|V:ii70?67;1`f>;61h0:=45rs070>5<5sW;>?63>52814c=z{;h26=4={_0a=>;5k=0:i=5rs2;b>5<5sW92m63<9c82a5=z{83:6=4={_3:5>;61809019:521d8yv2d290hwS:l;<03=?7>l27?o71c9>5=5=98h01?m<:03b?871n3;:m6s|19694?4|582:6l>4=0:6>4c73ty:5?4?:3y>5<7=i916=4951808yv70l3:1?v3>7b8:g>;6?l0:i=521e2954?52z?22c<6m916=:l510c8yv74<3:18v3=0882e4=:9:>1>=h4=04a>45334;3?7?>a:p537=838p1<8m:042?871:3;n<6s|9883>7}:1109j<529`82a5=z{8on6=49{<03=?7f827:ih4=0g9>52c=98h01<6<:03`?871:3;:m63>78825f=z{021<75<5s4;hi7:18187a83;:n63>f382a5=z{;:n6=4={<03=?7aj27:;o4>1c9~w4>52908w0?71;;`?81b20i01<6<:0g3?xu6=k0;6?u214c9e5=:94}r3;2?6=:r7:4>4>1e9>5=1=9l:0q~?86;296~;6>m02o63>7682a5=z{;:j6=48{<03=?7a;27?87o?;<367??d34;2=77l;<35f?47i272m7?>a:?2<5<69h1v<9i:181870m3;:h63>8182a5=z{;:i6=4<{<03=?7a=27::o4=0c9>5=6=98h0q~=751g;8940e2;:o70?i2;32e>;60>0:=l5rs05`>5<5s4;{t9jo1<7:t=0af>76a34>h6>>m;<36e??d34;2477l;|q21d<72;q6=8o521d8943>28?j7p}>5583>7}:9<91m=5214;950252z?22a719~w4042909w0?90;c3?871j3;=?6s|16:94?4|58=26n<50;1x97e328;h70;6n;0:=o5rs05b>5<5s4;03k;70?6a;3f4>{t9021<776a34;2;7?68:p5=7=838p1<6>:32e?84d<3;:n6srb25:>5<6=39n68:tL265>4}O9h90qA=;7;0xj6`>2<20(>h7:49~ 66d289h7W6=44i2ff>5<5<5<k3:17b:;:188k6b12900n>:::182>5<7s-9;o7?>8:J001=h98=1<75rb0:;>5<683;1==uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51048m=<50;9j550;9j5d7=831b=l<50;9a545=83;;6i4>4zN003<6sA;j?6s+31a95=>5<5<5<4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1v5<42808wA=;6;3xL4g43t.85;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd68<0;6>4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<79:180>4<4sE9?:7?tH0c0?x"48j0on6gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|`242<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj8:36=4<:080I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712=652z\b4>;02h:0qpl>0c83>6<62:qG?9851zJ2e6=z,::h6il4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m;6sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~fc1=83=197lt$22`>c15<5<5<1<729q/?=m5519K7125<5<0e5<7s-9;o7;?;I170>o69h0;66g>1c83>>o69j0;66a>e183>>{en90;684?:1y'75e=9l30D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3ty:ji4?:4y]5cb<5o;1=55z\2=`=:n80:=o52e`825g=:mj0:=o52ed825g=z{8k:6=4={_3b5>;a93;:o6s|1`094?4|V8k970kn:03`?xu61o0;6?uQ18d89`e=98i0q~?n0;296~X6i916ih4>1b9~w`>=838pRh64=g295`64c734l;6af<6m916j=4>1b9~w`b=838p1hk51d289c6=98n0qpl>0583>=<42hq/?=m51168m4`c2900e<7i:188m4g72900e:188m4g52900e<7j:188m4`e2900ck750;9ab`<72?0;6=u+31a91g=O;=>0e5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66smfe83>1<729q/?=m51d48L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3ty:ji4?:2y]5cb<5oo1=52z\2=c=:nl0:=o5rs0c3>5<5sW;j<63ie;32e>{t9h;1<7a383>7}Y9h801kk510f8yv7>m3:1>vP>9d9>bg<69h1vc:pb<<72;qUj452fe82a5=z{ok1<75<5s4li647e3twi=;h3e7?6=3`;2i7>5;h035?6=3`;2h7>5;n33g?6=3k;:<7>53;294~"48j0?h6F<459j54g=831b=j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm11d94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wx=k=50;1xZ4`434;:<7?>a:?24`<69k1v<7j:181[7>m27:==4>1c9~w7662909wSl27:

1`9~w46d2909wS??c:?24c<6m91v<>k:18187683;n<63>0g825d=z{8:n6=4={<33a?7b827:1b9~yg77i3:187>50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb320>5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v<7k:180[7>l27:=<4>9e9>55g=98h0q~?6e;291~X61l16j:4>9d9>552=90o01:0;f?877i3;:m6s|18d94?5|V83m70h8:0;e?877<3;2j6s|1`294?5|V8k;70h8:0c3?877<3;j<6s|1`394?5|V8k:70h8:0c2?877<3;j=6s|1`094?5|V8k970h8:0c1?877<3;j>6s|9d83><}Y1l16hn46b:?f3??e34l364l4=026>54z\2b6=:99h1>=h4=032>4`434;;m7?>c:p5c3=839pRfc9~w4`c290>wS?id:?e==510;8yv7an3:1?vP>fg9>`f>;76a34;:=7=;<037?7b82wxi54?:3y>a23ty:<44?:3y>55>=i916==o51d28yv77k3:1>v3>0c8b4>;6980:5<5sW3i70?>3;;f?xu6n:0;6?uQ1g18947428l87p}>f483>7}Y9o?010;6?uQ1g58947428l<7p}>f883>7}Y9o301fe83>7}Y9on017}Y:9;019e83>7}Y90n019g83>7}Y90l01a083>7}Y9h;01p@>:9:0yK5d56=z,::h65<50z&04f08j95ri9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d653;397~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rs8`94?4|V0h01:47a:p5ag=838p1:4=0g9]65`52z\b4>;02h:0qpl<1c83>6<62:qG?9851zJ2e6=zD:><6{#;9i1=n64i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a<3<72:0:6>uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51b:8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e:h=1<7=51;1xH62128qC=l=4}M173?7|,:l36>5r$22`>4e?3`k;6=44o32e>5<j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6h7:29~ 66d28ki7do?:188k76a2900e4l50;9a3?6=;3:1N4<=1b4l4?::kb4?6=3f8;j7>5;|`:b?6=:3:10e4c73ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg56i3:1?7<54zN003<6sA;j?6sC35595~"4n1087p*<0b82eg=ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831vn4h50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t1k0;6?uQ9c9>=c<6901ve19~w4be2909w09521d8Z76a3ty:hn4?:3y]e5=:?3k;7psm8483>6<52=qG?9851zJ2e6=zD:><6{#;9i1=ll4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;k=6=4<:387I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712>i58o0;66sm9g83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3ty2n7>52z\:f>;>n3;:56s|1ec94?4|5>03m636f;3f4>{t9mh1<74}|`g2?6=;3818vB<4782M7f;2wG?9951z&0b=<43t.8ac9je5<722e9:;;h:b>5<5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twih:4?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmbg83>=<52mqG?9851zJ2e6=zD:><65u+3g:97>"4n?08;?5+36797cg<,:l;6?>i;%1fg??e3g9n47?4$2d1>7`63g9nm7?4}%13g?7f?2P357?t1`8~^7cb2;q:o7?j:|k1b7<722c2n7>5;h0e5?6=3f8:97>5$32;>7733g8;;7>4;n027?6=,;:36??;;o033?7<3f8:>7>5$32;>7733g8;;7<4;n025?6=,;:36??;;o033?5<3f8:<7>5$32;>7733g8;;7:4;c594?b=>3op@>:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9m>7b59Y<<<5s8k1=54rZ3gf>7}6k3;>6pg=f383>>o>j3:17d7:337?k47?3:07b<>3;29 76?2;;?7c7:337?k47?3807b<>1;29 76?2;;?7c7:337?k47?3>07do9:18'65>=i<1e>=950:9je1<72-8;47o:;o033?7<3`k86=4+21:9e0=i:9=1>65fa383>!4703k>7c=65a49m651=<21i;7>55;193~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09'7c5=;9h0b>km:09~ 66d28h=7d7m:188md6=831d>=h50;9j75d=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8;=4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}rc3>5<5sWk;70=80;32f>{t:9l1<7510c8yv4a93:1>vP=f09>70c=98k0q~=9f;296~;4=l0:=o5236295`6h7>52z?4>d6<5:?n6kl:8`8j6c?281/?k<52g38j6cf281v(>>l:0cf?l?e2900el>50;9l65`=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~o?:181[g7349>i7?>b:p65`=838pR?>i;<5965`52z\1b4=:;4=27f>4c73twih7>54;091~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09~ 66d28kn7d7m:188md6=831d>=h50;9j6c7=831i;7>53;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm34g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yvg72909wSo?;<16a?76j2wx>=h50;0xZ76a34=1>=h4}r0e5?6=:rT9j<5234g954gh7>52z?4>d6<5:?n6:8:6y'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf82789h4>1c9~w76a2909wS76a3ty9j<4?:3y]6c7<5:?n6=6h9:257?!5bm3k;7)=i0;03b>"4mj02n6`>of83:17b=60e5o50;9je5<722e9X58o1vN4<=1b=50;9~w{ti90;6?uQa19>70c=98h0q~;<16a?76i2wx?8j50;0x92e19~yg46j3:1?7>50z&04f<6m:1C?9:4i03b>5<5<7>53z\1b7=:?39;n63=1c825g=z{0h1<78t^8`892<>j27364l4=e8:f>;6:33i70?<:8`8yv4a93:1?vP=f09>3?4a9279=o4>1`9~w77f290>w0652g389a<5n816=?4=f09>56<5n816>vP=149>56<58o1/>k>52068j7ca291v??<:181[46;27:>7hh51:p644=838pR??=;52z\154=:038;j6*=f18151=i:ll1?6s|20294?4|V;;;709521d8 7`72;;?7cd6<,;l;6l;4n3ge>5=z{h>1<7k>5a49m6``=92wxm>4?:3y]e6=:l3k;7)7}Yi816;7o?;%0e4?g23g8nj7:4}|`2`7<72h036iuC35495~N6i:1v@>:8:4y'7c0=;?o0(>ki:c18 6`d211/?k?52d28 6`e2>1v(>>l:0c6?_>>2;q:n7?6:|X1a`<5s8n1=;4ro3g5>5<#:921>h;4n324>5=5<#:921>h;4n324>7=54o3g2>5<#:921>h;4n324>1=h58>0;76gm7;29 76?2k20b?>8:098mg0=83.9<54m8:l142<532ci97>5$32;>g>54ic694?"5810i46`=0687?>d6l:0;6>4>:2yO710=9rB:m>5r$22`>4ec3`h:6=44ic094?=h:l:1<75m34294?5=;3?p(>>l:273?ld62900eo<50;9l6`6=831i?9j50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4d83>6<729q/?=m54d9K7125<0e;<17`?76j2788h4>1`9~wg4=839pRo<4=26g>47f349?i7?>b:p6`6=838pR?k?;<17b?7b82wx?9m50;0x962c28o;70=;f;32e>{t;=n1<74c7349?j7?>b:~wg7=838pRo?4=273>g77}Y:l:01>;?:3g3?x{e9m>1<7=51;1xH62128qC=l=4}%13g?7dl2ci=7>5;h`1>5<5<42:0>w)=?c;164>oe93:17dl=:188k7c72900n>:k:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`00c<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xue93:1?vPm1:?00a<69k16?9k510c8yvd52908wSl=;<17`?76i2788h4>1c9~w7c72909wS:l:181853l3;n<63<4g825d=z{:>o6=4={<17a?7b82788k4>1c9~yvd62909wSl>;<164?d63tyi>7>52z\a6>;4=90i>6s|2d294?4|V;o;70=:0;0f4>{zj8n>6=4<:080I53>3;pD5;c164?6=;3919v*<0b8015=nj80;66gm2;29?j4b83:17o=;d;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a71`=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{tj80;6>uQb09>71b=98h01>:j:03b?xue:3:1?vPm2:?00a<69h16?9k510`8yv4b83:1>vP=e19>71`=9l:0q~=;c;296~;452z?00`<6m916?9h510`8yxue93:1>vPm1:?0155<5sW8n<63<5181a5=zuk;o:7>53;397~J4>i5m90;66l<4e83>6<729q/?=m54d9K7125<N4<=1b=50;9~f62a29086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=z{k;1<7=t^c38962c28;i70=;e;32e>{tj;0;6>uQb39>71b=98k01>:j:03a?xu5m90;6?uQ2d28962a28o;7p}<4b83>7}:;=n1=h>4=26e>47f3ty88i4?:3y>71c=9l:01>:i:03a?x{tj80;6?uQb09>706=j81vo<50;0xZg4<5:?;6o<4}r0f4?6=:rT9i=5234296`65}#;9i18i5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6`d=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66s|34f94?5|58n?6o?4=27f>4c7349=<7?>b:p70`=839p1{t;?;1<7g7<5:<96h84=0f5>7c73-8m<76?k?;%0e4?4b=2d9ik4>;|q1a6<72;qU>h=4=0f7>7c73-8m<7h?4=3ga>4c73-8m<752z\a=>;6l?0i>6*=f18a<>h5mo0;7p}m7;297~Xe?27:h84m2:?027<69h1/>k>5b99m6``=92wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6o64n3ge>7=z{k?1<7=t^c7894b42k801>;j:03b?!4a83h37ci7?>b:?1ag<6901/>k>5b99m6``=<2wv?h=:181[4a:27<6?h=;|q:f?6=:rT2n638:8`8yv4a93:1>vP=f09>3?4a92wx><;50;1xZ77234=1><;4=0f1>g?<,;l;6??;;o0fb?653z\156=:?38:?63>d38a3>"5n909=95a2dd95>{t:881<7=t^331?81=:88013?46927:h?4m5:&1b5<59=1e>hh53:p646=839pR???;<59646<58n96o:4$3d3>7733g8nj7:4}r167c13-8m<7=:7:l1ac<73ty89;4?:3y>3?g334;o>71e>hh51:p703=838p1:4n3:?2`7<5m:1/>k>53458j7ca2;1v>;;:18181=i;16=i<52d08 7`72:?<7cv38:`3894b52;o:7)h5mo0?7psmd183>6<62:qG?9851zJ2e6=zD:><6{#;9i1=o94i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f6b1290>6>49{M172?7|@8k87pB<468b!5a0390(>h9:257?!5bm3k;7)=i0;03b>"4mj02n6`50;9l65`=831b>k?50;9a3?6==3819vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722c2o7>5;h;0>5<0;684?:1y'75e=lh1C?9:4i9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<03i6s|1ec94?4|5:>>6db83>7}Yi916;7o?;|a70c=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm37`94?5=83:p(>>l:0g7?M53<2c:=l4?::k25g<722e:i=4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?02g<69h1v?>i:181[47n27<6?>i;|q1b4<72:qU>k?4=27f>47>349=n7?>b:p70b=838p1:4n0:?01`<6m91v>8n:18181=1j16?;l51d28yxd6:00;6>4>:2yO710=9rB:m>5rL264>4}#;o21?6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm12794?3=:3?p@>:9:0yK5d56=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb2;4>5<42;0?wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<0;6>4?:1y'75e=l01C?9:4i9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d66}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm39394?5=:3>p@>:9:0yK5d56=z,::h65<50z&04f>of83:17bn3:1>7>50z&04f<6:11C?9:4i03:>5<5<5sW3i707i:03:?xu6lh0;6?u27;:b?8?a28o;7p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9j?7>53;090~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rb8d94?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx5o4?:3y]=g=:1o0:=45rs0fb>5<5s4=14l529g82a5=z{8ni6=4={<5965`db83>7}Yi916;7o?;|a7fd=8391>7:tL265>4}O9h90qA=;7;3x 6`?2:1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6309l57e=831b=5750;9j53e=831b=5o50;9j5=d=831b=5m50;9j5=b=831b=5k50;9j57g=831i=>>50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl>2d83>6<729q/?=m54d9K7125<0evP>2b9>57`=9l:0q~?79;296~X60016=>>510c8yv71k3:1>vP>6b9>566=98h0q~?7a;296~X60h16=?h510`8yv7?j3:1>vP>8c9>57`=98i0q~?7c;296~X60j16=?h510f8yv7?l3:1>vP>8e9>57`=98o0q~?7e;296~X60l16=?k510`8yv75i3:1>vP>2`9>57c=98k0q~?=d;296~;6;90:i=5213d954g52z?26`<6m916=?h510d8yxd3j3:147<59z&04f<3j2e?;7>5;h3;=?6=3`;=o7>5;h3;e?6=3`;3n7>5;h3;g?6=3`;3h7>5;h3;a?6=3k>j6=4<:183!57k3>o7E=;4:k25d<722c:=o4?::m2a5<722wi844?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p};7;296~X3?27?57?j0:p5=?=838pR<66;<6b>47f3ty::n4?:3y]53e<5=k1=;313;:o6s|19a94?4|V82h70:6:03g?xu60m0;6?uQ19f891?=98o0q~?7e;296~X60l16844>1g9~w1>=838p19o51d2891?=98k0qpl=a483>6<729q/?=m54e9K7125<N4<=1b=50;9~f=2=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xdfk3:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjj=1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3thh57>53;294~"48j0?i6F<459j54g=831b=b;29?j7b83:17pl1<729q/?=m5519K7125<5<53;294~"48j0:i>5G3568m47f2900e5<7s-9;o7;l;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>e183>>{ekm0;6;4?:1y'75e==01C?9:4i03b>5<5<5<5<1290;w)=?c;7a?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2a5<722wi?il50;494?6|,::h6874H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17b?j0;29?xddn3:1?7>50z&04f<6m:1C?9:4i03b>5<5<55;294~"48j0:i45G3568m47f2900e5<2290;w)=?c;7;?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a56d=83>1<7>t$22`>4c03A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3`094?1=83:p(>>l:0ga?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::k25c<722e:i=4?::a7t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd40>0;6;4?:1y'75e=9lk0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;n<7>5;|`0ea<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xd4i>0;6>4?:1y'75e=5<5<55;294~"48j0:i45G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::af5<72;0;6=u+31a957><@:>?7d?>9;29?j7b83:17pl<1e83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th347>52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52z\270=:9:?1>=h4}r1ga?6=:rT8hh5230f954?7>52z\0=7=:j90:=45rs0;`>5<4sW;2o63<9d825d=:;h=1=45213;965`<5:n2676a3tyii7>52z\aa>;c>3k;7p}7}Y;j>01?o6:03:?xu6>90;6?uQ1728940d2h:0q~=78;296~X40116?9;51058yv>d290>wS6l;<3;m27o:77m;<31f?76i27:?o4>1`9~w=d=83;;49h02n6375;;a?84f>33i70j8:8`89g`=1k16h=46b:?0`3<>j27:>446b:?70??e34;8977m;<1:3??e3492o77m;<1;5??e349j?77m;<1`f??e3ty8m>4?:3y]7d5<5:k86?>i;|q21a<72;qU=8j4=2c:>47f3ty8oo4?:3y]7fd<5:ii6?>i;|q2=g<72;qU=4l4=2ae>47f3ty8444?:3y]7=?<5121=<74}r1:3?6=:rT85:52385965`52z\0=f=:;0i1>=h4}r67>5<5sW>?70:;:32e?xu4l?0;6>uQ3e4896b12;:m70j=:03b?xuc;3:1>v3k2;32f>;c<3;n<6s|3`394?4|5:k965=>=90l01lm51d28945428;j70?<7;32e>{t;jo1<74c7349o=7?>9:p`3<72:q6h;4=0g9>`2a:p74g=838p1>?n:32e?85613;:n6s|12094?4|589865=>=9h;015:51d28945428;h70?<7;32g>{tl80;6?u2d18b4>;c:3;n<6s|30`94?4|5:;i6?>i;<12=?76i2wxmk4?:2y>e`4c73tyh:7>52z?ab?4a927h;7?j0:pg=<72:q6nk4=f39>g2<69h16o44>e19~wfc=838p1n9510`89f`=9l:0q~=76;296~;40>0:i=52397954?6=4={<:6>76a342?628o;7p}k7;296~;c?38;j63l9;32f>{t9:21<77}:;h31=h>4=2ca>47>3tyjh7>52z?b`?47n27jo7?>b:p<3<72;q64;4=0g9><1<69h1v>77:18185>?3k;70=69;3f4>{tl90;69u219:95d6<5m:1>=h4=010>47e34;8;7?>b:p53e=83>p1<67:0;g?871k38;j63>30822f=:=h4=`a954g52z?1e3<58o16>l;510`8yv5>l3:1>v3<9d82a5=:;h:1=<74}r3:4?6=:r7:454=039>56d=98i0q~=>c;297~;49k0j<63<1`8b4>;49m0:i=5rs00`>5<5s4;957o?;<305?75k2wx>l950;0x97g02;:m70{t;821<74c7349o57?>c:p02<72;q6894n0:?7f?203tyhm7>53z?`f?7b827hj7?>a:?0`a<6901vnm50;0x9fb=9l:01nh510`8yv7?13:1?v3>8982b6=:9:;1=574=5`95=?56z?2<=<6n<16=>?519c891d=91k01>o=:03b?85??3;:m638c9>0g<60k16?l<510`896>028;i70=nd;32f>{t91i1<78t=0:;>4`>34;8=7?7c:?7f?7?k278m?4>1b9>7=1=98i01>oi:03a?xu60m0;6:u219:95cd<589:6<6k;<6a>4>c349257?>a:?0e7<69m16?59510f896ga28;h7p}>8d83>2}:9121=kj4=012>4>b34>i6<6j;<1:=?76j278m?4>1d9>7=1=98o01>oi:03g?xu60o0;6?u219:95c`<5:k967a7=9l:0q~0j<63=a78b4>;5i00:i=5rs2:7>5<5s493=7o?;<1;1?7b82wx4:4?:5y><37ab=9l:0q~=nc;296~;4im0:i=523`5954d53z?0ec<6m916?l7510`896ea28;i7p}7}:;h91m=523``95`654z?2<=<6i;16>l;51d28945428;o70?<7;32`>{t9:<1<74c734;8n7?>b:p57g=838p1<=>:00b?875j3;n<6s|12c94?4|589i6o?:0g3?xu4lh0;6?u23e;954g<5:ni65:?`f?76m27hh7?>e:?g0?76m278ho4>1`9'6c6=k=1e>hh50:pg6<72gg<69m16oi4>1`9>`1<69m16?il510`8 7`72j>0b?ki:09~wf4=83?p1oh520089fd=98i01nj510`89a2=98i01>jm:03f?!4a83i?7cw0li:332?8ee28;i70mk:03`?8b328;i70=kb;32g>"5n90h86`=eg80?xud83:19v3mf;024>;dj3;:m63ld;32`>;c<3;:m63{zj:=:6=48:b822~J4>k:188k6752900e5j50;9a6fe=8331>7?>{M172?7|@8k87pB<4685!5a03:0(>h9:251?!50=39mm6*{#;9i1=l64Z9;95~422tP9ih4={3596d=h:8=1<7*=09815==i:9=1=65`20494?"58109=55a21596>=h:8?1<7*=09815==i:9=1?65`20194?"58109=55a21590>=h:881<7*=09815==i:9=1965`20394?"58109=55a21592>=h:8:1<7*=09815==i:9=1;65m7;2954:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63t.8b89Y<<<5s;?1>>4rZ3gf>7}5?38:6pg6b;29?j4613:1(?>7:33;?k47?3:07b<>7;29 76?2;;37c3:1(?>7:33;?k47?3807b<>5;29 76?2;;37c7:33;?k47?3>07b<>2;29 76?2;;37c7:33;?k47?3<07b<>0;29 76?2;;37c=65a89m651=821bm54?:%033g8;;7?4;hc4>5<#:921m45a21596>=ni?0;6)=i01e>=954:9je6<72-8;47o6;o033?3<3`k96=4+21:9e<=i:9=1:65fa083>!4703k27c4}O9h90q)=?c;3ae>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d63;pD5;c594?5=83:p(>>l:e;8L6233E9?:7?t$004>6`33tc3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmd;297?7=;rF88;4>{I3b7>{#;9i1=om4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f44=8391=7=tL265>4}O9h90q)=?c;3a`>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d653;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srb0694?5=939p@>:9:0yK5d55;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd6n3:1?7?53zN003<6sA;j?6s+31a95f75<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<750;195?5|D:>=66gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|q:f?6=1rT2n638:8`89=<>j27o64l4=009=g=:9:02n63>4;;a?87a20h01?>59c9~w77>2909wS<>9:?14?47n2.9j=4=199m6``=82wx><950;0xZ77034;m6?>i;%0e4?4602d9ik4>;|q153<72;qU><84=06965`<,;l;6??7;o0fb?452z\150=:9:095rs330>5<5sW8:?63>2;03b>"5n909=55a2dd90>{t:881<71;296~X5981647hh56:p646=838pR???;<5965`<,;l;6??7;o0fb?1<58l1m=5+2g29e<=i:ll1=6s|a683>7}Yi>16=94n0:&1b53;c3?!4a83k27cd6<,;l;6l74n3ge>1=z{h91<77>52z\b6>;?2h:0(?h?:`;8j7ca2?1vl?50;0xZd7<5>0j<6*=f18b=>h5mo0<7psm1e094?772l0::vB<4782M7f;2wG?9955z&0b3<4>l1/?hh5b29'7ce=02.8j<4=e19'7cd=?2w/?=m51`48^=?=:r8=6?:5}[0fa?4|:009>7s`2dc94?"58109i45a21594>=h:l21<7*=0981a<=i:9=1=65`2d594?"58109i45a21596>=h:l<1<7*=0981a<=i:9=1?65`2d694?"58109i45a21590>=h:l91<7*=0981a<=i:9=1965`2d094?"58109i45a21592>=h:l;1<7*=0981a<=i:9=1;65fbe83>!4703hh7c=65bb9m651=921bnl4?:%035<#:921nn5a21597>=nj>0;6)07dl9:18'65>=jj1e>=955:9jf0<72-8;47ll;o033?0<3`h?6=4+21:9ff=i:9=1;65m1e194?5=939p@>:9:0yK5d55<<6gm1;29?ld52900c?k?:188f62c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e;=o1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th88k4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}m1;297~Xe92788i4>1c9>71c=98k0q~l=:180[d5349?h7?>a:?00`<69k1v?k?:181[4b82788k4>e19~w62d2909w0=;d;3f4>;45<5s49?i7?j0:?00c<69k1vq~l>:181[d6349><7l>;|qa6?6=:rTi>63<518a6>{t:l:1<75<42808wA=;6;3xL4g43t.8ce9jf4<722ci>7>5;n0f4?6=3k9><7>53;191~"48j089=5fb083>>oe:3:17b50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=zj:>n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|b083>6}Yj816?9j510`8962b28;j7p}m2;297~Xe:2788i4>1`9>71c=98h0q~v3<4e82a5=:;=l1=e19>71`=98h0qp}m1;296~Xe92789=4m1:pf7<72;qUn?523429f7=z{;o;6=4={_0f4>;4=909i=5r}c3g1?6=;3;1?vB<4782M7f;2w/?=m51bf8mg7=831bn?4?::m1a5<722h89=4?:280>0}#;9i1?8>4ic394?=nj;0;66a=e183>>d44?:1y'75e=5<5<53;294~"48j0?i6F<459j54g=831b=:i:180>5<7s-9;o7?j3:J001=n98k1<75f10`94?=h9l:1<75rsc394?5|Vk;01>:k:03a?853m3;:m6s|b383>6}Yj;16?9j510c8962b28;i7p}=e183>7}Y:l:01>:i:0g3?xu4m67}Yj816?8>5b09~wg4=838pRo<4=273>g452z\1a5=:;<:1>h>4}|`2`3<72:0:6>uC35495~N6i:1v(>>l:0ag?ld62900eo<50;9l6`6=831i?8>50;197?3|,::h6>;?;h`2>5<:;;h32e?6=3`;:n7>5;n3f4?6=3th88h4?:283>5}#;9i18h5G3568m47f2900ee29K7125<5<4sWh:70=;d;32f>;4:k:03b?853m3;:n6s|2d294?4|V;o;70=;f;3f4>{t;=i1<74c7349?j7?>a:p71b=838p1>:j:0g3?853n3;:n6srsc394?4|Vk;01>;?:c38yvd52909wSl=;<164?d53ty9i=4?:3y]6`6<5:?;6?k?;|a5a1=8391=7=tL265>4}O9h90q)=?c;3``>oe93:17dl=:188k7c72900n>;?:180>6<2s-9;o7=:0:ka5?6=3`h96=44o3g3>5<o6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9k50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4g83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|qa5?6=;rTi=63<4e825g=:;=o1=5<4sWh970=;d;32e>;45<5sW8n<63<4g82a5=z{:>h6=4={<17`?7b82788k4>1`9~w62c2909w0=;e;3f4>;45<5sWh:70=:0;`2?xue:3:1>vPm2:?015h>50;0xZ7c7349><77>5;n0f4?6=3k9?h7>53;294~"48j0?i6F<459j54g=831b=:j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35d94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::pf4<72:qUn<5235f954d<5:>n663<4e825d=:;=o1=52z?00a<6m916?9h510c8yv53l3:1>v3<4d82a5=:;=l1={tj;0;6?uQb39>706=j;1v?k?:181[4b82789=4=e19~yg7c13:1?7?53zN003<6sA;j?6s+31a95fb>i5m90;66l<5183>6<425}#;9i18h5G3568m47f2900e5<4290;w)=?c;3f7>N4<=1b=50;9~wg7=839pRo?4=26g>47e349?i7?>a:pf7<72:qUn?5235f954g<5:>n6h>4=26e>4c73ty88n4?:3y>71b=9l:01>:i:03b?xu4m652d28yxd4=l0;6>4?:1y'75e=5<5<53;294~"48j0?h6F<459j54g=831b=8=:180>5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm37694?5=83:p(>>l:5f8L6233`;:m7>5;h32f?6=3f;n<7>5;|`023<72:0;6=u+31a90a=O;=>0e50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;oi6=4=:183!57k3;946F<459j54?=831d=h>50;9~w63c2908w0?k4;`2?852m3;n<63<61825g=z{:?m6=4<{<3g1?d6349=<7?j0:?027<69k1v>8>:18087c>3h:70=92;3f4>;4>=0:=o5rs240>5<4s4;o;7l>;<150?7b8278:;4>1c9~w6022908w0?k8;`2?851>3;n<63<69825g=z{:<<6=4={<3g=?d6349=47?j0:p6`g=838pR?kn;<3g=?4b82.9j=4=e89m6``=82wx>h650;0xZ7c?34;o47hh51:p6`1=838pR?k8;<3g3?4b82.9j=4=e89m6``=:2wx>h850;0xZ7c134;o:7hh53:p6`2=838pR?k;;<3g1?4b82.9j=4=e89m6``=<2wx>h=50;0xZ7c434;o87hh55:p6`4=838pR?k=;<3g7?4b82.9j=4=e89m6``=>2wx>h?50;0xZ7c6348nn7?j0:&1b5<5m01e>hh57:pfa<72;qUni521e;9f7=#:o:1nn5a2dd94>{tjk0;6>uQbc9>5a>=j;16?;6510c8 7`72ki0b?ki:09~wgg=839pRoo4=0f4>g4<5:<=65<4sWh270?k6;`1?851<3;:m6*=f18ag>h5mo087p}m7;297~Xe?27:h84m2:?027<69h1/>k>5bb9m6``=<2wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6om4n3ge>0=z{k?1<7=t^c7894b42k801>;j:03b?!4a83hh7ci7?>b:?1ag<6901/>k>5bb9m6``=?2wv4l50;0xZ02n6s|20;94?5|V;;2709520;894b52kn0(?h?:33;?k4bn3:0q~<>7;297~X59>16;7<>7:?2`7<850;1xZ77134=1><84=0f1>gg<,;l;6??7;o0fb?453z\150=:?38:963>d38a=>"5n909=55a2dd97>{t:891<7=t^330?81=:89013?46:27:h?4m6:&1b5<5911e>hh55:p647=839pR??>;<59647<58n96o;4$3d3>77?3g8nj784}r024?6=;rT9==527;024>;6l;0i86*=f1815==i:ll1;6s|34a94?4|5>0jm63>d381ad=#:o:1?8l4n3ge>5=z{:?j6=4={<59e==:9m81>h64$3d3>63e3g8nj7?4}r16=?6=:r7<6l94=0f1>7c03-8m<7=:b:l1ac<53ty8954?:3y>3?g134;o>7hh53:p700=838p1:4n4:?2`7<5m=1/>k>534`8j7ca2=1v>;::18181=i:16=i<52d18 7`72:?i7cv38:`0894b52;o97)h5mo0=7p}<5283>7}:?3k:70?k2;0f5>"5n9089o5a2dd93>{zj;n=6=4::386I53>3;pD4$2d5>6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568H62128q/=?953g68yl>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;l510`8yv47n3:1>vP=0g9>3?47n2wx>k?50;1xZ7`634=1m=5237`954g52z?4>7;tL265>4}O9h90qA=;7;cx 6`?291/?k853668 6cb2h:0(>h?:32e?!5bk33i7c=j8;38 6cc20i0b>k6:19'7c4=:o;0b>kn:19~ 66d28i?7d7m:188m5<22;0>wA=;6;3xL4g43t.8a89je5<722e950z&04f>o>;3:17d6n:188md6=831d>=h50;9~f622290:6=4?{%13g?7602B8895`10594?=z{0h1<7d`83>7}:;=?1=<94=68:7>{t9mh1<74}|`02g<72:0;6=u+31a95`3<@:>?7d?>a;29?l76j3:17b?j0;29?xu>j3:1>vP6b:?4>3?g7349=n7?>a:p73g=838p1:46c:?02g<6m91vqo=>3;291?4==rF88;4>{I3b7>{K;==1mv*39<86*"4n909h4m00;7)=i2;0e5>h4mh0;7p*<0b82g1=n1k0;66g6c;29?lg72900c?>i:188m7`62900n:4?:481>0}K;=<1=vF>a29~ 66d28k27do?:188k76a2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>>l:ec8L6233`2n6=44i8194?=n0h0;66gn0;29?j47n3:17pl<4483>4<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j5:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e;881<7;52;7xH62128qC=l=4}M173?g|,:l36=5+3g49722<,:on6l>4$2d3>76a3-9no77m;o1f4=#;o81>k?4n2gb>5=z,::h65<>i58o0;66g=f083>>d0290>6?4:{M172?7|@8k87p*<0b82e<=ni90;66a=0g83>>o>j3:17d7l:188m<5=831i;7>55;294~"48j0om6F<459j<`<722c2?7>5;h:b>5<>6=4>:183!57k3;:46F<459l541=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2`d<72;q6?9;5105892<>;2wx=il50;0x92<58o1U>=h4}r3gg?6=:rTj<638:`28yxd4>k0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349=n7?>b:p65`=838pR?>i;<5965`53z\1b4=:?3k;70=9b;32e>{t;?k1<7;4>k0:i=5r}c0g`?6=:3:10e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6a>=8331<7>t$22`>4ba3A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>o6:80;66a>e183>>{e;9l1<7650;2x 66d28nn7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:i=4?::a6ag=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm30394?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx>ik50;0xZ7bb348oj7?j0:p6fd=838pR?mm;<0g2??d3ty9hn4?:3y]6ae<5;no6rTo463=cb8:f>;5l?02n63<0e8:f>;49:02n63<138:f>{t;9n1<72;03b>{t0m0;69uQ8e9>75b=:o;01>?<:3d2?856:38m=6s|30194?4|5:;86?>i;<121?76j2wx>i850;1x97b12;:m70;5lo0:=l5rs3f:>5<4s48o:7k279hl4>e19~w6772909w0=?d;;`?85693;n<6s|2e594?5|5:;>6189~w6732909w0=>2;;`?856=3;n<6s|31g94?4|5::m6i;50;1x97ed2;;270;5l10:><5+2g296a25<3s48ho7<>7:?1`c<69j16>i651328966a288;7)h5mo0:7p}=d383>1}::ji1><84=3fe>47c348o47?>f:?04c<69o1/>k>52e68j7ca2;1v?j>:18084dk38:963=d9825`=:;9l1=7b33g8nj7=4}r0g4?6=;r79on4=129>6a>=98n01>>i:03g?!4a838o86`=eg87?xu5ko0;6>u22ba9644<5;n36nk50;1x97ed2;;:70;48o0:=o5+2g296a25<4s48ho7<>0:?1`=<69h16?=h510c8 7`72;n?7c4;3`I53>3;pD2:m0``<722e85?4?::k0<4<722e5;hf;>5<l1<75f8083>>o5jh0;66g=bb83>>o5jl0;66a<8883>>o6:;0;66l:e;297?4={I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;hi6=4::386I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}6c;296~X>k278:o4>1c9~w76a2909wS76a3ty9j<4?:2y]6c7<5>0j<63<6c825d=z{:4}|`1fa<72<0968uC35495~N6i:1v@>:8:`y'7c>=:2.8j;4<759'7`c=i91/?k>521d8 6cd20h0b>k7:09'7`b=1j1e?h751:&0b7<5n81e?ho50:'75e=9k80e4l50;9j=f<722cj<7>5;n03b?6=3`8m=7>5;c594?3=:3?p@>:9:0yK5d55;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5:7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;08 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?;l50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6c825g=z{;:m6=4={_03b>;02;:m7p}=f083>6}Y:o;01:4n0:?02g<69h1v>8n:18181=1j16?;l51d28yxd?83:197<55zN003<6sA;j?6sC3559e~"4n1097)=i6;140>"4ml0j<6*"4mm02o6`>o>k3:17do?:188k76a2900e?h>:188f2<72<0968uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f60e29086=4?{%13g?7b<2B8895f10c94?=n98h1<75`1d294?=z{0h1<7j2wx5n4?:3y]=f=:;?h1={t:o;1<7=t^3d2?81=i916?;l510c8yv51i3:1>v38:8a8960e28o;7psm8383>0<52<6lu+3g:96>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>>of83:17b=64?::`4>5<2290;w)=?c;fb?M53<2c3i7>5;h;0>5<>i58o0;66sm35794?7=83:p(>>l:03;?M53<2e:=:4?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?000<69>16;77<;|q2`g<72;q6;7e59K7125<5<5sW3i70959c9~w47e3ty9095<4sW8m=638:`28960e28;j7p}<6`83>7}:?33h70=9b;3f4>{zj:396=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l86>>m;o1ff?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wi?;j50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6e825g=z{h:1<77}Y:9l01:4=0g9~w66e2908wS=?b:?02g<69h16?;j510c8yv51k3:1>v38:8a8960c28o;7p}<6`83>7}:?3k;70=9b;3f4>{zj:;36=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8:o4?:283>5}#;9i1=h:4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=9b;32f>{ti90;6?uQa19>70c=98h0q~;<16a?76i278:o4>1`9~w63c2909w095a19>70c=9l:0q~=9a;296~;020i01>8m:0g3?x{e?j0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9o94?:583>5}#;9i19=5G3568m47f2900e3290>6=4?{%13g?7b12B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:2n6=4=:183!57k3;946F<459j54?=831d=h>50;9~f6c429096=4?{%13g?7502B8895f10;94?=h9l:1<75rb2;5>5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6f0=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e:j21<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi>no50;194?6|,::h6o69h0;66g>1c83>>i6m90;66sm39f94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`0a7<72:0;6=u+31a90`=O;=>0e5<5sW9oi63;41;095<2sW93=638c;32e>;40m0:=l523d0954g<5?:1=<74}r5a>5<>sW=i70;5jm09j<522cd96c7<51:1>k?4=9096c7<5:396>>m;<12;5jk02n63=be8:f>;5jo02n6370;;a?8>520h01>7=:8`8967?20h0q~9i:180[1a342;64m4=96954g520i015:510a8yv4ei3:1?vP=b`9>6gd=1j16>n8510c8yv4ek3:1?vP=bb9>6gb=1j16>n6510c8yv4em3:1?vP=bd9>6g`=1j16>no510c8yv5?13:1>vP<889>7=c=9l:0q~?=2;297~X6:;16?4<5a19>74>=i91v:k50;1x9=2=9l:01>79:03b?85?l3;:n6s|39a94?4|5:2n61`<58o16;n4>1c9~w7e22909w0;5k?0:i=5rs3a4>5<5s48h87?>b:?1g=<6m91v?m6:18184d<3;:o63=c`82a5=z{1:1<71=5<5s4296?>i;<:7>47c3ty8=54?:3y>74>=:9l01>k=:03a?xu5jk0;6?u22c`965`<5;i=6oj521d897e?28;i7p}=bg83>7}::kl1>=h4=3ab>47e3ty8i<4?:3y>7`5=98301>k=:0g3?xu5j00;6?u22b695`6<5:3=6i7o?;<43>4c73ty8584?:2y>7<4=1j16?<659b9>7<0=9l:0qpl<7b83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th84=4?:383>5}#;9i1=?64H267?l7613:17b?j0;29?xd4=l0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v4<50;0xZ<4<5:=265m4}r1:7?6=:rT85>5236497<47>54z\0<7=:;o?1?5?4=25b>6>6349<:7=71:p7a`=838pR>ji;<142?5cm2wx?nm50;1xZ6ed349m97=lb:?03d<4kk1v>m8:181[5d?278j846m:181[5?j278;44<889~w6dd2909wS=mc:?0b0<4j91v>6i:181[5?n278j84<8g9~w6e32908wS=l4:?036<4k=16?:753b68yv5><3:1>vP<959>72?=;080q~=73;296~X40:16?:753938yv5?03:1>vP<899>72?=;120q~=md;296~X4jm16?k;53e08yv5b83:1>vP72?=;mo0q~=ld;296~X4km16?:753b`8yv5e<3:1>vP7c3=;k>0q~=8b;296~X4?k16?:m51d28yv50n3:1>vP<7g9>7=6=9l:0q~=n4;297~X4i=16?k;53`18961f2:k87p}60;296~X>8278;447b:p=4<72?qU5<523619;1h5523649`==z{:i>6=4={_1`1>;4n<08o85rse794?4|Vm?01>96:cg8yv5>?3:1>vP<969>72?=;0=0q~=n5;296~X4i<16?:753`18yv5c?3:1>vP72?=;m<0q~=6a;296~X41h16?:o538c8yv5>k3:1>vP<9b9>72?=;0i0q~=j5;290~X4m<16?:=53d6896`22:o?70=8a;1f0>{t;1k1<739356s|2eg94?4|5:l>6?jj;<145?4cm2wx>nl50;0x96`22;ii70=81;0`f>{t9:?1<7452349<57?<5:p50c=838p1>9<:07f?850i3;>i6s|13;94?4|5:=j6<<6;<14=?7512wx>hm50;0x96142;oh70=:e;32e>{t:o91<77`4349n;7?>b:p00<72;q6?:75459>72c=98h0q~:9:181850i3>?70=8e;32e>{t:mi1<77bd349<=77c3=?k16?:o57c9>727=0m16?:857c9~w6102909w0=86;0aa>;4?10:i=5rs043>5<5s4991v>>k:181850939;h631c9~w6c12909w0=j7;3f4>;4?10:=45rs3a2>5<5s49m979b9~w7e72909w0=8a;0a=>;4??09nn5rs25g>5<5s499:?03`<6m91v<;<:181850i3;>?63<78821a=z{;8j6=4={<147?45i278j84=2`9~w63c2909w0=86;5e?852m3;n<6s|2`c94?4|5:=86?on;<1e1?4fi2wx=?<50;0x9614288970=86;316>{t0:0;6?u236c93`=:;><14<5rs0;2>5<5s496?:03:?xu59o0;6?uQ20d896`22;;m7)h5mo0;7p}=1e83>7}Y:8n01>h::33g?!4a838:i6`=eg82?xu5:10;6?uQ23:896`22;837)h5mo097p}=2683>7}Y:;=01>h::304?!4a838:i6`=eg80?xu5:?0;6?uQ234896`22;8=7)h5mo0?7p}=2483>7}Y:;?01>h::306?!4a838:i6`=eg86?xu5:=0;6?uQ236896`22;8?7)h5mo0=7p}=2283>7}Y:;901>h::300?!4a838:i6`=eg84?xu5:;0;6?uQ230896`22;897)h5mo037p}=2083>7}Y:;;01>h::302?!4a838:i6`=eg8:?xu5:90;6?uQ232896`22;8;7)h5mo0j7p}=1b83>7}Y:8i01>h::33`?!4a838:i6`=eg8a?xu083:1>vP80:?036<4j2.9j=49f:l1ac<73ty=i7>52z\5a>;4?:0856*=f185b>h5mo0:7p}9d;297~X1l278;>4<8:?0b0<402.9j=49f:l1ac<53ty=o7>53z\5g>;4?:08:63"5n90=j6`=eg80?xu1i3:1?vP9a:?036<4<278j84<4:&1b5<1n2d9ik4;;|q5=?6=;rT=563<72807>;4n<08?6*=f185b>h5mo0>7p}98;297~X10278;>4<2:?0b0<4:2.9j=49f:l1ac<13ty=;7>53z\53>;4?:08=63"5n90=j6`=eg84?xu1>3:1?vP96:?036<48278j84<0:&1b5<1n2d9ik47;|q51?6=;rT=963<7281b>;4n<09j6*=f185b>h5mo027p}94;297~X1<278;>4=e:?0b0<5m2.9j=49f:l1ac53z\57>;4?:09h63"5n90=j6`=eg8a?xu1:3:1?vP92:?036<5k278j84=c:&1b5<1n2d9ik4l;|q55?6=;rT==63<7281f>;4n<09n6*=f185b>h5mo0o7p}88;297~X00278;>4;3:?0b0<3;2.9j=49f:l1ac53z\43>;4?:0?>63"5n90=j6`=eg8e?xu0>3:1>vP86:?0b0<392.9j=49f:l1ac<682wx;84?:3y]30=:;o?18=5+2g292c=i:ll1=<5rs6694?4|V>>01>h::2d8 7`72?l0b?ki:008yv142909wS9<;<1e1?5b3-8m<78i;o0fb?743ty<>7>52z\46>;4n<08h6*=f185b>h5mo0:86s|7083>7}Y?816?k;53b9'6c6=>o1e>hh5149~w3d=838pR;l4=2d6>63<,;l;6;h4n3ge>40|I|I/O|INPUT||||||||| +P10|nAS_IOB|O|I/O|OUTPUT||||||||| +P11|nDTACK_FSB|O|I/O|OUTPUT||||||||| +P12|A_FSB<9>|I|I/O|INPUT||||||||| +P13|nAS_FSB|I|I/O|INPUT||||||||| +P14|nBERR_FSB|O|I/O|OUTPUT||||||||| +P15|A_FSB<5>|I|I/O|INPUT||||||||| +P16|A_FSB<2>|I|I/O|INPUT||||||||| +P17|nOE|O|I/O|OUTPUT||||||||| +P18|nBERR_IOB|I|I/O|INPUT||||||||| +P19|A_FSB<6>|I|I/O|INPUT||||||||| +P20|A_FSB<7>|I|I/O|INPUT||||||||| +P21|GND||GND|||||||||| +P22|CLK2X_IOB|GCK|I/O/GCK1|||||||||| +P23|CLK_FSB|GCK|I/O/GCK2|||||||||| +P24|nRAS|O|I/O|OUTPUT||||||||| +P25|nLDS_FSB|I|I/O|INPUT||||||||| +P26|VCC||VCCIO|||||||||| +P27|CLK_IOB|GCK/I|I/O/GCK3|||||||||| +P28|TIE||I/O|||||||||| +P29|nADoutLE1|O|I/O|OUTPUT||||||||| +P30|TIE||I/O|||||||||| +P31|GND||GND|||||||||| +P32|TIE||I/O|||||||||| +P33|nVMA_IOB|O|I/O|OUTPUT||||||||| +P34|TIE||I/O|||||||||| +P35|RA<1>|O|I/O|OUTPUT||||||||| +P36|TIE||I/O|||||||||| +P37|TIE||I/O|||||||||| +P38|VCC||VCCIO|||||||||| +P39|RA<3>|O|I/O|OUTPUT||||||||| +P40|TIE||I/O|||||||||| +P41|TIE||I/O|||||||||| +P42|nROMCS|O|I/O|OUTPUT||||||||| +P43|TIE||I/O|||||||||| +P44|GND||GND|||||||||| +P45|TDI||TDI|||||||||| +P46|TIE||I/O|||||||||| +P47|TMS||TMS|||||||||| +P48|TCK||TCK|||||||||| +P49|E_IOB|I|I/O|INPUT||||||||| +P50|RA<5>|O|I/O|OUTPUT||||||||| +P51|VCC||VCCIO|||||||||| +P52|nUDS_FSB|I|I/O|INPUT||||||||| +P53|RA<6>|O|I/O|OUTPUT||||||||| +P54|nDTACK_IOB|I|I/O|INPUT||||||||| +P55|RA<7>|O|I/O|OUTPUT||||||||| +P56|A_FSB<4>|I|I/O|INPUT||||||||| +P57|VCC||VCCINT|||||||||| +P58|RA<8>|O|I/O|OUTPUT||||||||| +P59|nVPA_IOB|I|I/O|INPUT||||||||| +P60|RA<9>|O|I/O|OUTPUT||||||||| +P61|nWE_FSB|I|I/O|INPUT||||||||| +P62|GND||GND|||||||||| +P63|RA<11>|O|I/O|OUTPUT||||||||| +P64|A_FSB<8>|I|I/O|INPUT||||||||| +P65|RA<10>|O|I/O|OUTPUT||||||||| +P66|A_FSB<23>|I|I/O|INPUT||||||||| +P67|nADoutLE0|O|I/O|OUTPUT||||||||| +P68|A_FSB<21>|I|I/O|INPUT||||||||| +P69|GND||GND|||||||||| +P70|nAoutOE|O|I/O|OUTPUT||||||||| +P71|A_FSB<20>|I|I/O|INPUT||||||||| +P72|nDinLE|O|I/O|OUTPUT||||||||| +P73|A_FSB<19>|I|I/O|INPUT||||||||| +P74|nCAS|O|I/O|OUTPUT||||||||| +P75|GND||GND|||||||||| +P76|A_FSB<18>|I|I/O|INPUT||||||||| +P77|nRAMLWE|O|I/O|OUTPUT||||||||| +P78|A_FSB<3>|I|I/O|INPUT||||||||| +P79|nRAMUWE|O|I/O|OUTPUT||||||||| +P80|A_FSB<15>|I|I/O|INPUT||||||||| +P81|nROMWE|O|I/O|OUTPUT||||||||| +P82|A_FSB<13>|I|I/O|INPUT||||||||| +P83|TDO||TDO|||||||||| +P84|GND||GND|||||||||| +P85|nVPA_FSB|O|I/O|OUTPUT||||||||| +P86|A_FSB<11>|I|I/O|INPUT||||||||| +P87|RA<0>|O|I/O|OUTPUT||||||||| +P88|VCC||VCCIO|||||||||| +P89|A_FSB<10>|I|I/O|INPUT||||||||| +P90|A_FSB<1>|I|I/O|INPUT||||||||| +P91|RA<2>|O|I/O|OUTPUT||||||||| +P92|A_FSB<12>|I|I/O|INPUT||||||||| +P93|A_FSB<14>|I|I/O|INPUT||||||||| +P94|RA<4>|O|I/O|OUTPUT||||||||| +P95|A_FSB<16>|I|I/O|INPUT||||||||| +P96|A_FSB<17>|I|I/O|INPUT||||||||| +P97|nDinOE|O|I/O|OUTPUT||||||||| +P98|VCC||VCCINT|||||||||| +P99|nRES|GSR/I|I/O/GSR|||||||||| +P100|GND||GND|||||||||| + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/cpld/XC95144XL/MXSE.pnx b/cpld/XC95144XL/MXSE.pnx index ad3e656..500291d 100644 --- a/cpld/XC95144XL/MXSE.pnx +++ b/cpld/XC95144XL/MXSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/MXSE.rpt b/cpld/XC95144XL/MXSE.rpt index 778e983..add4798 100644 --- a/cpld/XC95144XL/MXSE.rpt +++ b/cpld/XC95144XL/MXSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: MXSE Date: 10-24-2021, 7:13AM +Design Name: MXSE Date: 12-11-2021, 6:24AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -108/144 ( 75%) 448 /720 ( 62%) 237/432 ( 55%) 82 /144 ( 57%) 67 /81 ( 83%) +110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 39/54 82/90 11/11* -FB2 18/18* 38/54 33/90 6/10 -FB3 18/18* 29/54 51/90 7/10 -FB4 12/18 36/54 81/90 10/10* -FB5 8/18 21/54 81/90 3/10 -FB6 14/18 41/54 75/90 10/10* -FB7 18/18* 20/54 35/90 10/10* -FB8 11/18 13/54 10/90 10/10* +FB1 9/18 36/54 80/90 11/11* +FB2 18/18* 35/54 34/90 6/10 +FB3 14/18 35/54 77/90 6/10 +FB4 10/18 36/54 82/90 10/10* +FB5 17/18 35/54 47/90 4/10 +FB6 18/18* 24/54 37/90 10/10* +FB7 17/18 35/54 76/90 10/10* +FB8 7/18 8/54 6/90 10/10* ----- ----- ----- ----- - 108/144 237/432 448/720 67/81 + 110/144 244/432 439/720 67/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 1 1 | ** Power Data ** -There are 108 macrocells in high performance mode (MCHP). +There are 110 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -66,160 +66,162 @@ Signal Total Total Loc Pin Pin Pin P Name Pts Inps No. Type Use Mode Rate State nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST -RA<0> 2 3 FB1_11 17 I/O O STD FAST -RA<3> 2 3 FB2_11 6 I/O O STD FAST -RA<4> 2 3 FB2_14 8 I/O O STD FAST -RA<6> 2 3 FB2_17 10 I/O O STD FAST -nLDS_IOB 3 7 FB3_5 24 I/O O STD FAST RESET -nDoutOE 2 7 FB3_11 29 I/O O STD FAST RESET -nAS_IOB 1 5 FB3_14 32 I/O O STD FAST RESET -nUDS_IOB 3 7 FB3_17 34 I/O O STD FAST RESET -nRAS 3 8 FB4_2 87 I/O O STD FAST -RA<1> 2 3 FB4_6 90 I/O O STD FAST -RA<2> 2 3 FB4_9 92 I/O O STD FAST -RA<5> 2 3 FB4_12 94 I/O O STD FAST -nVMA_IOB 2 9 FB4_15 96 I/O O STD FAST RESET -nDinOE 2 6 FB5_8 39 I/O O STD FAST -nROMCS 2 5 FB5_11 41 I/O O STD FAST -nADoutLE1 14 18 FB5_14 43 I/O O STD FAST SET +nOE 1 2 FB1_11 17 I/O O STD FAST +nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET +nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET +nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET +nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET +RA<0> 2 3 FB4_2 87 I/O O STD FAST +RA<2> 2 3 FB4_8 91 I/O O STD FAST +RA<4> 2 3 FB4_12 94 I/O O STD FAST +nDinOE 2 6 FB4_17 97 I/O O STD FAST +RA<1> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_8 39 I/O O STD FAST +nROMCS 2 5 FB5_12 42 I/O O STD FAST nCAS 1 1 FB6_2 74 I/O O STD FAST RESET -nOE 1 2 FB6_6 77 I/O O STD FAST -nRAMLWE 1 5 FB6_9 79 I/O O STD FAST -nRAMUWE 1 5 FB6_12 81 I/O O STD FAST -nROMWE 1 2 FB6_15 85 I/O O STD FAST -nVPA_FSB 1 2 FB6_17 86 I/O O STD FAST -RA<7> 2 3 FB7_2 50 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<9> 2 3 FB7_12 58 I/O O STD FAST -RA<11> 1 1 FB7_17 61 I/O O STD FAST -RA<10> 1 1 FB8_2 63 I/O O STD FAST -nADoutLE0 1 2 FB8_8 66 I/O O STD FAST +nRAMLWE 1 5 FB6_6 77 I/O O STD FAST +nRAMUWE 1 5 FB6_9 79 I/O O STD FAST +nROMWE 1 2 FB6_12 81 I/O O STD FAST +nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST +RA<5> 2 3 FB7_2 50 I/O O STD FAST +RA<6> 2 3 FB7_6 53 I/O O STD FAST +RA<7> 2 3 FB7_9 55 I/O O STD FAST +RA<8> 2 3 FB7_12 58 I/O O STD FAST +RA<9> 2 3 FB7_15 60 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +RA<10> 1 1 FB8_6 65 I/O O STD FAST +nADoutLE0 1 2 FB8_9 67 I/O O STD FAST nAoutOE 0 0 FB8_12 70 I/O O STD FAST -nDinLE 1 2 FB8_17 73 I/O O STD FAST RESET +nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET -** 76 Buried Nodes ** +** 78 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cs/nOverlay1 2 3 FB1_5 STD RESET -fsb/Ready2r 9 22 FB1_7 STD RESET -fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_8 STD -fsb/Ready1r 7 17 FB1_9 STD RESET -fsb/VPA 22 30 FB1_13 STD RESET -$OpTx$FX_DC$607 6 12 FB1_16 STD -cnt/RefCnt<7> 1 7 FB2_1 STD RESET -cnt/RefCnt<6> 1 6 FB2_2 STD RESET -cnt/RefCnt<5> 1 5 FB2_3 STD RESET -cnt/RefCnt<4> 1 4 FB2_4 STD RESET -cnt/RefCnt<3> 1 3 FB2_5 STD RESET -cnt/RefCnt<2> 1 2 FB2_6 STD RESET -cnt/RefCnt<1> 1 1 FB2_7 STD RESET -iobs/PS_FSM_FFd1 2 3 FB2_8 STD RESET +$OpTx$FX_DC$606 5 12 FB1_4 STD +fsb/Ready1r 7 17 FB1_7 STD RESET +fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD +fsb/Ready2r 9 22 FB1_12 STD RESET +fsb/VPA 22 30 FB1_15 STD RESET +$OpTx$FX_DC$602 2 5 FB1_17 STD +iobm/VPArr 1 1 FB2_1 STD RESET +iobm/VPArf 1 1 FB2_2 STD RESET +iobm/RESrr 1 1 FB2_3 STD RESET +iobm/RESrf 1 1 FB2_4 STD RESET +iobm/IOREQr 1 1 FB2_5 STD RESET +cnt/RefCnt<3> 1 3 FB2_6 STD RESET +cnt/RefCnt<2> 1 2 FB2_7 STD RESET +cnt/RefCnt<1> 1 1 FB2_8 STD RESET fsb/BERR1r 2 4 FB2_9 STD RESET -cs/nOverlay0 2 7 FB2_10 STD RESET -cnt/RefDone 2 10 FB2_12 STD RESET -$OpTx$FX_DC$603 2 5 FB2_13 STD -IOU0 3 5 FB2_15 STD RESET -IOL0 3 5 FB2_16 STD RESET +cnt/RefDone 2 10 FB2_10 STD RESET +cnt/TimeoutBPre 3 11 FB2_13 STD RESET +TimeoutB 3 12 FB2_15 STD RESET +TimeoutA 3 10 FB2_16 STD RESET iobs/IOReady 4 8 FB2_18 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB3_1 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB3_2 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB3_3 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB3_4 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB3_6 STD RESET -iobm/BERRrr 1 1 FB3_7 STD RESET -iobm/BERRrf 1 1 FB3_8 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB3_9 STD SET -ALE0M 2 7 FB3_10 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB3_12 STD RESET -BERR_IOBS 4 8 FB3_13 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB3_15 STD RESET -IOBERR 8 11 FB3_16 STD RESET -IOACT 10 15 FB3_18 STD RESET +IORW0 18 20 FB3_3 STD RESET +iobs/IOACTr 1 1 FB3_4 STD RESET +iobs/Clear1 1 3 FB3_6 STD RESET +fsb/ASrf 1 1 FB3_7 STD RESET +ALE0S 1 2 FB3_8 STD RESET +iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET +iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET +IOREQ 14 19 FB3_13 STD RESET +iobm/ETACK 1 6 FB3_14 STD RESET +iobs/IORW1 16 19 FB3_17 STD RESET +ram/BACTr 1 2 FB3_18 STD RESET ram/RASEL 20 15 FB4_1 STD RESET -fsb/Ready0r 3 8 FB4_3 STD RESET -iobm/ETACK 1 6 FB4_5 STD RESET -ram/RAMReady 16 15 FB4_7 STD RESET -ram/RAMDIS2 7 15 FB4_11 STD RESET +cs/nOverlay1 2 3 FB4_3 STD RESET +iobs/Load1 14 18 FB4_6 STD RESET +iobs/Once 17 18 FB4_10 STD RESET +ram/RAMDIS1 18 15 FB4_14 STD RESET +fsb/BERR0r 3 8 FB4_16 STD RESET +IOACT 10 15 FB5_1 STD RESET +iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET +iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RAMDIS1 18 15 FB4_13 STD RESET -ram/Once 5 10 FB4_16 STD RESET -IORW0 18 20 FB5_3 STD RESET -iobs/PS_FSM_FFd2 14 19 FB5_7 STD RESET -IOREQ 14 19 FB5_9 STD RESET -ALE0S 1 2 FB5_15 STD RESET -iobs/IORW1 16 19 FB5_17 STD RESET -iobs/Once 17 18 FB6_1 STD RESET -fsb/BERR0r 3 8 FB6_3 STD RESET -ram/RS_FSM_FFd3 11 14 FB6_4 STD RESET -TimeoutB 3 12 FB6_5 STD RESET -TimeoutA 3 11 FB6_7 STD RESET -ram/RS_FSM_FFd1 5 10 FB6_8 STD RESET -ram/RS_FSM_FFd2 13 14 FB6_10 STD RESET -iobs/Load1 14 18 FB6_13 STD RESET -iobm/VPArr 1 1 FB7_1 STD RESET -iobm/VPArf 1 1 FB7_3 STD RESET -iobm/RESrr 1 1 FB7_4 STD RESET -iobm/RESrf 1 1 FB7_5 STD RESET -iobm/IOREQr 1 1 FB7_6 STD RESET -iobm/Er2 1 1 FB7_7 STD RESET -iobm/DTACKrr 1 1 FB7_9 STD RESET -iobm/DTACKrf 1 1 FB7_10 STD RESET -iobs/IOL1 2 2 FB7_11 STD RESET -iobm/ES<3> 3 6 FB7_13 STD RESET -iobm/ES<1> 3 4 FB7_14 STD RESET -iobm/ES<0> 3 7 FB7_15 STD RESET -iobm/ES<4> 4 7 FB7_16 STD RESET -iobm/ES<2> 5 7 FB7_18 STD RESET -ram/BACTr 1 2 FB8_10 STD RESET -iobs/IOACTr 1 1 FB8_11 STD RESET -iobm/Er 1 1 FB8_13 STD RESET -fsb/ASrf 1 1 FB8_14 STD RESET -cnt/RefCnt<0> 0 0 FB8_15 STD RESET -RefAck 1 2 FB8_16 STD RESET -iobs/IOU1 2 2 FB8_18 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET +iobm/BERRrr 1 1 FB5_7 STD RESET +iobm/BERRrf 1 1 FB5_9 STD RESET +cnt/RefCnt<0> 0 0 FB5_10 STD RESET +iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET +ALE0M 2 7 FB5_13 STD RESET +iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET +BERR_IOBS 4 8 FB5_15 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOBERR 8 11 FB5_18 STD RESET +iobm/Er2 1 1 FB6_1 STD RESET +iobm/DTACKrr 1 1 FB6_3 STD RESET +iobm/DTACKrf 1 1 FB6_4 STD RESET +RefAck 1 2 FB6_5 STD RESET +iobs/IOU1 2 2 FB6_7 STD RESET +iobs/IOL1 2 2 FB6_8 STD RESET +iobm/ES<3> 3 6 FB6_10 STD RESET +iobm/ES<1> 3 4 FB6_11 STD RESET +iobm/ES<0> 3 7 FB6_13 STD RESET +IOU0 3 5 FB6_14 STD RESET +IOL0 3 5 FB6_16 STD RESET +iobm/ES<4> 4 7 FB6_17 STD RESET +iobm/ES<2> 5 7 FB6_18 STD RESET +cnt/RefCnt<5> 1 5 FB7_1 STD RESET +cnt/RefCnt<4> 1 4 FB7_3 STD RESET +cs/nOverlay0 2 7 FB7_4 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET +ram/Once 5 10 FB7_10 STD RESET +ram/RAMDIS2 7 15 FB7_11 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET +cnt/RefCnt<7> 1 7 FB7_14 STD RESET +cnt/RefCnt<6> 1 6 FB7_16 STD RESET +ram/RAMReady 16 15 FB7_17 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET +iobm/Er 1 1 FB8_18 STD RESET ** 35 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use -A_FSB<15> FB1_3 12 I/O I -A_FSB<1> FB1_5 13 I/O I -A_FSB<9> FB1_8 15 I/O I -A_FSB<5> FB1_9 16 I/O I -A_FSB<2> FB1_12 18 I/O I +A_FSB<9> FB1_3 12 I/O I +nAS_FSB FB1_5 13 I/O I +A_FSB<5> FB1_8 15 I/O I +A_FSB<2> FB1_9 16 I/O I +nBERR_IOB FB1_12 18 I/O I A_FSB<6> FB1_14 19 I/O I -nBERR_IOB FB1_15 20 I/O I +A_FSB<7> FB1_15 20 I/O I CLK2X_IOB FB1_17 22~ GCK/I/O GCK nRES FB2_2 99~ GSR/I/O GSR/I -nAS_FSB FB2_12 7 I/O I -nUDS_FSB FB2_15 9 I/O I +A_FSB<22> FB2_15 9 I/O I CLK_FSB FB3_2 23~ GCK/I/O GCK -nVPA_IOB FB3_6 25 I/O I +nLDS_FSB FB3_6 25 I/O I CLK_IOB FB3_8 27~ GCK/I/O GCK/I -A_FSB<13> FB4_5 89 I/O I -A_FSB<14> FB4_8 91 I/O I -A_FSB<17> FB4_11 93 I/O I -A_FSB<19> FB4_14 95 I/O I -A_FSB<21> FB4_17 97 I/O I -A_FSB<22> FB6_5 76 I/O I -A_FSB<20> FB6_8 78 I/O I -A_FSB<18> FB6_11 80 I/O I -A_FSB<16> FB6_14 82 I/O I -E_IOB FB7_5 52 I/O I -nDTACK_IOB FB7_6 53 I/O I -A_FSB<3> FB7_9 55 I/O I -A_FSB<7> FB7_11 56 I/O I -A_FSB<4> FB7_14 59 I/O I -A_FSB<8> FB7_15 60 I/O I -nWE_FSB FB8_5 64 I/O I -A_FSB<12> FB8_6 65 I/O I -A_FSB<11> FB8_9 67 I/O I -nLDS_FSB FB8_11 68 I/O I -A_FSB<23> FB8_14 71 I/O I -A_FSB<10> FB8_15 72 I/O I +A_FSB<10> FB4_5 89 I/O I +A_FSB<1> FB4_6 90 I/O I +A_FSB<12> FB4_9 92 I/O I +A_FSB<14> FB4_11 93 I/O I +A_FSB<16> FB4_14 95 I/O I +A_FSB<17> FB4_15 96 I/O I +E_IOB FB5_17 49 I/O I +A_FSB<18> FB6_5 76 I/O I +A_FSB<3> FB6_8 78 I/O I +A_FSB<15> FB6_11 80 I/O I +A_FSB<13> FB6_14 82 I/O I +A_FSB<11> FB6_17 86 I/O I +nUDS_FSB FB7_5 52 I/O I +nDTACK_IOB FB7_8 54 I/O I +A_FSB<4> FB7_11 56 I/O I +nVPA_IOB FB7_14 59 I/O I +nWE_FSB FB7_17 61 I/O I +A_FSB<8> FB8_5 64 I/O I +A_FSB<23> FB8_8 66 I/O I +A_FSB<21> FB8_11 68 I/O I +A_FSB<20> FB8_14 71 I/O I +A_FSB<19> FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -237,176 +239,172 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 \/5 0 FB1_1 (b) (b) nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O (unused) 0 0 /\5 0 FB1_3 12 I/O I -(unused) 0 0 /\5 0 FB1_4 (b) (b) -cs/nOverlay1 2 0 \/2 1 FB1_5 13 I/O I -nBERR_FSB 3 2<- \/4 0 FB1_6 14 I/O O -fsb/Ready2r 9 4<- 0 0 FB1_7 (b) (b) +$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) +(unused) 0 0 /\3 2 FB1_5 13 I/O I +nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O +fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) +(unused) 0 0 0 5 FB1_8 15 I/O I +(unused) 0 0 \/3 2 FB1_9 16 I/O I fsb/VPA__or00001/fsb/VPA__or00001_D2 - 8 3<- 0 0 FB1_8 15 I/O I -fsb/Ready1r 7 5<- /\3 0 FB1_9 16 I/O I -(unused) 0 0 /\5 0 FB1_10 (b) (b) -RA<0> 2 0 \/2 1 FB1_11 17 I/O O -(unused) 0 0 \/5 0 FB1_12 18 I/O I -fsb/VPA 22 17<- 0 0 FB1_13 (b) (b) -(unused) 0 0 /\5 0 FB1_14 19 I/O I -(unused) 0 0 /\5 0 FB1_15 20 I/O I -$OpTx$FX_DC$607 6 1<- 0 0 FB1_16 (b) (b) -(unused) 0 0 /\1 4 FB1_17 22 GCK/I/O GCK -(unused) 0 0 \/3 2 FB1_18 (b) (b) + 8 3<- 0 0 FB1_10 (b) (b) +nOE 1 0 \/4 0 FB1_11 17 I/O O +fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I +(unused) 0 0 \/5 0 FB1_13 (b) (b) +(unused) 0 0 \/5 0 FB1_14 19 I/O I +fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I +(unused) 0 0 /\5 0 FB1_16 (b) (b) +$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK +(unused) 0 0 \/5 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$603 14: A_FSB<20> 27: fsb/BERR1r - 2: $OpTx$FX_DC$607 15: A_FSB<21> 28: fsb/Ready0r - 3: A_FSB<10> 16: A_FSB<22> 29: fsb/Ready1r - 4: A_FSB<11> 17: A_FSB<23> 30: fsb/Ready2r - 5: A_FSB<12> 18: A_FSB<8> 31: fsb/VPA - 6: A_FSB<13> 19: A_FSB<9> 32: fsb/VPA__or00001/fsb/VPA__or00001_D2 - 7: A_FSB<14> 20: BERR_IOBS 33: iobs/IOReady - 8: A_FSB<15> 21: TimeoutA 34: nADoutLE1 - 9: A_FSB<16> 22: TimeoutB 35: nAS_FSB - 10: A_FSB<17> 23: cs/nOverlay0 36: nDTACK_FSB - 11: A_FSB<18> 24: cs/nOverlay1 37: nWE_FSB - 12: A_FSB<19> 25: fsb/ASrf 38: ram/RAMReady - 13: A_FSB<1> 26: fsb/BERR0r 39: ram/RASEL + 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r + 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r + 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r + 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r + 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA + 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 + 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady + 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 + 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB + 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB + 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB + 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB X.XXXXXXXXXX.XXXXXXXX..XXXXXXX..XXXXXX.. 32 -cs/nOverlay1 ......................X.X.........X..... 3 -nBERR_FSB .............XXXX..X.X...XX.......X..... 9 -fsb/Ready2r ..XXXXXXXXXX.XXXXXX.X..XX....X....X.X... 22 +nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 +$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 +nBERR_FSB ............XXXX..X.X..XX.......X....... 9 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 fsb/VPA__or00001/fsb/VPA__or00001_D2 - ..XXXXXXXXXX.XXXXXX.X..X.....X......X... 20 -fsb/Ready1r .....XX.XXXX.XXXX......XX...X...XXX.X... 17 -RA<0> ..X.........X.........................X. 3 -fsb/VPA XXXXXXXXXXXX.XXXXXXX...XXXXXX.XXX.X..X.. 30 -$OpTx$FX_DC$607 .....XX.XXXX.XXX.......X.........X..X... 12 + ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 +nOE ................................X.X..... 2 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 +fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 +$OpTx$FX_DC$602 ............XXXX....X................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<7> 1 0 0 4 FB2_1 (b) (b) -cnt/RefCnt<6> 1 0 0 4 FB2_2 99 GSR/I/O GSR/I -cnt/RefCnt<5> 1 0 0 4 FB2_3 (b) (b) -cnt/RefCnt<4> 1 0 0 4 FB2_4 (b) (b) -cnt/RefCnt<3> 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/RefCnt<2> 1 0 0 4 FB2_6 2 GTS/I/O (b) -cnt/RefCnt<1> 1 0 0 4 FB2_7 (b) (b) -iobs/PS_FSM_FFd1 2 0 0 3 FB2_8 3 GTS/I/O (b) +iobm/VPArr 1 0 0 4 FB2_1 (b) (b) +iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/RESrr 1 0 0 4 FB2_3 (b) (b) +iobm/RESrf 1 0 0 4 FB2_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) +cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) -cs/nOverlay0 2 0 0 3 FB2_10 (b) (b) -RA<3> 2 0 0 3 FB2_11 6 I/O O -cnt/RefDone 2 0 0 3 FB2_12 7 I/O I -$OpTx$FX_DC$603 2 0 0 3 FB2_13 (b) (b) -RA<4> 2 0 0 3 FB2_14 8 I/O O -IOU0 3 0 0 2 FB2_15 9 I/O I -IOL0 3 0 0 2 FB2_16 (b) (b) -RA<6> 2 0 0 3 FB2_17 10 I/O O +cnt/RefDone 2 0 0 3 FB2_10 (b) (b) +nLDS_IOB 3 0 0 2 FB2_11 6 I/O O +nUDS_IOB 3 0 0 2 FB2_12 7 I/O O +cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) +nDoutOE 2 0 0 3 FB2_14 8 I/O O +TimeoutB 3 0 0 2 FB2_15 9 I/O I +TimeoutA 3 0 0 2 FB2_16 (b) (b) +nAS_IOB 1 0 0 4 FB2_17 10 I/O O iobs/IOReady 4 0 0 1 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: TimeoutB 27: iobs/IOACTr - 2: A_FSB<14> 15: cnt/RefCnt<0> 28: iobs/IOL1 - 3: A_FSB<16> 16: cnt/RefCnt<1> 29: iobs/IOReady - 4: A_FSB<20> 17: cnt/RefCnt<2> 30: iobs/IOU1 - 5: A_FSB<21> 18: cnt/RefCnt<3> 31: iobs/Once - 6: A_FSB<22> 19: cnt/RefCnt<4> 32: iobs/PS_FSM_FFd1 - 7: A_FSB<23> 20: cnt/RefCnt<5> 33: iobs/PS_FSM_FFd2 - 8: A_FSB<4> 21: cnt/RefCnt<6> 34: nADoutLE1 - 9: A_FSB<5> 22: cnt/RefCnt<7> 35: nAS_FSB - 10: A_FSB<7> 23: cnt/RefDone 36: nLDS_FSB - 11: BERR_IOBS 24: cs/nOverlay0 37: nUDS_FSB - 12: IOBERR 25: fsb/ASrf 38: ram/RASEL - 13: RefAck 26: fsb/BERR1r + 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 + 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 + 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 + 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr + 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady + 6: IOU0 18: cnt/RefDone 30: iobs/Once + 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 + 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 + 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB + 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES + 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB + 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<7> ..............XXXXXXX................... 7 -cnt/RefCnt<6> ..............XXXXXX.................... 6 -cnt/RefCnt<5> ..............XXXXX..................... 5 -cnt/RefCnt<4> ..............XXXX...................... 4 -cnt/RefCnt<3> ..............XXX....................... 3 -cnt/RefCnt<2> ..............XX........................ 2 -cnt/RefCnt<1> ..............X......................... 1 -iobs/PS_FSM_FFd1 ..........................X....XX....... 3 -fsb/BERR1r ..........X.............XX........X..... 4 -cs/nOverlay0 ...XXXX................XX.........X..... 7 -RA<3> X......X.............................X.. 3 -cnt/RefDone ............X.XXXXXXXXX................. 10 -$OpTx$FX_DC$603 ...XXXX......X.......................... 5 -RA<4> .X......X............................X.. 3 -IOU0 .............................X.XXX..X... 5 -IOL0 ...........................X...XXX.X.... 5 -RA<6> ..X......X...........................X.. 3 -iobs/IOReady ...........X............X.X.X.X.XXX..... 8 +iobm/VPArr ..................................X..... 1 +iobm/VPArf ..................................X..... 1 +iobm/RESrr .................................X...... 1 +iobm/RESrf .................................X...... 1 +iobm/IOREQr ...X.................................... 1 +cnt/RefCnt<3> .........XXX............................ 3 +cnt/RefCnt<2> .........XX............................. 2 +cnt/RefCnt<1> .........X.............................. 1 +fsb/BERR1r X..................XX...........X....... 4 +cnt/RefDone ......X..XXXXXXXXX...................... 10 +nLDS_IOB ..X.X.................XXXXX............. 7 +nUDS_IOB ....XX................XXXXX............. 7 +cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 +nDoutOE ....X................XXXXXX............. 7 +TimeoutB ........XXXXXXXXX.XX............X....... 12 +TimeoutA .......X.XXXXXXX...X............X....... 10 +nAS_IOB ......................XXXXX............. 5 +iobs/IOReady .X.................X.......XXXXXX....... 8 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 29/25 -Number of signals used by logic mapping into function block: 29 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/IOS_FSM_FFd7 1 0 /\3 1 FB3_1 (b) (b) -iobm/IOS_FSM_FFd6 1 0 0 4 FB3_2 23 GCK/I/O GCK -iobm/IOS_FSM_FFd5 1 0 0 4 FB3_3 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB3_4 (b) (b) -nLDS_IOB 3 0 0 2 FB3_5 24 I/O O -iobm/IOS_FSM_FFd1 1 0 0 4 FB3_6 25 I/O I -iobm/BERRrr 1 0 0 4 FB3_7 (b) (b) -iobm/BERRrf 1 0 0 4 FB3_8 27 GCK/I/O GCK/I -iobm/IOS_FSM_FFd8 2 0 0 3 FB3_9 28 I/O (b) -ALE0M 2 0 0 3 FB3_10 (b) (b) -nDoutOE 2 0 0 3 FB3_11 29 I/O O -iobm/IOS_FSM_FFd2 4 0 0 1 FB3_12 30 I/O (b) -BERR_IOBS 4 0 0 1 FB3_13 (b) (b) -nAS_IOB 1 0 \/3 1 FB3_14 32 I/O O -iobm/IOS_FSM_FFd3 5 3<- \/3 0 FB3_15 33 I/O (b) -IOBERR 8 3<- 0 0 FB3_16 (b) (b) -nUDS_IOB 3 0 \/2 0 FB3_17 34 I/O O -IOACT 10 5<- 0 0 FB3_18 (b) (b) +(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK +IORW0 18 13<- 0 0 FB3_3 (b) (b) +iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) +nRAS 3 0 0 2 FB3_5 24 I/O O +iobs/Clear1 1 0 0 4 FB3_6 25 I/O I +fsb/ASrf 1 0 0 4 FB3_7 (b) (b) +ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I +iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) +(unused) 0 0 /\5 0 FB3_10 (b) (b) +nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O +iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) +IOREQ 14 9<- 0 0 FB3_13 (b) (b) +iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) +nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_16 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) +ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: BERR_IOBS 11: iobm/DTACKrr 21: iobm/IOS_FSM_FFd8 - 2: CLK_IOB 12: iobm/ETACK 22: iobm/RESrf - 3: IOBERR 13: iobm/IOREQr 23: iobm/RESrr - 4: IOL0 14: iobm/IOS_FSM_FFd1 24: iobs/IOACTr - 5: IORW0 15: iobm/IOS_FSM_FFd2 25: iobs/Once - 6: IOU0 16: iobm/IOS_FSM_FFd3 26: iobs/PS_FSM_FFd2 - 7: fsb/ASrf 17: iobm/IOS_FSM_FFd4 27: nADoutLE1 - 8: iobm/BERRrf 18: iobm/IOS_FSM_FFd5 28: nAS_FSB - 9: iobm/BERRrr 19: iobm/IOS_FSM_FFd6 29: nBERR_IOB - 10: iobm/DTACKrf 20: iobm/IOS_FSM_FFd7 + 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 + 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 + 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once + 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 + 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 + 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 + 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB + 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB + 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB + 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 + 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 + 12: IORW0 24: iobs/IOACTr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/IOS_FSM_FFd7 .X..........X.......X................... 3 -iobm/IOS_FSM_FFd6 ...................X.................... 1 -iobm/IOS_FSM_FFd5 ..................X..................... 1 -iobm/IOS_FSM_FFd4 .................X...................... 1 -nLDS_IOB ...XX..........XXXXX.................... 7 -iobm/IOS_FSM_FFd1 ..............X......................... 1 -iobm/BERRrr ............................X........... 1 -iobm/BERRrf ............................X........... 1 -iobm/IOS_FSM_FFd8 .X..........XX......X................... 4 -ALE0M ............X..XXXXXX................... 7 -nDoutOE ....X.........XXXXXX.................... 7 -iobm/IOS_FSM_FFd2 .X.....XXXXX...X.....XX................. 9 -BERR_IOBS X.X...X................XXXXX............ 8 -nAS_IOB ...............XXXXX.................... 5 -iobm/IOS_FSM_FFd3 .X.....XXXXX...XX....XX................. 10 -IOBERR .XX....XXXXX...X.....XX.....X........... 11 -nUDS_IOB ....XX.........XXXXX.................... 7 -IOACT .X.....XXXXXX..XXXXXXXX................. 15 +IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 +iobs/IOACTr ..........X............................. 1 +nRAS .......XXX..XX................X..XX..... 8 +iobs/Clear1 ...........................XXX.......... 3 +fsb/ASrf ..............................X......... 1 +ALE0S ...........................XX........... 2 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 +nADoutLE1 ......................X..X...X.......... 3 +iobs/PS_FSM_FFd1 .......................X...XX........... 3 +IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 +iobm/ETACK ...............XXXXX...........X........ 6 +nVMA_IOB ..........X....XXXXXXX.........X........ 9 +iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 +ram/BACTr ..............X...............X......... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** @@ -415,256 +413,264 @@ Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) -nRAS 3 3<- /\5 0 FB4_2 87 I/O O -fsb/Ready0r 3 1<- /\3 0 FB4_3 (b) (b) -(unused) 0 0 /\1 4 FB4_4 (b) (b) -iobm/ETACK 1 0 \/2 2 FB4_5 89 I/O I -RA<1> 2 2<- \/5 0 FB4_6 90 I/O O -ram/RAMReady 16 11<- 0 0 FB4_7 (b) (b) -(unused) 0 0 /\5 0 FB4_8 91 I/O I -RA<2> 2 0 /\1 2 FB4_9 92 I/O O -(unused) 0 0 \/4 1 FB4_10 (b) (b) -ram/RAMDIS2 7 4<- \/2 0 FB4_11 93 I/O I -RA<5> 2 2<- \/5 0 FB4_12 94 I/O O -ram/RAMDIS1 18 13<- 0 0 FB4_13 (b) (b) -(unused) 0 0 /\5 0 FB4_14 95 I/O I -nVMA_IOB 2 0 /\3 0 FB4_15 96 I/O O -ram/Once 5 0 0 0 FB4_16 (b) (b) -(unused) 0 0 \/5 0 FB4_17 97 I/O I +RA<0> 2 2<- /\5 0 FB4_2 87 I/O O +cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) +(unused) 0 0 \/4 1 FB4_5 89 I/O I +iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I +(unused) 0 0 /\5 0 FB4_7 (b) (b) +RA<2> 2 0 \/2 1 FB4_8 91 I/O O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +iobs/Once 17 12<- 0 0 FB4_10 (b) (b) +(unused) 0 0 /\5 0 FB4_11 93 I/O I +RA<4> 2 0 \/3 0 FB4_12 94 I/O O +(unused) 0 0 \/5 0 FB4_13 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) +nDinOE 2 2<- \/5 0 FB4_17 97 I/O O (unused) 0 0 \/5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 13: cnt/RefCnt<6> 25: iobm/VPArr - 2: A_FSB<12> 14: cnt/RefCnt<7> 26: nAS_FSB - 3: A_FSB<15> 15: cnt/RefDone 27: nVMA_IOB - 4: A_FSB<21> 16: cs/nOverlay1 28: ram/BACTr - 5: A_FSB<22> 17: fsb/ASrf 29: ram/Once - 6: A_FSB<23> 18: fsb/Ready0r 30: ram/RAMDIS1 - 7: A_FSB<2> 19: iobm/ES<0> 31: ram/RAMDIS2 - 8: A_FSB<3> 20: iobm/ES<1> 32: ram/RAMReady - 9: A_FSB<6> 21: iobm/ES<2> 33: ram/RASEL - 10: IOACT 22: iobm/ES<3> 34: ram/RS_FSM_FFd1 - 11: RefAck 23: iobm/ES<4> 35: ram/RS_FSM_FFd2 - 12: cnt/RefCnt<5> 24: iobm/VPArf 36: ram/RS_FSM_FFd3 + 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once + 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 + 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 + 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 + 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB + 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB + 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr + 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once + 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL + 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 + 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 + 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ...XXX.....XXXXXX........X.XX....XXX.... 15 -nRAS ...XXX....X....X.........X...XX......... 8 -fsb/Ready0r ...XXX.........XXX.......X.....X........ 8 -iobm/ETACK ..................XXXXX...X............. 6 -RA<1> X.....X.........................X....... 3 -ram/RAMReady ...XXX.....XXXXXX........X.XX....XXX.... 15 -RA<2> .X.....X........................X....... 3 -ram/RAMDIS2 ...XXX.....XXXXXX........X..X.X..XXX.... 15 -RA<5> ..X.....X.......................X....... 3 -ram/RAMDIS1 ...XXX.....XXXXXX........X.XX....XXX.... 15 -nVMA_IOB .........X........XXXXXXX.X............. 9 -ram/Once ...XXX.........XX........X..X....XXX.... 10 +ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +RA<0> X.......X.......................X....... 3 +cs/nOverlay1 ....................X.X.....X........... 3 +iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<2> .X...........X..................X....... 3 +iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<4> ...X..........X.................X....... 3 +ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +fsb/BERR0r .........XXXX..X......XX....X........... 8 +nDinOE .........XXXX...............XX.......... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 21/33 -Number of signals used by logic mapping into function block: 21 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\1 4 FB5_1 (b) (b) -(unused) 0 0 \/5 0 FB5_2 35 I/O (b) -IORW0 18 13<- 0 0 FB5_3 (b) (b) -(unused) 0 0 /\5 0 FB5_4 (b) (b) -(unused) 0 0 /\3 2 FB5_5 36 I/O (b) -(unused) 0 0 \/5 0 FB5_6 37 I/O (b) -iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_7 (b) (b) -nDinOE 2 1<- /\4 0 FB5_8 39 I/O O -IOREQ 14 10<- /\1 0 FB5_9 40 I/O (b) -(unused) 0 0 /\5 0 FB5_10 (b) (b) -nROMCS 2 2<- /\5 0 FB5_11 41 I/O O -(unused) 0 0 /\2 3 FB5_12 42 I/O (b) -(unused) 0 0 \/5 0 FB5_13 (b) (b) -nADoutLE1 14 9<- 0 0 FB5_14 43 I/O O -ALE0S 1 0 /\4 0 FB5_15 46 I/O (b) -(unused) 0 0 \/5 0 FB5_16 (b) (b) -iobs/IORW1 16 11<- 0 0 FB5_17 49 I/O (b) -(unused) 0 0 /\5 0 FB5_18 (b) (b) +IOACT 10 5<- 0 0 FB5_1 (b) (b) +RA<1> 2 0 /\3 0 FB5_2 35 I/O O +iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) +iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) +iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) +RA<3> 2 0 0 3 FB5_8 39 I/O O +iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) +cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) +iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) +nROMCS 2 0 0 3 FB5_12 42 I/O O +ALE0M 2 0 0 3 FB5_13 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) +BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) +iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O I +IOBERR 8 5<- \/2 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 8: A_FSB<21> 15: iobs/IORW1 - 2: A_FSB<14> 9: A_FSB<22> 16: iobs/Once - 3: A_FSB<16> 10: A_FSB<23> 17: iobs/PS_FSM_FFd1 - 4: A_FSB<17> 11: IORW0 18: iobs/PS_FSM_FFd2 - 5: A_FSB<18> 12: cs/nOverlay1 19: nADoutLE1 - 6: A_FSB<19> 13: fsb/ASrf 20: nAS_FSB - 7: A_FSB<20> 14: iobs/IOACTr 21: nWE_FSB + 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 + 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 + 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf + 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr + 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr + 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once + 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 + 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 + 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB + 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB + 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL + 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 XXXXXXXXXXXXX.XXXXXXX................... 20 -iobs/PS_FSM_FFd2 XXXXXXXXXX.XXX.XXXXXX................... 19 -nDinOE ......XXXX.........XX................... 6 -IOREQ XXXXXXXXXX.XXX.XXXXXX................... 19 -nROMCS ......XXXX.X............................ 5 -nADoutLE1 XXXXXXXXXX.XX..XXXXXX................... 18 -ALE0S ................XX...................... 2 -iobs/IORW1 XXXXXXXXXX.XX.XXXXXXX................... 19 +IOACT .........X...XXXXXX.XXXXXXXX............ 15 +RA<1> X.....X...........................X..... 3 +iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 +iobm/IOS_FSM_FFd6 ........................X............... 1 +iobm/IOS_FSM_FFd5 .......................X................ 1 +iobm/IOS_FSM_FFd4 ......................X................. 1 +iobm/BERRrr .................................X...... 1 +RA<3> .X.....X..........................X..... 3 +iobm/BERRrf .................................X...... 1 +cnt/RefCnt<0> ........................................ 0 +iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 +nROMCS ..XXXX.....X............................ 5 +ALE0M ..................X.XXXXXX.............. 7 +iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 +BERR_IOBS ........X.X.X...............XXXXX....... 8 +iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 +IOBERR .........XX..XXXXX..X.....XX.....X...... 11 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Once 17 12<- 0 0 FB6_1 (b) (b) -nCAS 1 0 /\4 0 FB6_2 74 I/O O -fsb/BERR0r 3 0 \/2 0 FB6_3 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB6_4 (b) (b) -TimeoutB 3 2<- /\4 0 FB6_5 76 I/O I -nOE 1 0 /\2 2 FB6_6 77 I/O O -TimeoutA 3 0 0 2 FB6_7 (b) (b) -ram/RS_FSM_FFd1 5 0 0 0 FB6_8 78 I/O I -nRAMLWE 1 0 \/3 1 FB6_9 79 I/O O -ram/RS_FSM_FFd2 13 8<- 0 0 FB6_10 (b) (b) -(unused) 0 0 /\5 0 FB6_11 80 I/O I -nRAMUWE 1 0 \/4 0 FB6_12 81 I/O O -iobs/Load1 14 9<- 0 0 FB6_13 (b) (b) -(unused) 0 0 /\5 0 FB6_14 82 I/O I -nROMWE 1 0 0 4 FB6_15 85 I/O O -(unused) 0 0 0 5 FB6_16 (b) -nVPA_FSB 1 0 \/3 1 FB6_17 86 I/O O -(unused) 0 0 \/5 0 FB6_18 (b) (b) +iobm/Er2 1 0 0 4 FB6_1 (b) (b) +nCAS 1 0 0 4 FB6_2 74 I/O O +iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) +iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) +RefAck 1 0 0 4 FB6_5 76 I/O I +nRAMLWE 1 0 0 4 FB6_6 77 I/O O +iobs/IOU1 2 0 0 3 FB6_7 (b) (b) +iobs/IOL1 2 0 0 3 FB6_8 78 I/O I +nRAMUWE 1 0 0 4 FB6_9 79 I/O O +iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I +nROMWE 1 0 0 4 FB6_12 81 I/O O +iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) +IOU0 3 0 0 2 FB6_14 82 I/O I +nVPA_FSB 1 0 0 4 FB6_15 85 I/O O +IOL0 3 0 0 2 FB6_16 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I +iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: cnt/RefCnt<2> 29: nADoutLE1 - 2: A_FSB<14> 16: cnt/RefCnt<3> 30: nAS_FSB - 3: A_FSB<16> 17: cnt/RefCnt<4> 31: nLDS_FSB - 4: A_FSB<17> 18: cnt/RefCnt<5> 32: nUDS_FSB - 5: A_FSB<18> 19: cnt/RefCnt<6> 33: nWE_FSB - 6: A_FSB<19> 20: cnt/RefCnt<7> 34: ram/BACTr - 7: A_FSB<20> 21: cnt/RefDone 35: ram/Once - 8: A_FSB<21> 22: cs/nOverlay1 36: ram/RAMDIS1 - 9: A_FSB<22> 23: fsb/ASrf 37: ram/RAMDIS2 - 10: A_FSB<23> 24: fsb/BERR0r 38: ram/RASEL - 11: TimeoutA 25: fsb/VPA 39: ram/RS_FSM_FFd1 - 12: TimeoutB 26: iobs/Once 40: ram/RS_FSM_FFd2 - 13: cnt/RefCnt<0> 27: iobs/PS_FSM_FFd1 41: ram/RS_FSM_FFd3 - 14: cnt/RefCnt<1> 28: iobs/PS_FSM_FFd2 - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -iobs/Once XXXXXXXXXX...........XX..XXXXX..X................. 18 -nCAS .....................................X............ 1 -fsb/BERR0r ......XXXX.X..........XX.....X.................... 8 -ram/RS_FSM_FFd3 .......XXX.......XXXXXX......X....X...XXX......... 14 -TimeoutB ..........XXXXXXXXXX..X......X.................... 12 -nOE .............................X..X................. 2 -TimeoutA ..........X.XXXXXXXX..X......X.................... 11 -ram/RS_FSM_FFd1 .......XXX...........XX......X....X...XXX......... 10 -nRAMLWE .............................XX.X..XX............. 5 -ram/RS_FSM_FFd2 .......XXX.......XXXXXX......X...X....XXX......... 14 -nRAMUWE .............................X.XX..XX............. 5 -iobs/Load1 XXXXXXXXXX...........XX..XXXXX..X................. 18 -nROMWE .............................X..X................. 2 -nVPA_FSB ........................X....X.................... 2 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 20/34 -Number of signals used by logic mapping into function block: 20 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB7_1 (b) (b) -RA<7> 2 0 0 3 FB7_2 50 I/O O -iobm/VPArf 1 0 0 4 FB7_3 (b) (b) -iobm/RESrr 1 0 0 4 FB7_4 (b) (b) -iobm/RESrf 1 0 0 4 FB7_5 52 I/O I -iobm/IOREQr 1 0 0 4 FB7_6 53 I/O I -iobm/Er2 1 0 0 4 FB7_7 (b) (b) -RA<8> 2 0 0 3 FB7_8 54 I/O O -iobm/DTACKrr 1 0 0 4 FB7_9 55 I/O I -iobm/DTACKrf 1 0 0 4 FB7_10 (b) (b) -iobs/IOL1 2 0 0 3 FB7_11 56 I/O I -RA<9> 2 0 0 3 FB7_12 58 I/O O -iobm/ES<3> 3 0 0 2 FB7_13 (b) (b) -iobm/ES<1> 3 0 0 2 FB7_14 59 I/O I -iobm/ES<0> 3 0 0 2 FB7_15 60 I/O I -iobm/ES<4> 4 0 0 1 FB7_16 (b) (b) -RA<11> 1 0 0 4 FB7_17 61 I/O O -iobm/ES<2> 5 0 0 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<17> 8: iobm/ES<0> 15: iobs/Load1 - 2: A_FSB<18> 9: iobm/ES<1> 16: nDTACK_IOB - 3: A_FSB<19> 10: iobm/ES<2> 17: nLDS_FSB - 4: A_FSB<20> 11: iobm/ES<3> 18: nRES - 5: A_FSB<8> 12: iobm/ES<4> 19: nVPA_IOB - 6: A_FSB<9> 13: iobm/Er 20: ram/RASEL - 7: IOREQ 14: iobm/Er2 + 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB + 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB + 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB + 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 + 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 + 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL + 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 + 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..................X..................... 1 -RA<7> X...X..............X.................... 3 -iobm/VPArf ..................X..................... 1 -iobm/RESrr .................X...................... 1 -iobm/RESrf .................X...................... 1 -iobm/IOREQr ......X................................. 1 -iobm/Er2 ............X........................... 1 -RA<8> .X...X.............X.................... 3 +iobm/Er2 ......X................................. 1 +nCAS .....................X.................. 1 iobm/DTACKrr ...............X........................ 1 iobm/DTACKrf ...............X........................ 1 -iobs/IOL1 ..............X.X....................... 2 -RA<9> ..XX...............X.................... 3 -iobm/ES<3> .......XXXX.XX.......................... 6 -iobm/ES<1> .......XX...XX.......................... 4 -iobm/ES<0> .......XXXXXXX.......................... 7 -iobm/ES<4> .......XXXXXXX.......................... 7 -RA<11> ..X..................................... 1 -iobm/ES<2> .......XXXXXXX.......................... 7 +RefAck ......................XX................ 2 +nRAMLWE ..............X.X.XXX................... 5 +iobs/IOU1 ..........X......X...................... 2 +iobs/IOL1 ..........X.....X....................... 2 +nRAMUWE ..............X..XXXX................... 5 +iobm/ES<3> .XXXX.XX................................ 6 +iobm/ES<1> .XX...XX................................ 4 +nROMWE ..............X...X..................... 2 +iobm/ES<0> .XXXXXXX................................ 7 +IOU0 .........X.XXX...X...................... 5 +nVPA_FSB X.............X......................... 2 +IOL0 ........X..XXX..X....................... 5 +iobm/ES<4> .XXXXXXX................................ 7 +iobm/ES<2> .XXXXXXX................................ 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) +RA<5> 2 0 0 3 FB7_2 50 I/O O +cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) +cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I +RA<6> 2 0 /\3 0 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I +RA<7> 2 0 \/3 0 FB7_9 55 I/O O +ram/Once 5 3<- \/3 0 FB7_10 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I +RA<8> 2 1<- \/4 0 FB7_12 58 I/O O +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I +RA<9> 2 0 \/1 2 FB7_15 60 I/O O +cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) +ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I +(unused) 0 0 /\5 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf + 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r + 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB + 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr + 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once + 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 + 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady + 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL + 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 + 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 + 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 + 12: A_FSB<8> 24: cs/nOverlay1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/RefCnt<5> .............XXXXX...................... 5 +RA<5> X........X.....................X........ 3 +cnt/RefCnt<4> .............XXXX....................... 4 +cs/nOverlay0 .....XXXX.............X.X.X............. 7 +ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 +RA<6> .X........X....................X........ 3 +fsb/Ready0r ......XXX..............XXXX...X......... 8 +ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 +RA<7> ..X........X...................X........ 3 +ram/Once ......XXX..............XX.X.X...XXX..... 10 +ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 +RA<8> ...X........X..................X........ 3 +ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 +cnt/RefCnt<7> .............XXXXXXX.................... 7 +RA<9> ....XX.........................X........ 3 +cnt/RefCnt<6> .............XXXXXX..................... 6 +ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 13/41 -Number of signals used by logic mapping into function block: 13 +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB8_1 (b) -RA<10> 1 0 0 4 FB8_2 63 I/O O +RA<11> 1 0 0 4 FB8_2 63 I/O O (unused) 0 0 0 5 FB8_3 (b) (unused) 0 0 0 5 FB8_4 (b) (unused) 0 0 0 5 FB8_5 64 I/O I -(unused) 0 0 0 5 FB8_6 65 I/O I +RA<10> 1 0 0 4 FB8_6 65 I/O O (unused) 0 0 0 5 FB8_7 (b) -nADoutLE0 1 0 0 4 FB8_8 66 I/O O -(unused) 0 0 0 5 FB8_9 67 I/O I -ram/BACTr 1 0 0 4 FB8_10 (b) (b) -iobs/IOACTr 1 0 0 4 FB8_11 68 I/O I +(unused) 0 0 0 5 FB8_8 66 I/O I +nADoutLE0 1 0 0 4 FB8_9 67 I/O O +(unused) 0 0 0 5 FB8_10 (b) +(unused) 0 0 0 5 FB8_11 68 I/O I nAoutOE 0 0 0 5 FB8_12 70 I/O O -iobm/Er 1 0 0 4 FB8_13 (b) (b) -fsb/ASrf 1 0 0 4 FB8_14 71 I/O I -cnt/RefCnt<0> 0 0 0 5 FB8_15 72 I/O I -RefAck 1 0 0 4 FB8_16 (b) (b) -nDinLE 1 0 0 4 FB8_17 73 I/O O -iobs/IOU1 2 0 0 3 FB8_18 (b) (b) +(unused) 0 0 0 5 FB8_13 (b) +(unused) 0 0 0 5 FB8_14 71 I/O I +nDinLE 1 0 0 4 FB8_15 72 I/O O +(unused) 0 0 0 5 FB8_16 (b) +iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I +iobm/Er 1 0 0 4 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 6: fsb/ASrf 10: nAS_FSB - 2: ALE0S 7: iobm/IOS_FSM_FFd3 11: nUDS_FSB - 3: A_FSB<21> 8: iobm/IOS_FSM_FFd4 12: ram/RS_FSM_FFd1 - 4: E_IOB 9: iobs/Load1 13: ram/RS_FSM_FFd2 - 5: IOACT + 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 + 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 + 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<10> ..X..................................... 1 +RA<11> ..X..................................... 1 +RA<10> ...X.................................... 1 nADoutLE0 XX...................................... 2 -ram/BACTr .....X...X.............................. 2 -iobs/IOACTr ....X................................... 1 nAoutOE ........................................ 0 -iobm/Er ...X.................................... 1 -fsb/ASrf .........X.............................. 1 -cnt/RefCnt<0> ........................................ 0 -RefAck ...........XX........................... 2 nDinLE ......XX................................ 2 -iobs/IOU1 ........X.X............................. 2 +iobm/IOS_FSM_FFd1 .....X.................................. 1 +iobm/Er ....X................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -672,6 +678,1120 @@ iobs/IOU1 ........X.X............................. 2 ********** Mapped Logic ********** -$OpTx$FX_DC$603 <= ((NOT TimeoutB) +$OpTx$FX_DC$602 <= ((NOT TimeoutB) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); + +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd8)); + +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/BERRrf AND iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd8) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND + NOT iobm/IOS_FSM_FFd8) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/BERRrf AND iobm/BERRrr)); + +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/ETACK) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); + +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +IORW0_T <= ((EXP22_.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); + +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + + +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); + + +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); + + +RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); + + +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(9) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); + +FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) + OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND fsb/ASrf)); + +FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); + +FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); + +FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3)); + +FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND + cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) + OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND + NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND + NOT cnt/RefCnt(7))); + +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND fsb/ASrf)); + +FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); + +FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT fsb/BERR0r)); + +FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); + +FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP18_.EXP) + OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) + OR (fsb/VPA AND fsb/ASrf AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) + OR ($OpTx$FX_DC$602.EXP) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND + $OpTx$FX_DC$606) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND + $OpTx$FX_DC$606) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/VPA AND NOT nAS_FSB AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); + +FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nVMA_IOB_OBUF.EXP) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND IOBERR AND iobs/IOReady AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((RA_2_OBUF.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); + + +nAoutOE <= '0'; + + +nBERR_FSB <= ((nAS_FSB) + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); + +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +nDTACK_FSB_D <= ((EXP21_.EXP) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR ($OpTx$FX_DC$606.EXP) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (BERR_IOBS AND nDTACK_FSB) + OR (fsb/BERR0r AND nDTACK_FSB) + OR (fsb/BERR1r AND nDTACK_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); + +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); + + +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND + NOT nAS_FSB)); + +FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +nDoutOE_D <= ((NOT IORW0) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nLDS_IOB_D <= ((NOT IOL0) + OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + + +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); + + +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAS <= NOT (((RefAck) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); + + +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay1))); + + +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); + +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nUDS_IOB_D <= ((NOT IOU0) + OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); + + +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); + +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf)); + +FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7))); + +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (cnt/RefCnt(5).EXP) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (nDinOE_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND + NOT cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC95144XL-10-TQ100 + + + -------------------------------------------------- + /100 98 96 94 92 90 88 86 84 82 80 78 76 \ + | 99 97 95 93 91 89 87 85 83 81 79 77 | + | 1 75 | + | 2 74 | + | 3 73 | + | 4 72 | + | 5 71 | + | 6 70 | + | 7 69 | + | 8 68 | + | 9 67 | + | 10 66 | + | 11 65 | + | 12 64 | + | 13 XC95144XL-10-TQ100 63 | + | 14 62 | + | 15 61 | + | 16 60 | + | 17 59 | + | 18 58 | + | 19 57 | + | 20 56 | + | 21 55 | + | 22 54 | + | 23 53 | + | 24 52 | + | 25 51 | + | 27 29 31 33 35 37 39 41 43 45 47 49 | + \26 28 30 32 34 36 38 40 42 44 46 48 50 / + -------------------------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 KPR 51 VCC + 2 KPR 52 nUDS_FSB + 3 KPR 53 RA<6> + 4 KPR 54 nDTACK_IOB + 5 VCC 55 RA<7> + 6 nLDS_IOB 56 A_FSB<4> + 7 nUDS_IOB 57 VCC + 8 nDoutOE 58 RA<8> + 9 A_FSB<22> 59 nVPA_IOB + 10 nAS_IOB 60 RA<9> + 11 nDTACK_FSB 61 nWE_FSB + 12 A_FSB<9> 62 GND + 13 nAS_FSB 63 RA<11> + 14 nBERR_FSB 64 A_FSB<8> + 15 A_FSB<5> 65 RA<10> + 16 A_FSB<2> 66 A_FSB<23> + 17 nOE 67 nADoutLE0 + 18 nBERR_IOB 68 A_FSB<21> + 19 A_FSB<6> 69 GND + 20 A_FSB<7> 70 nAoutOE + 21 GND 71 A_FSB<20> + 22 CLK2X_IOB 72 nDinLE + 23 CLK_FSB 73 A_FSB<19> + 24 nRAS 74 nCAS + 25 nLDS_FSB 75 GND + 26 VCC 76 A_FSB<18> + 27 CLK_IOB 77 nRAMLWE + 28 KPR 78 A_FSB<3> + 29 nADoutLE1 79 nRAMUWE + 30 KPR 80 A_FSB<15> + 31 GND 81 nROMWE + 32 KPR 82 A_FSB<13> + 33 nVMA_IOB 83 TDO + 34 KPR 84 GND + 35 RA<1> 85 nVPA_FSB + 36 KPR 86 A_FSB<11> + 37 KPR 87 RA<0> + 38 VCC 88 VCC + 39 RA<3> 89 A_FSB<10> + 40 KPR 90 A_FSB<1> + 41 KPR 91 RA<2> + 42 nROMCS 92 A_FSB<12> + 43 KPR 93 A_FSB<14> + 44 GND 94 RA<4> + 45 TDI 95 A_FSB<16> + 46 KPR 96 A_FSB<17> + 47 TMS 97 nDinOE + 48 TCK 98 VCC + 49 E_IOB 99 nRES + 50 RA<5> 100 GND + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc95144xl-10-TQ100 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/cpld/XC95144XL/MXSE.syr b/cpld/XC95144XL/MXSE.syr index 196db5b..4a8f658 100644 --- a/cpld/XC95144XL/MXSE.syr +++ b/cpld/XC95144XL/MXSE.syr @@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.08 secs +Total CPU time to Xst completion: 0.36 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.08 secs +Total CPU time to Xst completion: 0.36 secs --> Reading design: MXSE.prj @@ -198,6 +198,7 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -259,6 +260,7 @@ Synthesizing Unit . Found 1-bit register for signal . Found 8-bit up counter for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Summary: inferred 1 Counter(s). Unit synthesized. @@ -291,8 +293,8 @@ Macro Statistics # Counters : 2 5-bit up counter : 1 8-bit up counter : 1 -# Registers : 56 - 1-bit register : 56 +# Registers : 58 + 1-bit register : 58 ========================================================================= @@ -375,9 +377,9 @@ Optimizing unit ... Optimizing unit ... implementation constraint: INIT=r : IOACTr + implementation constraint: INIT=r : PS_FSM_FFd2 implementation constraint: INIT=r : Once implementation constraint: INIT=r : PS_FSM_FFd1 - implementation constraint: INIT=r : PS_FSM_FFd2 Optimizing unit ... implementation constraint: INIT=r : ASrf @@ -435,23 +437,24 @@ Design Statistics # IOs : 67 Cell Usage : -# BELS : 566 -# AND2 : 162 -# AND3 : 21 +# BELS : 570 +# AND2 : 160 +# AND3 : 20 # AND4 : 15 # AND5 : 1 # AND6 : 3 +# AND7 : 1 # AND8 : 3 # GND : 6 -# INV : 238 -# OR2 : 91 +# INV : 243 +# OR2 : 92 # OR3 : 8 # OR4 : 5 # VCC : 1 # XOR2 : 12 -# FlipFlops/Latches : 82 -# FD : 56 -# FDCE : 26 +# FlipFlops/Latches : 84 +# FD : 57 +# FDCE : 27 # IO Buffers : 67 # IBUF : 35 # OBUF : 32 @@ -459,11 +462,11 @@ Cell Usage : Total REAL time to Xst completion: 3.00 secs -Total CPU time to Xst completion: 2.37 secs +Total CPU time to Xst completion: 3.01 secs --> -Total memory usage is 231768 kilobytes +Total memory usage is 232788 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/MXSE.tspec index 9fdadcd..fa7edb3 100644 --- a/cpld/XC95144XL/MXSE.tspec +++ b/cpld/XC95144XL/MXSE.tspec @@ -1,98 +1,88 @@ -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:333 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:200 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:200 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:200 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:200 TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:200 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 @@ -101,296 +91,303 @@ TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:200 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:200 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:200 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:200 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:200 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:200 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:200 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:200 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:200 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:200 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:200 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:200 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:200 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:200 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<0>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:200 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<1>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:200 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:200 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:200 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:200 -TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:200 +TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nADoutLE1_OBUF.D:200 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 index 0d93ce6..b8ad9c0 100644 --- a/cpld/XC95144XL/MXSE.vm6 +++ b/cpld/XC95144XL/MXSE.vm6 @@ -8,763 +8,742 @@ NETWORK | MXSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9280 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 9483 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9281 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 9484 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9282 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9283 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9284 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9285 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9286 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 9485 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9287 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9288 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9289 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9290 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9291 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 9486 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9487 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9292 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 9488 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9293 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 9489 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9294 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 9490 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9491 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9492 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9493 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9494 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9495 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9496 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9497 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9498 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 9295 | PI | 565 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | CLK2X_IOB | 9499 | PI | 577 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOB_OBUF$Q | 9117 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9316 | ? | 634 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9519 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9317 | ? | 634 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/Once.EXP +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9520 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 9798 | ? | 612 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10009 | ? | 615 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOB_OBUF.D | 9315 | ? | 634 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOB_OBUF.D | 9518 | ? | 648 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOB_OBUF.Q | 9318 | ? | 647 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9521 | ? | 661 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 9296 | PI | 566 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | CLK_FSB | 9500 | PI | 578 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9302 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9506 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9320 | ? | 578 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9523 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9321 | ? | 578 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9524 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay1.EXP | 10024 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9322 | ? | 580 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9319 | ? | 578 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay1.D | 9522 | ? | 590 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9322 | ? | 580 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9323 | ? | 633 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9526 | ? | 645 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9298 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9325 | ? | 581 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9326 | ? | 581 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9324 | ? | 581 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9327 | ? | 633 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 19 | 3 +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9329 | ? | 582 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9528 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9330 | ? | 582 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1.EXP +SIGNAL | NODE | ram/Once.D2 | 9529 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/Once.EXP | 9797 | ? | 634 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr +SIGNAL | NODE | ram/Once.EXP | 10059 | ? | 619 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9328 | ? | 582 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +NODE | ram/Once.D | 9527 | ? | 593 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9331 | ? | 631 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9530 | ? | 645 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 9333 | ? | 583 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9532 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 9334 | ? | 583 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9533 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 9332 | ? | 583 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +NODE | cnt/RefDone.D | 9531 | ? | 594 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 9335 | ? | 631 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9534 | ? | 645 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9502 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 17 | 3 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | BERR_IOBS.D1 | 9337 | ? | 584 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9536 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | BERR_IOBS.D2 | 9338 | ? | 584 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP +SIGNAL | NODE | iobs/Once.D2 | 9537 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9535 | ? | 595 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9538 | ? | 640 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9540 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9541 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | BERR_IOBS.EXP | 9795 | ? | 610 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | BERR_IOBS.D | 9336 | ? | 584 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +NODE | BERR_IOBS.D | 9539 | ? | 596 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | BERR_IOBS.Q | 9339 | ? | 607 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9542 | ? | 620 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9297 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9501 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 9303 | PI | 567 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | CLK_IOB | 9507 | PI | 579 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 13 | 2 +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9341 | ? | 636 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9544 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9342 | ? | 636 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | nAS_IOB_OBUF.EXP +SIGNAL | NODE | IOBERR.D2 | 9545 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP33_.EXP SPPTERM | 5 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 5 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOBERR.EXP | 10051 | ? | 665 | 0 | IOBERR | NULL | NULL | IOBERR.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9340 | ? | 636 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 9543 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9343 | ? | 636 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9546 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9345 | ? | 585 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9548 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9346 | ? | 585 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 1 | IV_TRUE | IOREQ.EXP +SIGNAL | NODE | IORW0.D2 | 9549 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 @@ -773,1296 +752,1286 @@ SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9344 | ? | 585 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +NODE | IORW0.D | 9547 | ? | 597 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9347 | ? | 668 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutA.D1 | 9349 | ? | 586 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutA.D2 | 9350 | ? | 586 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | TimeoutA.EXP | 9845 | ? | 611 | 0 | TimeoutA | NULL | NULL | TimeoutA.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutA.D | 9348 | ? | 586 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutA.Q | 9351 | ? | 607 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9550 | ? | 682 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9299 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nRES | 9503 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV -NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 7 | 2 +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9353 | ? | 587 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9552 | ? | 598 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9354 | ? | 587 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cs/nOverlay0.D2 | 9553 | ? | 598 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10056 | ? | 624 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9352 | ? | 587 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay0.D | 9551 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 3 | 8 | SRFF_R -NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9355 | ? | 587 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9554 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9300 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 9504 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9357 | ? | 589 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9556 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9358 | ? | 589 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9557 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9359 | ? | 590 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9356 | ? | 589 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 9555 | ? | 600 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9359 | ? | 590 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9360 | ? | 667 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9559 | ? | 681 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9301 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 9505 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9362 | ? | 591 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9561 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9363 | ? | 591 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9562 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9364 | ? | 592 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9361 | ? | 591 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 9560 | ? | 602 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9364 | ? | 592 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9365 | ? | 668 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9564 | ? | 682 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9566 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9567 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9565 | ? | 604 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9568 | ? | 620 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutB.D1 | 9367 | ? | 593 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9570 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutB.D2 | 9368 | ? | 593 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9571 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_TRUE | TimeoutA | IV_FALSE | TimeoutB | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 11 | IV_TRUE | TimeoutA | IV_FALSE | TimeoutB | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutB.D | 9366 | ? | 593 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +NODE | TimeoutB.D | 9569 | ? | 605 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutB.Q | 9369 | ? | 607 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9572 | ? | 620 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 11 | 3 +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 9371 | ? | 594 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9574 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 9372 | ? | 594 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9575 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9573 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9576 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9578 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9579 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/BERR0r.EXP | 9764 | ? | 633 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ALE1 +SIGNAL | NODE | fsb/BERR0r.EXP | 10033 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 9370 | ? | 594 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +NODE | fsb/BERR0r.D | 9577 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 9373 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9580 | ? | 620 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 9375 | ? | 595 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9582 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 9376 | ? | 595 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9583 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 9374 | ? | 595 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +NODE | fsb/BERR1r.D | 9581 | ? | 608 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 9377 | ? | 607 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9584 | ? | 620 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9379 | ? | 596 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9586 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9380 | ? | 596 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9587 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9378 | ? | 596 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready0r.D | 9585 | ? | 609 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9381 | ? | 607 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9588 | ? | 620 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 16 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 15 | 2 +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9383 | ? | 597 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9590 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9384 | ? | 597 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9591 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9382 | ? | 597 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 9589 | ? | 610 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9385 | ? | 607 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9592 | ? | 620 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 9387 | ? | 598 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9594 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 9388 | ? | 598 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SIGNAL | NODE | fsb/Ready2r.D2 | 9595 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 9386 | ? | 598 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready2r.D | 9593 | ? | 611 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 9389 | ? | 607 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9596 | ? | 620 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 12 | 2 +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9391 | ? | 599 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9598 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9392 | ? | 599 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SIGNAL | NODE | fsb/VPA.D2 | 9599 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$FX_DC$602.UIM SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9390 | ? | 599 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 9597 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9393 | ? | 599 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9600 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9395 | ? | 600 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9602 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9396 | ? | 600 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9603 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9397 | ? | 601 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9394 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9601 | ? | 613 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9397 | ? | 601 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9398 | ? | 589 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9605 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 10 | 2 +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9400 | ? | 602 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9607 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9401 | ? | 602 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9608 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | ALE1 SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9399 | ? | 602 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9606 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9402 | ? | 602 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9609 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9404 | ? | 603 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9611 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9405 | ? | 603 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9612 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | IOBERR | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOReady.EXP | 9794 | ? | 584 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9403 | ? | 603 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +NODE | iobs/IOReady.D | 9610 | ? | 616 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9406 | ? | 607 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9613 | ? | 620 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9408 | ? | 604 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9615 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9409 | ? | 604 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9616 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9410 | ? | 605 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9407 | ? | 604 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9614 | ? | 617 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9410 | ? | 605 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9411 | ? | 591 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9618 | ? | 602 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 2 +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 9413 | ? | 606 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9620 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 9414 | ? | 606 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SIGNAL | NODE | ram/RAMDIS2.D2 | 9621 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/Once.EXP SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMDIS2.EXP | 10060 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 9412 | ? | 606 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +NODE | ram/RAMDIS2.D | 9619 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 9415 | ? | 606 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9622 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 26 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9151 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 25 | 2 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9417 | ? | 607 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9624 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9418 | ? | 607 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9625 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$602.UIM SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9416 | ? | 607 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 9623 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9419 | ? | 607 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9626 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9421 | ? | 608 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9628 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9422 | ? | 608 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SIGNAL | NODE | ram/RASEL.D2 | 9629 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP32_.EXP SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr @@ -2071,227 +2040,207 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/ SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9420 | ? | 608 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 9627 | ? | 621 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9423 | ? | 632 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9630 | ? | 646 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 13 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 12 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9425 | ? | 609 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9632 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9426 | ? | 609 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9633 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_8_OBUF.EXP +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 9817 | ? | 608 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9424 | ? | 609 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 9631 | ? | 622 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9427 | ? | 631 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9634 | ? | 645 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 11 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9429 | ? | 610 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9636 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9430 | ? | 610 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | BERR_IOBS.EXP +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9637 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd1.EXP | 9796 | ? | 582 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9428 | ? | 610 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 9635 | ? | 623 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9431 | ? | 631 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9638 | ? | 645 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9433 | ? | 611 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9640 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9434 | ? | 611 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | TimeoutA.EXP +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9641 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> @@ -2300,291 +2249,232 @@ SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/R SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9432 | ? | 611 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 9639 | ? | 624 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9435 | ? | 631 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9642 | ? | 645 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 17 | 2 +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9437 | ? | 612 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9644 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9438 | ? | 612 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9645 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9436 | ? | 612 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd2.D | 9643 | ? | 625 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9439 | ? | 633 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9441 | ? | 613 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9442 | ? | 613 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9440 | ? | 613 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9443 | ? | 633 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9646 | ? | 643 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9445 | ? | 637 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9648 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9446 | ? | 637 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9649 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9444 | ? | 637 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9647 | ? | 651 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9447 | ? | 647 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9650 | ? | 661 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9449 | ? | 638 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9652 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9450 | ? | 638 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9653 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9448 | ? | 638 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9651 | ? | 652 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9451 | ? | 647 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9654 | ? | 661 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9453 | ? | 639 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9656 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9454 | ? | 639 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9657 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr @@ -2593,53 +2483,53 @@ SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9452 | ? | 639 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 9655 | ? | 653 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9455 | ? | 668 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9658 | ? | 682 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9457 | ? | 640 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9660 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9458 | ? | 640 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9661 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -2648,102 +2538,174 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9456 | ? | 640 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9659 | ? | 654 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9459 | ? | 647 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9662 | ? | 661 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9664 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9665 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE1_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10007 | ? | 640 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9663 | ? | 626 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9666 | ? | 643 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9461 | ? | 641 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9668 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9462 | ? | 641 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9669 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9460 | ? | 641 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9667 | ? | 655 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9463 | ? | 647 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9670 | ? | 661 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9465 | ? | 642 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9672 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9466 | ? | 642 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9673 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -2751,610 +2713,887 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9464 | ? | 642 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 9671 | ? | 656 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9467 | ? | 647 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9674 | ? | 661 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9469 | ? | 643 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9676 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9470 | ? | 643 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9677 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 9468 | ? | 643 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 9675 | ? | 657 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 9471 | ? | 668 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9678 | ? | 682 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9473 | ? | 644 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9680 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9474 | ? | 644 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9681 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 9472 | ? | 644 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 9679 | ? | 658 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 9475 | ? | 668 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 9682 | ? | 682 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 4 | 3 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9477 | ? | 645 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9684 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9478 | ? | 645 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9685 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOS_FSM_FFd6.EXP | 9783 | ? | 646 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 9476 | ? | 645 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 9683 | ? | 659 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 9479 | ? | 668 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 9686 | ? | 682 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 14 | 3 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9481 | ? | 646 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9688 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9482 | ? | 646 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOS_FSM_FFd7.EXP | 9782 | ? | 651 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9689 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 9480 | ? | 646 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 9687 | ? | 660 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 9483 | ? | 668 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 9690 | ? | 682 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9485 | ? | 614 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9486 | ? | 614 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9484 | ? | 614 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9487 | ? | 627 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9489 | ? | 615 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9692 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9490 | ? | 615 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9693 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 9488 | ? | 615 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<0>.D | 9691 | ? | 627 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 9491 | ? | 623 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9694 | ? | 637 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9493 | ? | 616 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9696 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9494 | ? | 616 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9697 | ? | 628 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<5>.EXP | 10055 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 9492 | ? | 616 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<5>.D | 9695 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 9495 | ? | 631 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9698 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 15 | 3 +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9497 | ? | 617 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9700 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9498 | ? | 617 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9701 | ? | 629 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<6>.EXP | 9843 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r +SIGNAL | NODE | cnt/RefCnt<6>.EXP | 10064 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 9496 | ? | 617 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<6>.D | 9699 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 9499 | ? | 631 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9702 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9501 | ? | 647 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9704 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9502 | ? | 647 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SIGNAL | NODE | iobs/IOACTr.D2 | 9705 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ETACK.EXP | 9792 | ? | 602 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 +SIGNAL | NODE | iobs/IOACTr.EXP | 10003 | ? | 597 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9703 | ? | 630 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9706 | ? | 640 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9708 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9709 | ? | 631 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9707 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9710 | ? | 637 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9712 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9713 | ? | 632 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9711 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9714 | ? | 637 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9716 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9717 | ? | 633 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9715 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9718 | ? | 637 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9720 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9721 | ? | 634 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9719 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9722 | ? | 637 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9724 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9725 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ETACK.EXP | 10008 | ? | 640 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9500 | ? | 647 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +NODE | iobm/ETACK.D | 9723 | ? | 661 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9503 | ? | 653 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9726 | ? | 667 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9505 | ? | 648 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9728 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9506 | ? | 648 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9729 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOREQ SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9504 | ? | 648 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOREQr.D | 9727 | ? | 662 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9507 | ? | 656 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9730 | ? | 670 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd8 | MXSE_COPY_0_COPY_0 | 2155873024 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd8.SI | iobm/IOS_FSM_FFd8 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9509 | ? | 650 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9732 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9510 | ? | 650 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9733 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/IOS_FSM_FFd1 SRFF_INSTANCE | iobm/IOS_FSM_FFd8.REG | iobm/IOS_FSM_FFd8 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd8.D | 9508 | ? | 650 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd8.D | 9731 | ? | 664 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd8.Q | 9511 | ? | 656 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd8.Q | 9734 | ? | 670 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9513 | ? | 618 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9736 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9514 | ? | 618 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9737 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9735 | ? | 635 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9738 | ? | 647 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9740 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9741 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/BACTr.EXP | 10011 | ? | 615 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9512 | ? | 618 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9739 | ? | 636 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9515 | ? | 631 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9742 | ? | 645 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9517 | ? | 651 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9744 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9518 | ? | 651 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7.EXP +SIGNAL | NODE | IOACT.D2 | 9745 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +SPPTERM | 1 | IV_TRUE | IOBERR.EXP SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOREQr @@ -3363,375 +3602,255 @@ SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_F SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9516 | ? | 651 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 9743 | ? | 665 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9519 | ? | 634 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9746 | ? | 648 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9521 | ? | 619 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9522 | ? | 619 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> - -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 9520 | ? | 619 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 9523 | ? | 623 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9525 | ? | 620 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9526 | ? | 620 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> - -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 9524 | ? | 620 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 9527 | ? | 623 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9529 | ? | 621 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9530 | ? | 621 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 9528 | ? | 621 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 9531 | ? | 623 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9533 | ? | 622 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9534 | ? | 622 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 9532 | ? | 622 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 9535 | ? | 623 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 15 | 3 +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9537 | ? | 623 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9748 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9538 | ? | 623 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9749 | ? | 637 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<7>.EXP | 9846 | ? | 599 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SIGNAL | NODE | cnt/RefCnt<7>.EXP | 10062 | ? | 622 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 9536 | ? | 623 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<7>.D | 9747 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 9539 | ? | 631 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9750 | ? | 645 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 9304 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E_IOB | 9508 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9541 | ? | 575 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9752 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9542 | ? | 575 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9753 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IOB_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9540 | ? | 575 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 9751 | ? | 587 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9543 | ? | 652 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9754 | ? | 666 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefAck.D1 | 9545 | ? | 624 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9756 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefAck.D2 | 9546 | ? | 624 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9757 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefAck.D | 9544 | ? | 624 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +NODE | RefAck.D | 9755 | ? | 638 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefAck.Q | 9547 | ? | 583 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9758 | ? | 594 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9549 | ? | 652 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9760 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9550 | ? | 652 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9761 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9548 | ? | 652 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 9759 | ? | 666 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9551 | ? | 642 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9762 | ? | 656 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9553 | ? | 653 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9764 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9554 | ? | 653 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9765 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr @@ -3739,601 +3858,576 @@ SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | i SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9552 | ? | 653 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9763 | ? | 667 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9555 | ? | 666 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9766 | ? | 680 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9305 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9509 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9557 | ? | 654 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9768 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9558 | ? | 654 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9769 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9556 | ? | 654 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArf.D | 9767 | ? | 668 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9559 | ? | 634 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9770 | ? | 648 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9561 | ? | 655 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9772 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9562 | ? | 655 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9773 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9560 | ? | 655 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArr.D | 9771 | ? | 669 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9563 | ? | 634 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9774 | ? | 648 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9565 | ? | 625 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9566 | ? | 625 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9564 | ? | 625 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9567 | ? | 605 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9569 | ? | 656 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9776 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9570 | ? | 656 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9777 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9568 | ? | 656 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 9775 | ? | 670 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9571 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9778 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9573 | ? | 626 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9780 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9574 | ? | 626 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9781 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ALE0S.EXP | 10004 | ? | 625 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9572 | ? | 626 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 9779 | ? | 639 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9575 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9782 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 17 | 3 +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9577 | ? | 627 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9784 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9578 | ? | 627 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOREQ.EXP | 9768 | ? | 585 | 0 | IOREQ | NULL | NULL | IOREQ.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | IOREQ.D2 | 9785 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP +SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9576 | ? | 627 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +NODE | IOREQ.D | 9783 | ? | 640 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9579 | ? | 648 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9786 | ? | 662 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9581 | ? | 628 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9788 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9582 | ? | 628 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9789 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9580 | ? | 628 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 9787 | ? | 641 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9583 | ? | 633 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9790 | ? | 645 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9585 | ? | 657 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9792 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9586 | ? | 657 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9793 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9584 | ? | 657 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrf.D | 9791 | ? | 671 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9587 | ? | 653 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9794 | ? | 667 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9589 | ? | 658 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9796 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9590 | ? | 658 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9797 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9588 | ? | 658 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrr.D | 9795 | ? | 672 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9591 | ? | 653 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9798 | ? | 667 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9306 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9510 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9593 | ? | 659 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9800 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9594 | ? | 659 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9801 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9592 | ? | 659 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrf.D | 9799 | ? | 673 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9595 | ? | 653 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9802 | ? | 667 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9597 | ? | 660 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9804 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9598 | ? | 660 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9805 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9596 | ? | 660 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrr.D | 9803 | ? | 674 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9599 | ? | 653 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9806 | ? | 667 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9601 | ? | 661 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9808 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9602 | ? | 661 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9809 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9600 | ? | 661 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9807 | ? | 675 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9603 | ? | 650 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9810 | ? | 664 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9605 | ? | 662 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9812 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9606 | ? | 662 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9813 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nRES_IBUF SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9604 | ? | 662 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrf.D | 9811 | ? | 676 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9607 | ? | 653 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9814 | ? | 667 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9609 | ? | 663 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9816 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9610 | ? | 663 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9817 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nRES_IBUF SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9608 | ? | 663 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrr.D | 9815 | ? | 677 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9611 | ? | 653 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9818 | ? | 667 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9820 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9821 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 9819 | ? | 643 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9822 | ? | 647 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 9613 | ? | 630 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9824 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 9614 | ? | 630 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SIGNAL | NODE | ram/RAMDIS1.D2 | 9825 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 1 | IV_TRUE | EXP31_.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 @@ -4342,75 +4436,75 @@ SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/ SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 9612 | ? | 630 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +NODE | ram/RAMDIS1.D | 9823 | ? | 644 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 9615 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9826 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9617 | ? | 631 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9828 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9618 | ? | 631 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9829 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP SPPTERM | 1 | IV_TRUE | EXP34_.EXP -SPPTERM | 1 | IV_TRUE | EXP35_.EXP SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr @@ -4419,3305 +4513,3859 @@ SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_F SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9616 | ? | 631 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/RAMReady.D | 9827 | ? | 645 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9619 | ? | 607 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9830 | ? | 620 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9307 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 9511 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9308 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 9512 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9309 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 9513 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9310 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 9514 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9311 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 9515 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9312 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 9516 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9313 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 9517 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9314 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOB_OBUF | 9216 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9621 | ? | 664 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9832 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9622 | ? | 664 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9833 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAS_IOB_OBUF.EXP | 9785 | ? | 636 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOB_OBUF.D | 9620 | ? | 664 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAS_IOB_OBUF.D | 9831 | ? | 678 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOB_OBUF.Q | 9623 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 9834 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9217 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9625 | ? | 632 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9836 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9626 | ? | 632 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9837 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/RASEL SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9624 | ? | 632 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 9835 | ? | 646 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9627 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9838 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9218 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9629 | ? | 665 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9840 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9630 | ? | 665 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9841 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9628 | ? | 665 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 9839 | ? | 679 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9631 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9842 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9219 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9633 | ? | 666 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9844 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9634 | ? | 666 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9845 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | IORW0 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDoutOE_OBUF.EXP | 9784 | ? | 636 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9632 | ? | 666 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 9843 | ? | 680 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9635 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9846 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOB_OBUF | 9220 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9637 | ? | 667 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9848 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9638 | ? | 667 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9849 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | IOL0 SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOB_OBUF.D | 9636 | ? | 667 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOB_OBUF.D | 9847 | ? | 681 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOB_OBUF.Q | 9639 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 9850 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOB_OBUF | 9221 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9641 | ? | 668 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9852 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9642 | ? | 668 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9853 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | IOU0 SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOB_OBUF.D | 9640 | ? | 668 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOB_OBUF.D | 9851 | ? | 682 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOB_OBUF.Q | 9643 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 9854 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9222 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9645 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9856 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9646 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9644 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9647 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9223 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9649 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9650 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SIGNAL | NODE | RA_0_OBUF.D2 | 9857 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay1.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 9815 | ? | 609 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9648 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9651 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9224 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9653 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9654 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9652 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9655 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9225 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9657 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9658 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9656 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9659 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9226 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9661 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9662 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9660 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9663 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9227 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9665 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9666 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 9820 | ? | 608 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9664 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9667 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9228 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9669 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9670 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9668 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9671 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9229 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9673 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9674 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9672 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9675 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9230 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9677 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9678 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9676 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9679 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9231 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9681 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9682 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9680 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9683 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9232 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9685 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9686 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9684 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9687 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9233 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9689 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9690 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9688 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9691 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9234 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9693 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9694 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9692 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9695 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9235 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9697 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9698 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9696 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9699 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9236 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9701 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9702 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinOE_OBUF.EXP | 9823 | ? | 630 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9700 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9703 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9237 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9705 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9706 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefAck -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 9793 | ? | 647 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9704 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9707 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9238 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9709 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9710 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9708 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9711 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9239 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9713 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9714 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9712 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9715 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155872768 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9240 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9717 | ? | 633 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9718 | ? | 633 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP -SPPTERM | 2 | IV_TRUE | iobs/Once | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9716 | ? | 633 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9719 | ? | 633 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9242 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9721 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9722 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9842 | ? | 599 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_FALSE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_FALSE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$INV$223.UIM - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9720 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9723 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9243 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9725 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9726 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 9800 | ? | 581 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9724 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9727 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9244 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9729 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9730 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 9827 | ? | 606 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9728 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9731 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9245 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9733 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9734 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9732 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9735 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF$Q | 9246 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9737 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9738 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 9844 | ? | 611 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9736 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9739 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOB_OBUF$Q | 9117 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9247 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9151 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9248 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOB_OBUF | 9216 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9249 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9217 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9250 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9218 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9251 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9219 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9252 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOB_OBUF | 9220 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9253 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOB_OBUF | 9221 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9254 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9222 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9255 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9223 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9256 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9224 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9257 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9225 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9258 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9226 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9259 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9227 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9260 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9228 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9261 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9229 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9262 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9230 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9263 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9231 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9264 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9232 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9265 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9233 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9266 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9234 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9267 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9235 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9268 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9236 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9269 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9237 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9270 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9238 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9271 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9239 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9272 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9240 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9273 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9242 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9274 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9243 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9275 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9244 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9276 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9245 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9277 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF$Q | 9246 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9278 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$223 | MXSE_COPY_0_COPY_0 | 2181038080 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$INV$223.SI | $OpTx$INV$223 | 0 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$INV$223.D1 | 9741 | ? | 599 | 4096 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$INV$223.D2 | 9742 | ? | 599 | 4096 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady - -SRFF_INSTANCE | $OpTx$INV$223.REG | $OpTx$INV$223 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$INV$223.D | 9740 | ? | 599 | 0 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$INV$223.Q | 9743 | ? | 599 | 0 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 9758 | ? | 627 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 9759 | ? | 607 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 9760 | ? | 607 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady - -MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 9761 | ? | 607 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 9762 | ? | 607 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/Ready1r -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | iobs/IOReady - -MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 9763 | ? | 633 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 9765 | ? | 594 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 9766 | ? | 599 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r - -MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 9767 | ? | 585 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 9769 | ? | 627 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 - -MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 9790 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 9791 | ? | 602 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 9799 | ? | 581 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 9801 | ? | 625 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 9814 | ? | 0 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF - -MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 9816 | ? | 609 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 9818 | ? | 608 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 9819 | ? | 608 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SIGNAL | NODE | RA_0_OBUF.EXP | 10023 | ? | 621 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9855 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9858 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 8 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9860 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9861 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 9821 | ? | 630 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 10049 | ? | 665 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9859 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9862 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9864 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9865 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10027 | ? | 595 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9863 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9866 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9868 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9869 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9867 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9870 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9872 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9873 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10030 | ? | 644 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9871 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9874 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9876 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9877 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9875 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9878 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9880 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9881 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10057 | ? | 624 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9879 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9882 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9884 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9885 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_7_OBUF.EXP | 10058 | ? | 593 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9883 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9886 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9888 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9889 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_8_OBUF.EXP | 10061 | ? | 622 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9887 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9890 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9892 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9893 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_9_OBUF.EXP | 10063 | ? | 629 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9891 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9894 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9896 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9897 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9981 | ? | 610 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 9895 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9898 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 21 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9900 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9901 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 9983 | ? | 611 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 9899 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9902 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9904 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9905 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 9903 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9906 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9908 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9909 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 9907 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9910 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9912 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9913 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 9911 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9914 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9916 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9917 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 10034 | ? | 621 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 9915 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9918 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9920 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9921 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9919 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9922 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9928 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9929 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 9927 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9930 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9932 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9933 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE1_OBUF.EXP | 10006 | ? | 626 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 9931 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9934 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9948 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9949 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 9947 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9950 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9448 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9449 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9450 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9451 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9452 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9453 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9454 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9455 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9456 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9457 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9458 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9459 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9460 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9461 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9462 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9463 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9464 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9465 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9466 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9467 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9468 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9469 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9470 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9471 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9472 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9473 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9474 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9475 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9476 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9477 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9478 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9479 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | fsb/VPA__or00001/fsb/VPA__or00001_D2 | MXSE_COPY_0_COPY_0 | 2181038080 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D1 | 9952 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D2 | 9953 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D | 9951 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | 9954 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$602 | MXSE_COPY_0_COPY_0 | 2181038080 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$FX_DC$602.SI | $OpTx$FX_DC$602 | 0 | 25 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$602.D1 | 9956 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$602.D2 | 9957 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | TimeoutB +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$FX_DC$602.EXP | 9987 | ? | 612 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM + +SRFF_INSTANCE | $OpTx$FX_DC$602.REG | $OpTx$FX_DC$602 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$602.D | 9955 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$602.Q | 9958 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$606 | MXSE_COPY_0_COPY_0 | 2181038080 | 28 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$FX_DC$606.SI | $OpTx$FX_DC$606 | 0 | 28 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$606.D1 | 9960 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$606.D2 | 9961 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF +SPPTERM | 9 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$FX_DC$606.EXP | 9979 | ? | 620 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | $OpTx$FX_DC$602.UIM + +SRFF_INSTANCE | $OpTx$FX_DC$606.REG | $OpTx$FX_DC$606 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$606.D | 9959 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$606.Q | 9962 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 9977 | ? | 620 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 9978 | ? | 620 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$606.EXP +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 9980 | ? | 612 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 9 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 9982 | ? | 612 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 30 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 30 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 9984 | ? | 612 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 612 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$FX_DC$602.UIM + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 9986 | ? | 612 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$602.EXP +SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | $OpTx$FX_DC$606.UIM +SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | $OpTx$FX_DC$606.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 9988 | ? | 620 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10001 | ? | 597 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10002 | ? | 597 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10005 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10010 | ? | 615 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10025 | ? | 635 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10026 | ? | 635 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10028 | ? | 595 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10029 | ? | 595 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10031 | ? | 644 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 15 | 1 +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 9822 | ? | 630 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SIGNAL | NODE | EXP31_.EXP | 10032 | ? | 644 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 9824 | ? | 631 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | EXP32_.EXP | 10035 | ? | 621 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP35_.EXP | 9825 | ? | 631 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SIGNAL | NODE | EXP33_.EXP | 10050 | ? | 650 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10065 | ? | 645 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<5>.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP36_.EXP | 9826 | ? | 631 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP15_ | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 12 | 49152 -FBPIN | 4 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP17_ | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | EXP18_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 8 | EXP19_ | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 16 | 49152 -FBPIN | 10 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP20_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | fsb/Ready2r | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | EXP21_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | $OpTx$INV$223 | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | EXP22_ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | IORW0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOREQ | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 -FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 11 | 49152 +FBPIN | 3 | EXP15_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | $OpTx$FX_DC$606 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP16_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 14 | 49152 +FBPIN | 7 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | EXP17_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 17 | 49152 +FBPIN | 12 | fsb/Ready2r | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP19_ | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | fsb/VPA | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | $OpTx$FX_DC$602 | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/IOS_FSM_FFd6 | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 -FBPIN | 3 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 3 | 53248 -FBPIN | 9 | ALE0M | 1 | NULL | 0 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 -FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 -FBPIN | 13 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 8 | 49152 -FBPIN | 15 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 9 | 49152 -FBPIN | 16 | IOBERR | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 -FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP25_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 -FBPIN | 6 | iobs/PS_FSM_FFd1 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | BERR_IOBS | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 -FBPIN | 9 | ram/RS_FSM_FFd1 | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 28 | 49152 -FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 29 | 49152 -FBPIN | 12 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 32 | 49152 -FBPIN | 15 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 33 | 49152 -FBPIN | 16 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP27_ | 1 | NULL | 0 | NULL | 0 | 34 | 49152 -FBPIN | 18 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP28_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 87 | 49152 -FBPIN | 3 | EXP29_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP30_ | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 89 | 49152 -FBPIN | 6 | ram/RASEL | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 90 | 49152 -FBPIN | 7 | EXP31_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 91 | 49152 -FBPIN | 9 | EXP32_ | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP33_ | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 93 | 49152 -FBPIN | 12 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 94 | 49152 -FBPIN | 13 | EXP34_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RAMReady | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP35_ | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | EXP36_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 97 | 49152 -FBPIN | 18 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 15 | NULL | 0 | E_IOB_IBUF | 1 | NULL | 0 | 46 | 49152 -FBPIN | 16 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/Er | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 -FBPIN | 18 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 74 | 49152 -FBPIN | 3 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<3> | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 77 | 49152 -FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/RefCnt<1> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 79 | 49152 -FBPIN | 10 | RefAck | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ALE0S | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 80 | 49152 -FBPIN | 12 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 81 | 49152 -FBPIN | 13 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IOL1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 82 | 49152 -FBPIN | 15 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 85 | 49152 -FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 86 | 49152 -FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 50 | 49152 +FBPIN | 1 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/VPArf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 FBPIN | 3 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOREQr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 52 | 49152 -FBPIN | 6 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 53 | 49152 -FBPIN | 7 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/DTACKrr | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 54 | 49152 -FBPIN | 9 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 55 | 49152 -FBPIN | 10 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 56 | 49152 -FBPIN | 12 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 58 | 49152 -FBPIN | 13 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ES<0> | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 59 | 49152 -FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 60 | 49152 -FBPIN | 16 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 61 | 49152 +FBPIN | 5 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 | 2 | 53248 +FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 | 3 | 53248 +FBPIN | 9 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 | 4 | 53248 +FBPIN | 10 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 +FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 +FBPIN | 13 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 8 | 49152 +FBPIN | 15 | TimeoutB | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | TimeoutA | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 +FBPIN | 18 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP23_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 +FBPIN | 6 | iobs/Clear1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ALE0S | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 +FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 28 | 49152 +FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 29 | 49152 +FBPIN | 12 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 +FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 15 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 33 | 49152 +FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 +FBPIN | 18 | ram/BACTr | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 87 | 49152 +FBPIN | 3 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP26_ | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 +FBPIN | 6 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 90 | 49152 +FBPIN | 7 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 91 | 49152 +FBPIN | 9 | EXP28_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP29_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 93 | 49152 +FBPIN | 12 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 94 | 49152 +FBPIN | 13 | EXP30_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RAMDIS1 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP31_ | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 97 | 49152 +FBPIN | 18 | EXP32_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 35 | 49152 +FBPIN | 3 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 37 | 49152 +FBPIN | 7 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 39 | 49152 +FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 40 | 49152 +FBPIN | 10 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 +FBPIN | 12 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 42 | 49152 +FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 +FBPIN | 15 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 | 46 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP33_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 74 | 49152 +FBPIN | 3 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | RefAck | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 77 | 49152 +FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 79 | 49152 +FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/ES<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 80 | 49152 +FBPIN | 12 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 81 | 49152 +FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IOU0 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 82 | 49152 +FBPIN | 15 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 85 | 49152 +FBPIN | 16 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/ES<4> | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 86 | 49152 FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 50 | 49152 +FBPIN | 3 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 52 | 49152 +FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 53 | 49152 +FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 54 | 49152 +FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 55 | 49152 +FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RAMDIS2 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 56 | 49152 +FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 58 | 49152 +FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/RefCnt<7> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 59 | 49152 +FBPIN | 15 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 60 | 49152 +FBPIN | 16 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RAMReady | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 61 | 49152 +FBPIN | 18 | EXP34_ | 1 | NULL | 0 | NULL | 0 + FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 63 | 49152 -FBPIN | 3 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<4> | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 64 | 49152 -FBPIN | 6 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 65 | 49152 -FBPIN | 7 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cs/nOverlay1 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 66 | 49152 -FBPIN | 9 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 67 | 49152 -FBPIN | 10 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/RefDone | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 68 | 49152 -FBPIN | 12 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 70 | 49152 -FBPIN | 13 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | TimeoutB | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 71 | 49152 -FBPIN | 15 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 72 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | TimeoutA | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 5 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 64 | 49152 +FBPIN | 6 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 65 | 49152 +FBPIN | 8 | NULL | 0 | A_FSB_23_IBUF | 1 | NULL | 0 | 66 | 49152 +FBPIN | 9 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 67 | 49152 +FBPIN | 11 | NULL | 0 | A_FSB_21_IBUF | 1 | NULL | 0 | 68 | 49152 +FBPIN | 12 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 70 | 49152 +FBPIN | 14 | NULL | 0 | A_FSB_20_IBUF | 1 | NULL | 0 | 71 | 49152 +FBPIN | 15 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 72 | 49152 +FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | iobm/Er | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<16> | 89 | 2 | nDTACK_FSB_OBUF.UIM | NULL | 3 | fsb/ASrf | NULL | 4 | A_FSB<22> | 95 | 5 | A_FSB<10> | 68 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | iobs/IOReady | NULL | 7 | cs/nOverlay1 | NULL | 8 | A_FSB<20> | 93 | 9 | fsb/BERR0r | NULL | 11 | fsb/Ready2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | fsb/Ready0r | NULL | 13 | ram/RAMReady | NULL | 15 | IORW0 | NULL | 16 | TimeoutA | NULL | 18 | fsb/BERR1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 20 | A_FSB<18> | 92 | 21 | A_FSB<19> | 82 | 23 | A_FSB<23> | 76 | 26 | iobs/Once | NULL | 27 | A_FSB<17> | 90 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | A_FSB<12> | 64 | 33 | ALE1 | NULL | 34 | A_FSB<15> | 15 | 35 | nWE_FSB | 66 | 37 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | iobs/IORW1 | NULL | 40 | A_FSB<9> | 59 | 41 | nAS_FSB | 73 | 42 | A_FSB<21> | 80 | 43 | TimeoutB | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | A_FSB<11> | 11 | 46 | A_FSB<13> | 13 | 48 | iobs/PS_FSM_FFd2 | NULL | 49 | BERR_IOBS | NULL | 51 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready1r | NULL | 53 | A_FSB<14> | 14 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<10> | 89 | 1 | nDTACK_FSB_OBUF.UIM | NULL | 2 | cs/nOverlay1 | NULL | 3 | $OpTx$FX_DC$606.UIM | NULL | 4 | A_FSB<16> | 95 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready1r | NULL | 7 | A_FSB<13> | 82 | 8 | fsb/BERR1r | NULL | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 10 | ALE1 | NULL | 11 | fsb/Ready2r | NULL | 13 | A_FSB<20> | 71 | 14 | fsb/VPA | NULL | 15 | TimeoutA | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | $OpTx$FX_DC$602.UIM | NULL | 17 | iobs/IOReady | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | ram/RAMReady | NULL | 30 | A_FSB<14> | 93 | 32 | A_FSB<8> | 64 | 33 | fsb/ASrf | NULL | 37 | TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | A_FSB<22> | 9 | 41 | A_FSB<19> | 73 | 42 | A_FSB<15> | 80 | 43 | A_FSB<11> | 86 | 44 | A_FSB<9> | 12 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | A_FSB<18> | 76 | 47 | nWE_FSB | 61 | 48 | A_FSB<23> | 66 | 49 | A_FSB<12> | 92 | 50 | fsb/BERR0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready0r | NULL -FB_IMUX_INDEX | FOOBAR1_ | 144 | -1 | 2 | 93 | 164 | 197 | 42 | 133 | 152 | 9 | -1 | 11 | 138 | 67 | -1 | 15 | 142 | -1 | 132 | -1 | 150 | 151 | -1 | 175 | -1 | -1 | 49 | 146 | -1 | -1 | -1 | -1 | 209 | 8 | 216 | 205 | -1 | 41 | -1 | 38 | 217 | 183 | 155 | 139 | 208 | -1 | 212 | -1 | 47 | 43 | -1 | 92 | 51 | 214 +FB_IMUX_INDEX | FOOBAR1_ | 144 | 1 | 56 | 3 | 164 | 197 | 6 | 151 | 26 | 9 | 46 | 11 | -1 | 189 | 14 | 33 | 16 | 35 | -1 | -1 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | 86 | -1 | 124 | 152 | -1 | 209 | 42 | -1 | -1 | -1 | 32 | 202 | -1 | -1 | 183 | 155 | 147 | 210 | -1 | 175 | 213 | 205 | 150 | 69 | -1 | 114 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | iobm/IOS_FSM_FFd7 | NULL | 1 | iobm/IOS_FSM_FFd6 | NULL | 2 | iobm/IOS_FSM_FFd5 | NULL | 3 | iobm/IOS_FSM_FFd4 | NULL | 4 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 5 | iobm/BERRrr | NULL | 6 | iobm/BERRrf | NULL | 7 | iobm/IOS_FSM_FFd8 | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | A_FSB<1> | 17 | 14 | nBERR_IOB | 56 | 15 | IOU0 | NULL | 17 | IOL0 | NULL | 30 | CLK_IOB | 27 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/DTACKrr | NULL | 38 | iobm/ETACK | NULL | 43 | iobm/IOREQr | NULL | 44 | iobm/RESrr | NULL | 47 | A_FSB<10> | 68 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 48 | ram/RASEL | NULL | 49 | iobm/DTACKrf | NULL | 50 | IORW0 | NULL | 51 | iobm/RESrf | NULL | 52 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | cnt/RefCnt<5> | NULL | 2 | IORW0 | NULL | 3 | nAS_FSB | 13 | 4 | iobm/IOS_FSM_FFd5 | NULL | 5 | cnt/RefCnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | cnt/RefCnt<2> | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | nVPA_IOB | 59 | 9 | iobs/Once | NULL | 10 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | cnt/TimeoutBPre | NULL | 13 | iobm/IOS_FSM_FFd2 | NULL | 14 | BERR_IOBS | NULL | 15 | IOL0 | NULL | 17 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | cnt/RefCnt<6> | NULL | 21 | nRES | 99 | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | IOREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 28 | RefAck | NULL | 30 | cnt/RefCnt<0> | NULL | 31 | iobs/PS_FSM_FFd2 | NULL | 33 | fsb/BERR1r | NULL | 36 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | TimeoutB | NULL | 38 | cnt/RefDone | NULL | 39 | iobm/IOS_FSM_FFd7 | NULL | 40 | iobs/IOReady | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | cnt/RefCnt<4> | NULL | 46 | cnt/RefCnt<7> | NULL | 49 | IOU0 | NULL | 51 | iobm/IOS_FSM_FFd4 | NULL | 52 | TimeoutA | NULL -FB_IMUX_INDEX | FOOBAR2_ | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | -1 | 27 | -1 | -1 | 30 | 222 | 223 | 105 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 248 | -1 | -1 | -1 | -1 | -1 | -1 | 115 | 39 | -1 | -1 | -1 | -1 | 112 | 110 | -1 | -1 | 197 | 59 | 117 | 15 | 111 | 33 | -1 +FB_IMUX_INDEX | FOOBAR2_ | 108 | -1 | 38 | 212 | 76 | 23 | 24 | 25 | 217 | 63 | 46 | -1 | 30 | 85 | 86 | 105 | -1 | 89 | -1 | 123 | -1 | 176 | 39 | 42 | 48 | -1 | -1 | -1 | 94 | -1 | 81 | 44 | -1 | 26 | -1 | -1 | 75 | 32 | 27 | 74 | 35 | -1 | -1 | 87 | 110 | -1 | 121 | -1 | -1 | 103 | -1 | 77 | 33 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArf | NULL | 2 | iobs/IORW1 | NULL | 3 | ram/RS_FSM_FFd2 | NULL | 4 | A_FSB<22> | 95 | 5 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | iobs/IOReady | NULL | 7 | BERR_IOBS | NULL | 8 | ALE1 | NULL | 9 | ram/Once | NULL | 10 | nVMA_IOB_OBUF | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | iobs/PS_FSM_FFd2 | NULL | 12 | iobm/ES<1> | NULL | 13 | iobs/Once | NULL | 15 | IOBERR | NULL | 17 | IOACT | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobm/ES<4> | NULL | 21 | A_FSB<19> | 82 | 23 | A_FSB<23> | 76 | 24 | cs/nOverlay1 | NULL | 26 | A_FSB<20> | 93 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 27 | A_FSB<17> | 90 | 28 | iobm/ES<2> | NULL | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RS_FSM_FFd1 | NULL | 33 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 34 | iobm/ES<3> | NULL | 35 | nWE_FSB | 66 | 36 | ram/RAMDIS1 | NULL | 40 | RefAck | NULL | 41 | nAS_FSB | 73 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | A_FSB<21> | 80 | 43 | iobm/VPArr | NULL | 46 | iobm/ES<0> | NULL | 47 | ram/RAMDIS2 | NULL | 48 | A_FSB<16> | 89 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<18> | 92 | 50 | nLDS_FSB | 71 | 51 | iobs/IOACTr | NULL | 52 | fsb/Ready1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArr | NULL | 1 | iobm/VPArf | NULL | 2 | cs/nOverlay1 | NULL | 4 | A_FSB<16> | 95 | 5 | A_FSB<21> | 68 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | A_FSB<13> | 82 | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | iobm/ES<1> | NULL | 12 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | ram/RAMDIS1 | NULL | 14 | nVMA_IOB_OBUF | NULL | 15 | A_FSB<18> | 76 | 16 | iobs/IORW1 | NULL | 17 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobs/Load1 | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 28 | RefAck | NULL | 29 | A_FSB<23> | 66 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | A_FSB<14> | 93 | 33 | fsb/ASrf | NULL | 34 | ram/RAMDIS2 | NULL | 35 | iobm/ES<4> | NULL | 36 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/Clear1 | NULL | 38 | iobs/IOACTr | NULL | 39 | IORW0 | NULL | 41 | ALE1 | NULL | 43 | A_FSB<19> | 73 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | IOACT | NULL | 47 | nWE_FSB | 61 | 48 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<20> | 71 | 52 | A_FSB<22> | 9 -FB_IMUX_INDEX | FOOBAR3_ | 108 | -1 | 38 | 57 | 164 | 41 | 42 | 43 | 8 | 45 | 46 | 47 | 120 | 49 | -1 | 33 | -1 | 35 | -1 | 123 | -1 | 151 | -1 | 175 | 133 | -1 | 152 | 146 | 125 | -1 | 141 | 44 | -1 | 93 | 118 | 205 | 63 | -1 | -1 | -1 | 99 | 183 | 155 | 87 | -1 | -1 | 121 | 71 | 144 | 150 | 189 | 92 | 51 | -1 +FB_IMUX_INDEX | FOOBAR3_ | 18 | 19 | 56 | -1 | 164 | 197 | -1 | 151 | 44 | 99 | 100 | -1 | 102 | 67 | 50 | 175 | 52 | 107 | -1 | 59 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | -1 | 94 | 205 | 152 | -1 | -1 | 42 | 118 | 106 | 63 | 41 | 39 | 38 | -1 | 46 | -1 | 183 | -1 | 72 | -1 | 213 | 47 | -1 | 189 | -1 | 202 | -1 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/BACTr | NULL | 2 | A_FSB<6> | 19 | 3 | ram/RS_FSM_FFd2 | NULL | 4 | nUDS_FSB | 20 | 5 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | cs/nOverlay1 | NULL | 8 | A_FSB<20> | 93 | 9 | ram/Once | NULL | 13 | nWE_FSB | 66 | 15 | A_FSB<23> | 76 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | A_FSB<11> | 11 | 17 | ram/RAMDIS2 | NULL | 19 | A_FSB<2> | 18 | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | fsb/ASrf | NULL | 34 | A_FSB<15> | 15 | 36 | ram/RAMDIS1 | NULL | 37 | cnt/RefCnt<6> | NULL | 41 | nAS_FSB | 73 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 42 | A_FSB<21> | 80 | 45 | cnt/RefCnt<5> | NULL | 48 | A_FSB<22> | 95 | 49 | cnt/RefCnt<7> | NULL | 50 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RASEL | NULL | 2 | A_FSB<1> | 90 | 3 | cs/nOverlay0 | NULL | 4 | nWE_FSB | 61 | 6 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | ram/RS_FSM_FFd1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefDone | NULL | 10 | A_FSB<22> | 9 | 11 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 14 | TimeoutB | NULL | 15 | fsb/BERR0r | NULL | 17 | ram/BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cnt/RefCnt<6> | NULL | 20 | A_FSB<12> | 92 | 21 | A_FSB<17> | 96 | 22 | ram/RS_FSM_FFd3 | NULL | 23 | A_FSB<18> | 76 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | nAS_FSB | 13 | 27 | A_FSB<3> | 78 | 28 | A_FSB<10> | 89 | 29 | A_FSB<20> | 71 | 30 | A_FSB<14> | 93 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cs/nOverlay1 | NULL | 34 | A_FSB<5> | 15 | 35 | A_FSB<23> | 66 | 36 | iobs/Once | NULL | 41 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | A_FSB<19> | 73 | 46 | A_FSB<13> | 82 | 47 | A_FSB<21> | 68 | 48 | A_FSB<16> | 95 | 49 | ram/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 50 | cnt/RefCnt<5> | NULL -FB_IMUX_INDEX | FOOBAR4_ | 90 | -1 | 228 | 57 | 230 | 59 | -1 | 133 | 152 | 45 | -1 | -1 | -1 | 205 | -1 | 175 | 208 | 71 | -1 | 226 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 141 | 44 | -1 | 93 | 216 | -1 | 63 | 128 | -1 | -1 | -1 | 183 | 155 | -1 | -1 | 129 | -1 | -1 | 164 | 143 | 136 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 146 | 111 | 213 | -1 | 42 | 115 | 44 | 27 | 202 | 47 | 120 | 121 | 32 | 69 | -1 | 53 | -1 | 123 | 150 | 168 | 112 | 175 | 212 | -1 | -1 | 167 | 144 | 189 | 152 | -1 | -1 | 56 | 216 | 205 | 63 | -1 | -1 | -1 | -1 | 46 | -1 | 183 | -1 | -1 | 151 | 197 | 164 | 117 | 108 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 8 | A_FSB<20> | 93 | 11 | A_FSB<21> | 80 | 12 | E_IOB | 46 | 19 | A_FSB<22> | 95 | 23 | A_FSB<23> | 76 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 24 | cs/nOverlay1 | NULL | 52 | nVPA_IOB | 28 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | nBERR_IOB | 18 | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/IOREQr | NULL | 5 | iobm/IOS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | iobm/BERRrr | NULL | 7 | A_FSB<13> | 82 | 8 | iobm/BERRrf | NULL | 9 | iobs/Once | NULL | 10 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | iobm/ETACK | NULL | 14 | A_FSB<4> | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL | 20 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL | 29 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | CLK_IOB | 27 | 31 | iobs/PS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd8 | NULL | 33 | cs/nOverlay1 | NULL | 35 | A_FSB<23> | 66 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | iobm/IOS_FSM_FFd6 | NULL | 38 | A_FSB<22> | 9 | 39 | iobm/IOS_FSM_FFd7 | NULL | 43 | A_FSB<11> | 86 | 45 | A_FSB<2> | 16 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | IOBERR | NULL | 47 | A_FSB<21> | 68 | 50 | A_FSB<20> | 71 | 51 | iobm/DTACKrr | NULL | 52 | ram/RASEL | NULL -FB_IMUX_INDEX | FOOBAR5_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 152 | -1 | -1 | 155 | 255 | -1 | -1 | -1 | -1 | -1 | -1 | 164 | -1 | -1 | -1 | 175 | 133 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 252 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 20 | 21 | 22 | 77 | 78 | 151 | 80 | 63 | 46 | -1 | -1 | 49 | 223 | 87 | 142 | -1 | -1 | -1 | 93 | -1 | 39 | 42 | 212 | -1 | -1 | 86 | -1 | 76 | 248 | 44 | 82 | 56 | -1 | 205 | 75 | -1 | 202 | 74 | -1 | -1 | -1 | 147 | -1 | 218 | 89 | 197 | -1 | -1 | 189 | 92 | 54 | -1 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | fsb/VPA | NULL | 3 | fsb/ASrf | NULL | 5 | iobs/PS_FSM_FFd1 | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | iobs/PS_FSM_FFd2 | NULL | 12 | iobs/IOU1 | NULL | 13 | iobs/IOL1 | NULL | 16 | A_FSB<5> | 25 | 17 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 22 | A_FSB<4> | 54 | 24 | A_FSB<13> | 13 | 31 | ram/RS_FSM_FFd1 | NULL | 32 | cnt/RefCnt<2> | NULL | 35 | nWE_FSB | 66 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | nUDS_FSB | 20 | 40 | IOACT | NULL | 41 | nAS_FSB | 73 | 42 | ram/RS_FSM_FFd2 | NULL | 46 | cnt/RefCnt<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RASEL | NULL | 50 | nLDS_FSB | 71 | 53 | A_FSB<14> | 14 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/Er2 | NULL | 4 | nWE_FSB | 61 | 5 | iobs/Load1 | NULL | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | iobs/PS_FSM_FFd2 | NULL | 11 | iobs/PS_FSM_FFd1 | NULL | 16 | iobm/ES<4> | NULL | 17 | iobm/Er | NULL | 24 | nAS_FSB | 13 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | iobm/ES<1> | NULL | 33 | nLDS_FSB | 25 | 34 | ram/RAMDIS2 | NULL | 37 | ram/RS_FSM_FFd1 | NULL | 41 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | nUDS_FSB | 52 | 43 | iobm/ES<0> | NULL | 45 | ram/RAMDIS1 | NULL | 46 | nDTACK_IOB | 54 | 47 | iobm/ES<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RS_FSM_FFd2 | NULL | 49 | fsb/VPA | NULL | 52 | ram/RASEL | NULL | 53 | iobm/ES<2> | NULL -FB_IMUX_INDEX | FOOBAR6_ | 126 | -1 | -1 | 93 | -1 | 41 | -1 | 97 | 8 | -1 | -1 | 47 | 102 | 103 | -1 | -1 | 242 | 53 | -1 | -1 | -1 | -1 | 231 | -1 | 212 | -1 | -1 | -1 | -1 | -1 | -1 | 44 | 96 | -1 | -1 | 205 | -1 | -1 | -1 | 230 | 35 | 183 | 57 | -1 | -1 | -1 | 89 | -1 | 59 | -1 | 189 | -1 | -1 | 214 +FB_IMUX_INDEX | FOOBAR6_ | 90 | -1 | -1 | -1 | 213 | 59 | 96 | 97 | 44 | -1 | -1 | 47 | -1 | -1 | -1 | -1 | 106 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | 212 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | -1 | 242 | 118 | -1 | -1 | 115 | -1 | -1 | -1 | 46 | 243 | 102 | -1 | 67 | 231 | 99 | 120 | 14 | -1 | -1 | 54 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | nRES | 99 | 2 | A_FSB<17> | 90 | 5 | ram/RASEL | NULL | 6 | iobm/Er2 | NULL | 7 | A_FSB<19> | 82 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 8 | ALE0M | NULL | 9 | nVPA_IOB | 28 | 10 | ALE0S | NULL | 13 | iobm/ES<0> | NULL | 15 | iobm/ES<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | IOREQ | NULL | 17 | iobm/ES<2> | NULL | 20 | A_FSB<18> | 92 | 26 | A_FSB<20> | 93 | 34 | iobm/ES<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | iobm/IOS_FSM_FFd4 | NULL | 40 | A_FSB<9> | 59 | 42 | A_FSB<8> | 52 | 45 | nDTACK_IOB | 49 | 47 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | iobm/ES<1> | NULL | 49 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/RASEL | NULL | 1 | A_FSB<9> | 12 | 2 | cs/nOverlay1 | NULL | 3 | nAS_FSB | 13 | 4 | A_FSB<16> | 95 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready0r | NULL | 7 | A_FSB<19> | 73 | 8 | A_FSB<17> | 96 | 9 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 10 | ram/RAMDIS2 | NULL | 11 | A_FSB<15> | 80 | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 15 | cnt/RefCnt<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | ram/RAMReady | NULL | 23 | A_FSB<18> | 76 | 29 | A_FSB<20> | 71 | 30 | cnt/RefCnt<0> | NULL | 32 | A_FSB<8> | 64 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 35 | A_FSB<23> | 66 | 37 | ram/RS_FSM_FFd1 | NULL | 39 | A_FSB<7> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 42 | cnt/RefCnt<2> | NULL | 43 | ram/RS_FSM_FFd3 | NULL | 44 | cnt/RefCnt<4> | NULL | 45 | A_FSB<6> | 19 | 47 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/RefCnt<3> | NULL | 49 | ram/Once | NULL | 50 | ram/BACTr | NULL | 51 | cs/nOverlay0 | NULL | 52 | A_FSB<22> | 9 -FB_IMUX_INDEX | FOOBAR7_ | 176 | -1 | 146 | -1 | -1 | 59 | 114 | 151 | 26 | 252 | 100 | -1 | -1 | 121 | -1 | 123 | 16 | 125 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | -1 | 152 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 118 | -1 | -1 | -1 | -1 | 21 | 217 | -1 | 243 | -1 | -1 | 249 | -1 | 30 | 120 | 88 | -1 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR7_ | 54 | 210 | 56 | 212 | 164 | 197 | 114 | 183 | 168 | 27 | 118 | 155 | 120 | 121 | -1 | 123 | 124 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | 189 | 81 | -1 | 209 | 42 | 25 | 205 | -1 | 115 | -1 | 230 | -1 | -1 | 24 | 112 | 110 | 228 | -1 | 108 | 23 | 117 | 53 | 111 | 202 | -1 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<12> | 64 | 2 | cnt/RefCnt<6> | NULL | 3 | cnt/RefCnt<5> | NULL | 4 | cnt/RefCnt<3> | NULL | 5 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | cnt/RefCnt<2> | NULL | 7 | A_FSB<3> | 78 | 8 | ram/RS_FSM_FFd1 | NULL | 9 | RefAck | NULL | 10 | cnt/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | A_FSB<21> | 80 | 13 | $OpTx$INV$223.UIM | NULL | 15 | ram/RS_FSM_FFd3 | NULL | 17 | cnt/RefCnt<0> | NULL | 18 | fsb/BERR1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 19 | A_FSB<22> | 95 | 21 | A_FSB<7> | 96 | 23 | A_FSB<23> | 76 | 24 | cs/nOverlay1 | NULL | 26 | A_FSB<20> | 93 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | fsb/VPA | NULL | 32 | fsb/Ready0r | NULL | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 38 | cnt/RefCnt<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 40 | cs/nOverlay0 | NULL | 41 | nAS_FSB | 73 | 42 | ram/RS_FSM_FFd2 | NULL | 43 | TimeoutB | NULL | 45 | ram/RAMReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | BERR_IOBS | NULL | 47 | ram/Once | NULL | 48 | A_FSB<16> | 89 | 49 | cnt/RefCnt<7> | NULL | 51 | fsb/BERR0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 52 | TimeoutA | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | E_IOB | 49 | 7 | ALE0S | NULL | 12 | ALE0M | NULL | 41 | A_FSB<19> | 73 | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 68 | 51 | iobm/IOS_FSM_FFd4 | NULL | 53 | iobm/IOS_FSM_FFd2 | NULL -FB_IMUX_INDEX | FOOBAR8_ | 209 | -1 | 128 | 129 | 94 | 59 | 96 | 167 | 44 | 99 | 136 | 155 | -1 | 13 | -1 | 141 | -1 | 89 | 132 | 164 | -1 | 168 | -1 | 175 | 133 | -1 | 152 | 126 | -1 | -1 | -1 | -1 | 138 | 93 | 97 | -1 | -1 | -1 | 130 | -1 | 135 | 183 | 57 | 139 | -1 | 67 | 43 | 45 | 144 | 143 | -1 | 9 | 142 | -1 +FB_IMUX_INDEX | FOOBAR8_ | -1 | -1 | -1 | -1 | -1 | -1 | 249 | 43 | -1 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 87 | -1 | -1 | -1 | 197 | -1 | -1 | -1 | 77 | -1 | 85 GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 diff --git a/cpld/XC95144XL/MXSE.xise b/cpld/XC95144XL/MXSE.xise index 6183bcf..1bc0387 100644 --- a/cpld/XC95144XL/MXSE.xise +++ b/cpld/XC95144XL/MXSE.xise @@ -35,32 +35,28 @@ - + - + - - - - - - - - - + - + - + - + + + + + @@ -193,8 +189,8 @@ - - + + @@ -208,7 +204,7 @@ - + @@ -248,7 +244,7 @@ - + diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144XL/MXSE_envsettings.html index a646aee..7fa20b0 100644 --- a/cpld/XC95144XL/MXSE_envsettings.html +++ b/cpld/XC95144XL/MXSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin XILINX @@ -206,7 +206,7 @@ -uc   -C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf +C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf None diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii.htm b/cpld/XC95144XL/MXSE_html/fit/ascii.htm index f3fdec8..9fad9ed 100644 --- a/cpld/XC95144XL/MXSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/MXSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: MXSE Date: 10-24-2021, 6:31AM +Design Name: MXSE Date: 12-11-2021, 6:24AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -106/144 ( 74%) 411 /720 ( 57%) 214/432 ( 50%) 82 /144 ( 57%) 67 /81 ( 83%) +110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 7/18 37/54 81/90 11/11* -FB2 18/18* 25/54 49/90 6/10 -FB3 14/18 39/54 81/90 7/10 -FB4 9/18 25/54 81/90 10/10* -FB5 4/18 7/54 4/90 3/10 -FB6 18/18* 23/54 26/90 10/10* -FB7 18/18* 22/54 34/90 10/10* -FB8 18/18* 36/54 55/90 10/10* +FB1 9/18 36/54 80/90 11/11* +FB2 18/18* 35/54 34/90 6/10 +FB3 14/18 35/54 77/90 6/10 +FB4 10/18 36/54 82/90 10/10* +FB5 17/18 35/54 47/90 4/10 +FB6 18/18* 24/54 37/90 10/10* +FB7 17/18 35/54 76/90 10/10* +FB8 7/18 8/54 6/90 10/10* ----- ----- ----- ----- - 106/144 214/432 411/720 67/81 + 110/144 244/432 439/720 67/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 1 1 | ** Power Data ** -There are 106 macrocells in high performance mode (MCHP). +There are 110 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -64,162 +64,166 @@ WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will ** 32 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 25 31 FB1_3 12 I/O O STD FAST RESET -nADoutLE1 13 16 FB1_9 16 I/O O STD FAST SET -nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET -nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET -RA<0> 2 3 FB2_14 8 I/O O STD FAST -nDoutOE 2 7 FB2_15 9 I/O O STD FAST RESET -nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET -nRAS 3 8 FB3_5 24 I/O O STD FAST -nVMA_IOB 2 9 FB3_11 29 I/O O STD FAST RESET -nRAMLWE 1 5 FB3_15 33 I/O O STD FAST -RA<1> 2 3 FB4_2 87 I/O O STD FAST -RA<5> 2 3 FB4_8 91 I/O O STD FAST -nDinOE 2 6 FB4_12 94 I/O O STD FAST -nRAMUWE 1 5 FB4_17 97 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -RA<3> 2 3 FB6_2 74 I/O O STD FAST -RA<4> 2 3 FB6_6 77 I/O O STD FAST -nCAS 1 1 FB6_9 79 I/O O STD FAST RESET -nOE 1 2 FB6_12 81 I/O O STD FAST -nROMWE 1 2 FB6_15 85 I/O O STD FAST -nVPA_FSB 1 2 FB6_17 86 I/O O STD FAST -RA<7> 2 3 FB7_2 50 I/O O STD FAST -RA<8> 2 3 FB7_6 53 I/O O STD FAST -RA<9> 2 3 FB7_9 55 I/O O STD FAST -RA<11> 1 1 FB7_12 58 I/O O STD FAST -nADoutLE0 1 2 FB7_15 60 I/O O STD FAST -nDinLE 1 2 FB7_17 61 I/O O STD FAST RESET -nBERR_FSB 4 9 FB8_2 63 I/O O STD FAST -RA<2> 2 3 FB8_6 65 I/O O STD FAST -RA<6> 2 3 FB8_9 67 I/O O STD FAST -RA<10> 1 1 FB8_12 70 I/O O STD FAST -nAoutOE 0 0 FB8_15 72 I/O O STD FAST +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET +nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST +nOE 1 2 FB1_11 17 I/O O STD FAST +nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET +nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET +nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET +nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET +RA<0> 2 3 FB4_2 87 I/O O STD FAST +RA<2> 2 3 FB4_8 91 I/O O STD FAST +RA<4> 2 3 FB4_12 94 I/O O STD FAST +nDinOE 2 6 FB4_17 97 I/O O STD FAST +RA<1> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_8 39 I/O O STD FAST +nROMCS 2 5 FB5_12 42 I/O O STD FAST +nCAS 1 1 FB6_2 74 I/O O STD FAST RESET +nRAMLWE 1 5 FB6_6 77 I/O O STD FAST +nRAMUWE 1 5 FB6_9 79 I/O O STD FAST +nROMWE 1 2 FB6_12 81 I/O O STD FAST +nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST +RA<5> 2 3 FB7_2 50 I/O O STD FAST +RA<6> 2 3 FB7_6 53 I/O O STD FAST +RA<7> 2 3 FB7_9 55 I/O O STD FAST +RA<8> 2 3 FB7_12 58 I/O O STD FAST +RA<9> 2 3 FB7_15 60 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +RA<10> 1 1 FB8_6 65 I/O O STD FAST +nADoutLE0 1 2 FB8_9 67 I/O O STD FAST +nAoutOE 0 0 FB8_12 70 I/O O STD FAST +nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET -** 74 Buried Nodes ** +** 78 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -fsb/BERR0r 3 8 FB1_10 STD RESET -fsb/Ready2r 5 21 FB1_12 STD RESET -$OpTx$INV$223 10 24 FB1_14 STD -IORW0 14 18 FB1_16 STD RESET -IOREQ 11 17 FB1_17 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB2_1 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB2_2 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_3 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_4 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_5 STD RESET -iobm/BERRrr 1 1 FB2_6 STD RESET -iobm/BERRrf 1 1 FB2_7 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB2_8 STD SET -ALE0M 2 7 FB2_9 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB2_10 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB2_13 STD RESET -IOBERR 8 11 FB2_16 STD RESET -IOACT 10 15 FB2_18 STD RESET -iobs/IORW1 15 17 FB3_3 STD RESET -iobm/ETACK 1 6 FB3_4 STD RESET -iobs/PS_FSM_FFd1 2 3 FB3_6 STD RESET -iobs/IOReady 4 8 FB3_7 STD RESET -BERR_IOBS 4 8 FB3_8 STD RESET -ram/RS_FSM_FFd1 5 10 FB3_9 STD RESET -ram/Once 5 10 FB3_10 STD RESET -iobs/PS_FSM_FFd2 10 17 FB3_12 STD RESET -iobs/Once 11 16 FB3_14 STD RESET -fsb/Ready1r 5 15 FB3_16 STD RESET -iobs/Load1 13 16 FB3_18 STD RESET -ram/RS_FSM_FFd2 13 14 FB4_4 STD RESET -ram/RASEL 20 15 FB4_6 STD RESET -ram/RAMDIS1 18 15 FB4_10 STD RESET -ram/RAMReady 16 15 FB4_14 STD RESET -ram/RAMDIS2 7 15 FB4_18 STD RESET -iobm/VPArr 1 1 FB5_16 STD RESET -iobm/Er 1 1 FB5_17 STD RESET -cnt/RefCnt<0> 0 0 FB5_18 STD RESET -ram/BACTr 1 2 FB6_1 STD RESET -iobs/IOACTr 1 1 FB6_3 STD RESET -fsb/ASrf 1 1 FB6_4 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +$OpTx$FX_DC$606 5 12 FB1_4 STD +fsb/Ready1r 7 17 FB1_7 STD RESET +fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD +fsb/Ready2r 9 22 FB1_12 STD RESET +fsb/VPA 22 30 FB1_15 STD RESET +$OpTx$FX_DC$602 2 5 FB1_17 STD +iobm/VPArr 1 1 FB2_1 STD RESET +iobm/VPArf 1 1 FB2_2 STD RESET +iobm/RESrr 1 1 FB2_3 STD RESET +iobm/RESrf 1 1 FB2_4 STD RESET +iobm/IOREQr 1 1 FB2_5 STD RESET +cnt/RefCnt<3> 1 3 FB2_6 STD RESET +cnt/RefCnt<2> 1 2 FB2_7 STD RESET +cnt/RefCnt<1> 1 1 FB2_8 STD RESET +fsb/BERR1r 2 4 FB2_9 STD RESET +cnt/RefDone 2 10 FB2_10 STD RESET +cnt/TimeoutBPre 3 11 FB2_13 STD RESET +TimeoutB 3 12 FB2_15 STD RESET +TimeoutA 3 10 FB2_16 STD RESET +iobs/IOReady 4 8 FB2_18 STD RESET +IORW0 18 20 FB3_3 STD RESET +iobs/IOACTr 1 1 FB3_4 STD RESET +iobs/Clear1 1 3 FB3_6 STD RESET +fsb/ASrf 1 1 FB3_7 STD RESET +ALE0S 1 2 FB3_8 STD RESET +iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET +iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET +IOREQ 14 19 FB3_13 STD RESET +iobm/ETACK 1 6 FB3_14 STD RESET +iobs/IORW1 16 19 FB3_17 STD RESET +ram/BACTr 1 2 FB3_18 STD RESET +ram/RASEL 20 15 FB4_1 STD RESET +cs/nOverlay1 2 3 FB4_3 STD RESET +iobs/Load1 14 18 FB4_6 STD RESET +iobs/Once 17 18 FB4_10 STD RESET +ram/RAMDIS1 18 15 FB4_14 STD RESET +fsb/BERR0r 3 8 FB4_16 STD RESET +IOACT 10 15 FB5_1 STD RESET +iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET +iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/RefCnt<3> 1 3 FB6_5 STD RESET -cnt/RefCnt<2> 1 2 FB6_7 STD RESET -cnt/RefCnt<1> 1 1 FB6_8 STD RESET -RefAck 1 2 FB6_10 STD RESET -ALE0S 1 2 FB6_11 STD RESET -iobs/IOU1 2 2 FB6_13 STD RESET -iobs/IOL1 2 2 FB6_14 STD RESET -IOU0 3 5 FB6_16 STD RESET -IOL0 3 5 FB6_18 STD RESET -iobm/VPArf 1 1 FB7_1 STD RESET -iobm/RESrr 1 1 FB7_3 STD RESET -iobm/RESrf 1 1 FB7_4 STD RESET -iobm/IOREQr 1 1 FB7_5 STD RESET -iobm/Er2 1 1 FB7_7 STD RESET -iobm/DTACKrr 1 1 FB7_8 STD RESET -iobm/DTACKrf 1 1 FB7_10 STD RESET -iobm/ES<3> 3 6 FB7_11 STD RESET -iobm/ES<1> 3 4 FB7_13 STD RESET -iobm/ES<0> 3 7 FB7_14 STD RESET -iobm/ES<4> 4 7 FB7_16 STD RESET -iobm/ES<2> 5 7 FB7_18 STD RESET -fsb/VPA 14 12 FB8_1 STD RESET -cnt/RefCnt<6> 1 6 FB8_3 STD RESET -cnt/RefCnt<5> 1 5 FB8_4 STD RESET -cnt/RefCnt<4> 1 4 FB8_5 STD RESET -fsb/BERR1r 2 4 FB8_7 STD RESET -cs/nOverlay1 2 3 FB8_8 STD RESET -cs/nOverlay0 2 7 FB8_10 STD RESET -cnt/RefDone 2 10 FB8_11 STD RESET -fsb/Ready0r 3 8 FB8_13 STD RESET -TimeoutB 3 12 FB8_14 STD RESET -ram/RS_FSM_FFd3 11 14 FB8_16 STD RESET -TimeoutA 3 11 FB8_17 STD RESET -cnt/RefCnt<7> 1 7 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET +iobm/BERRrr 1 1 FB5_7 STD RESET +iobm/BERRrf 1 1 FB5_9 STD RESET +cnt/RefCnt<0> 0 0 FB5_10 STD RESET +iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET +ALE0M 2 7 FB5_13 STD RESET +iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET +BERR_IOBS 4 8 FB5_15 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOBERR 8 11 FB5_18 STD RESET +iobm/Er2 1 1 FB6_1 STD RESET +iobm/DTACKrr 1 1 FB6_3 STD RESET +iobm/DTACKrf 1 1 FB6_4 STD RESET +RefAck 1 2 FB6_5 STD RESET +iobs/IOU1 2 2 FB6_7 STD RESET +iobs/IOL1 2 2 FB6_8 STD RESET +iobm/ES<3> 3 6 FB6_10 STD RESET +iobm/ES<1> 3 4 FB6_11 STD RESET +iobm/ES<0> 3 7 FB6_13 STD RESET +IOU0 3 5 FB6_14 STD RESET +IOL0 3 5 FB6_16 STD RESET +iobm/ES<4> 4 7 FB6_17 STD RESET +iobm/ES<2> 5 7 FB6_18 STD RESET +cnt/RefCnt<5> 1 5 FB7_1 STD RESET +cnt/RefCnt<4> 1 4 FB7_3 STD RESET +cs/nOverlay0 2 7 FB7_4 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET +ram/Once 5 10 FB7_10 STD RESET +ram/RAMDIS2 7 15 FB7_11 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET +cnt/RefCnt<7> 1 7 FB7_14 STD RESET +cnt/RefCnt<6> 1 6 FB7_16 STD RESET +ram/RAMReady 16 15 FB7_17 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET +iobm/Er 1 1 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<11> FB1_2 11 I/O I -A_FSB<13> FB1_5 13 I/O I -A_FSB<14> FB1_6 14 I/O I -A_FSB<15> FB1_8 15 I/O I -A_FSB<1> FB1_11 17 I/O I -A_FSB<2> FB1_12 18 I/O I -A_FSB<6> FB1_14 19 I/O I -nUDS_FSB FB1_15 20 I/O I -CLK2X_IOB FB1_17 22~ GCK/I/O GCK -nRES FB2_2 99~ GSR/I/O GSR/I -CLK_FSB FB3_2 23~ GCK/I/O GCK -A_FSB<5> FB3_6 25 I/O I -CLK_IOB FB3_8 27~ GCK/I/O GCK/I -nVPA_IOB FB3_9 28 I/O I -A_FSB<16> FB4_5 89 I/O I -A_FSB<17> FB4_6 90 I/O I -A_FSB<18> FB4_9 92 I/O I -A_FSB<20> FB4_11 93 I/O I -A_FSB<22> FB4_14 95 I/O I -A_FSB<7> FB4_15 96 I/O I -E_IOB FB5_15 46 I/O I -nDTACK_IOB FB5_17 49 I/O I -A_FSB<23> FB6_5 76 I/O I -A_FSB<3> FB6_8 78 I/O I -A_FSB<21> FB6_11 80 I/O I -A_FSB<19> FB6_14 82 I/O I -A_FSB<8> FB7_5 52 I/O I -A_FSB<4> FB7_8 54 I/O I -nBERR_IOB FB7_11 56 I/O I -A_FSB<9> FB7_14 59 I/O I -A_FSB<12> FB8_5 64 I/O I -nWE_FSB FB8_8 66 I/O I -A_FSB<10> FB8_11 68 I/O I -nLDS_FSB FB8_14 71 I/O I -nAS_FSB FB8_17 73 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<9> FB1_3 12 I/O I +nAS_FSB FB1_5 13 I/O I +A_FSB<5> FB1_8 15 I/O I +A_FSB<2> FB1_9 16 I/O I +nBERR_IOB FB1_12 18 I/O I +A_FSB<6> FB1_14 19 I/O I +A_FSB<7> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22~ GCK/I/O GCK +nRES FB2_2 99~ GSR/I/O GSR/I +A_FSB<22> FB2_15 9 I/O I +CLK_FSB FB3_2 23~ GCK/I/O GCK +nLDS_FSB FB3_6 25 I/O I +CLK_IOB FB3_8 27~ GCK/I/O GCK/I +A_FSB<10> FB4_5 89 I/O I +A_FSB<1> FB4_6 90 I/O I +A_FSB<12> FB4_9 92 I/O I +A_FSB<14> FB4_11 93 I/O I +A_FSB<16> FB4_14 95 I/O I +A_FSB<17> FB4_15 96 I/O I +E_IOB FB5_17 49 I/O I +A_FSB<18> FB6_5 76 I/O I +A_FSB<3> FB6_8 78 I/O I +A_FSB<15> FB6_11 80 I/O I +A_FSB<13> FB6_14 82 I/O I +A_FSB<11> FB6_17 86 I/O I +nUDS_FSB FB7_5 52 I/O I +nDTACK_IOB FB7_8 54 I/O I +A_FSB<4> FB7_11 56 I/O I +nVPA_IOB FB7_14 59 I/O I +nWE_FSB FB7_17 61 I/O I +A_FSB<8> FB8_5 64 I/O I +A_FSB<23> FB8_8 66 I/O I +A_FSB<21> FB8_11 68 I/O I +A_FSB<20> FB8_14 71 I/O I +A_FSB<19> FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -237,424 +241,438 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 37/17 -Number of signals used by logic mapping into function block: 37 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB1_1 (b) (b) -(unused) 0 0 \/5 0 FB1_2 11 I/O I -nDTACK_FSB 25 20<- 0 0 FB1_3 12 I/O O -(unused) 0 0 /\5 0 FB1_4 (b) (b) -(unused) 0 0 /\5 0 FB1_5 13 I/O I -(unused) 0 0 /\5 0 FB1_6 14 I/O I -(unused) 0 0 0 5 FB1_7 (b) -(unused) 0 0 \/5 0 FB1_8 15 I/O I -nADoutLE1 13 8<- 0 0 FB1_9 16 I/O O -fsb/BERR0r 3 1<- /\3 0 FB1_10 (b) (b) -(unused) 0 0 /\1 4 FB1_11 17 I/O I -fsb/Ready2r 5 0 0 0 FB1_12 18 I/O I -(unused) 0 0 \/5 0 FB1_13 (b) (b) -$OpTx$INV$223 10 5<- 0 0 FB1_14 19 I/O I -(unused) 0 0 \/5 0 FB1_15 20 I/O I -IORW0 14 9<- 0 0 FB1_16 (b) (b) -IOREQ 11 10<- /\4 0 FB1_17 22 GCK/I/O GCK -(unused) 0 0 /\5 0 FB1_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 14: A_FSB<23> 26: fsb/Ready2r - 2: A_FSB<11> 15: A_FSB<9> 27: iobs/IOACTr - 3: A_FSB<12> 16: BERR_IOBS 28: iobs/IORW1 - 4: A_FSB<13> 17: IORW0 29: iobs/IOReady - 5: A_FSB<14> 18: TimeoutA 30: iobs/Once - 6: A_FSB<15> 19: TimeoutB 31: iobs/PS_FSM_FFd1 - 7: A_FSB<16> 20: cs/nOverlay1 32: iobs/PS_FSM_FFd2 - 8: A_FSB<17> 21: fsb/ASrf 33: nADoutLE1 - 9: A_FSB<18> 22: fsb/BERR0r 34: nAS_FSB - 10: A_FSB<19> 23: fsb/BERR1r 35: nDTACK_FSB - 11: A_FSB<20> 24: fsb/Ready0r 36: nWE_FSB - 12: A_FSB<21> 25: fsb/Ready1r 37: ram/RAMReady - 13: A_FSB<22> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB XXXXXXXXXXXXXXXX.XXXXXXXXX..X...XXXXX... 31 -nADoutLE1 ......XXXXXXXX.....XX........XXXXX.X.... 16 -fsb/BERR0r ..........XXXX....X.XX...........X...... 8 -fsb/Ready2r XXXXXXXXXXXXXXX..X.XX....X.......X.X.... 21 -$OpTx$INV$223 XXXXXXXXXXXXXXX..X.X...XXX..X...X..XX... 24 -IORW0 ......XXXXXXXX..X..XX......X.XXXXX.X.... 18 -IOREQ ......XXXXXXXX.....XX.....X..XXXXX.X.... 17 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/IOS_FSM_FFd7 1 1<- /\5 0 FB2_1 (b) (b) -iobm/IOS_FSM_FFd6 1 0 /\1 3 FB2_2 99 GSR/I/O GSR/I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_3 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_4 (b) (b) -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_5 1 GTS/I/O (b) -iobm/BERRrr 1 0 0 4 FB2_6 2 GTS/I/O (b) -iobm/BERRrf 1 0 0 4 FB2_7 (b) (b) -iobm/IOS_FSM_FFd8 2 0 0 3 FB2_8 3 GTS/I/O (b) -ALE0M 2 0 0 3 FB2_9 4 GTS/I/O (b) -iobm/IOS_FSM_FFd2 4 0 0 1 FB2_10 (b) (b) -nLDS_IOB 3 0 0 2 FB2_11 6 I/O O -nUDS_IOB 3 0 0 2 FB2_12 7 I/O O -iobm/IOS_FSM_FFd3 5 0 0 0 FB2_13 (b) (b) -RA<0> 2 0 0 3 FB2_14 8 I/O O -nDoutOE 2 0 \/2 1 FB2_15 9 I/O O -IOBERR 8 3<- 0 0 FB2_16 (b) (b) -nAS_IOB 1 0 /\1 3 FB2_17 10 I/O O -IOACT 10 5<- 0 0 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 10: iobm/DTACKrf 18: iobm/IOS_FSM_FFd5 - 2: A_FSB<1> 11: iobm/DTACKrr 19: iobm/IOS_FSM_FFd6 - 3: CLK_IOB 12: iobm/ETACK 20: iobm/IOS_FSM_FFd7 - 4: IOBERR 13: iobm/IOREQr 21: iobm/IOS_FSM_FFd8 - 5: IOL0 14: iobm/IOS_FSM_FFd1 22: iobm/RESrf - 6: IORW0 15: iobm/IOS_FSM_FFd2 23: iobm/RESrr - 7: IOU0 16: iobm/IOS_FSM_FFd3 24: nBERR_IOB - 8: iobm/BERRrf 17: iobm/IOS_FSM_FFd4 25: ram/RASEL - 9: iobm/BERRrr - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/IOS_FSM_FFd7 ..X.........X.......X................... 3 -iobm/IOS_FSM_FFd6 ...................X.................... 1 -iobm/IOS_FSM_FFd5 ..................X..................... 1 -iobm/IOS_FSM_FFd4 .................X...................... 1 -iobm/IOS_FSM_FFd1 ..............X......................... 1 -iobm/BERRrr .......................X................ 1 -iobm/BERRrf .......................X................ 1 -iobm/IOS_FSM_FFd8 ..X.........XX......X................... 4 -ALE0M ............X..XXXXXX................... 7 -iobm/IOS_FSM_FFd2 ..X....XXXXX...X.....XX................. 9 -nLDS_IOB ....XX.........XXXXX.................... 7 -nUDS_IOB .....XX........XXXXX.................... 7 -iobm/IOS_FSM_FFd3 ..X....XXXXX...XX....XX................. 10 -RA<0> XX......................X............... 3 -nDoutOE .....X........XXXXXX.................... 7 -IOBERR ..XX...XXXXX...X.....XXX................ 11 -nAS_IOB ...............XXXXX.................... 5 -IOACT ..X....XXXXXX..XXXXXXXX................. 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\3 2 FB3_1 (b) (b) -(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK -iobs/IORW1 15 10<- 0 0 FB3_3 (b) (b) -iobm/ETACK 1 1<- /\5 0 FB3_4 (b) (b) -nRAS 3 0 /\1 1 FB3_5 24 I/O O -iobs/PS_FSM_FFd1 2 0 0 3 FB3_6 25 I/O I -iobs/IOReady 4 0 \/1 0 FB3_7 (b) (b) -BERR_IOBS 4 1<- \/2 0 FB3_8 27 GCK/I/O GCK/I -ram/RS_FSM_FFd1 5 2<- \/2 0 FB3_9 28 I/O I -ram/Once 5 2<- \/2 0 FB3_10 (b) (b) -nVMA_IOB 2 2<- \/5 0 FB3_11 29 I/O O -iobs/PS_FSM_FFd2 10 5<- 0 0 FB3_12 30 I/O (b) -(unused) 0 0 \/5 0 FB3_13 (b) (b) -iobs/Once 11 6<- 0 0 FB3_14 32 I/O (b) -nRAMLWE 1 0 /\1 3 FB3_15 33 I/O O -fsb/Ready1r 5 0 0 0 FB3_16 (b) (b) -(unused) 0 0 \/5 0 FB3_17 34 I/O (b) -iobs/Load1 13 8<- 0 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<16> 14: fsb/ASrf 27: iobs/PS_FSM_FFd1 - 2: A_FSB<17> 15: fsb/Ready1r 28: iobs/PS_FSM_FFd2 - 3: A_FSB<18> 16: iobm/ES<0> 29: nADoutLE1 - 4: A_FSB<19> 17: iobm/ES<1> 30: nAS_FSB - 5: A_FSB<20> 18: iobm/ES<2> 31: nLDS_FSB - 6: A_FSB<21> 19: iobm/ES<3> 32: nVMA_IOB - 7: A_FSB<22> 20: iobm/ES<4> 33: nWE_FSB - 8: A_FSB<23> 21: iobm/VPArf 34: ram/Once - 9: BERR_IOBS 22: iobm/VPArr 35: ram/RAMDIS1 - 10: IOACT 23: iobs/IOACTr 36: ram/RAMDIS2 - 11: IOBERR 24: iobs/IORW1 37: ram/RS_FSM_FFd1 - 12: RefAck 25: iobs/IOReady 38: ram/RS_FSM_FFd2 - 13: cs/nOverlay1 26: iobs/Once 39: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/IORW1 XXXXXXXX....XX.........X.XXXXX..X....... 17 -iobm/ETACK ...............XXXXX...........X........ 6 -nRAS .....XXX...XX................X....XX.... 8 -iobs/PS_FSM_FFd1 ......................X...XX............ 3 -iobs/IOReady ..........X..X........X.XX.XXX.......... 8 -BERR_IOBS ........X.X..X........X..X.XXX.......... 8 -ram/RS_FSM_FFd1 .....XXX....XX...............X...X..XXX. 10 -ram/Once .....XXX....XX...............X...X..XXX. 10 -nVMA_IOB .........X.....XXXXXXX.........X........ 9 -iobs/PS_FSM_FFd2 XXXXXXXX....XX........X..XXXXX..X....... 17 -iobs/Once XXXXXXXX....XX...........XXXXX..X....... 16 -nRAMLWE .............................XX.X.XX.... 5 -fsb/Ready1r XXXXXXXX....XXX.........X...XX..X....... 15 -iobs/Load1 XXXXXXXX....XX...........XXXXX..X....... 16 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/2 3 FB4_1 (b) (b) -RA<1> 2 2<- \/5 0 FB4_2 87 I/O O -(unused) 0 0 \/5 0 FB4_3 (b) (b) -ram/RS_FSM_FFd2 13 10<- \/2 0 FB4_4 (b) (b) -(unused) 0 0 \/5 0 FB4_5 89 I/O I -ram/RASEL 20 15<- 0 0 FB4_6 90 I/O I -(unused) 0 0 /\5 0 FB4_7 (b) (b) -RA<5> 2 0 /\3 0 FB4_8 91 I/O O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -ram/RAMDIS1 18 13<- 0 0 FB4_10 (b) (b) -(unused) 0 0 /\5 0 FB4_11 93 I/O I -nDinOE 2 0 /\3 0 FB4_12 94 I/O O -(unused) 0 0 \/5 0 FB4_13 (b) (b) -ram/RAMReady 16 11<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -(unused) 0 0 /\1 4 FB4_16 (b) (b) -nRAMUWE 1 0 \/2 2 FB4_17 97 I/O O -ram/RAMDIS2 7 2<- 0 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<11> 10: cnt/RefCnt<6> 18: ram/BACTr - 2: A_FSB<15> 11: cnt/RefCnt<7> 19: ram/Once - 3: A_FSB<20> 12: cnt/RefDone 20: ram/RAMDIS1 - 4: A_FSB<21> 13: cs/nOverlay1 21: ram/RAMDIS2 - 5: A_FSB<22> 14: fsb/ASrf 22: ram/RASEL - 6: A_FSB<23> 15: nAS_FSB 23: ram/RS_FSM_FFd1 - 7: A_FSB<2> 16: nUDS_FSB 24: ram/RS_FSM_FFd2 - 8: A_FSB<6> 17: nWE_FSB 25: ram/RS_FSM_FFd3 - 9: cnt/RefCnt<5> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<1> X.....X..............X.................. 3 -ram/RS_FSM_FFd2 ...XXX..XXXXXXX..X....XXX............... 14 -ram/RASEL ...XXX..XXXXXXX..XX...XXX............... 15 -RA<5> .X.....X.............X.................. 3 -ram/RAMDIS1 ...XXX..XXXXXXX..XX...XXX............... 15 -nDinOE ..XXXX........X.X....................... 6 -ram/RAMReady ...XXX..XXXXXXX..XX...XXX............... 15 -nRAMUWE ..............XXX..XX................... 5 -ram/RAMDIS2 ...XXX..XXXXXXX...X.X.XXX............... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 7/47 -Number of signals used by logic mapping into function block: 7 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB5_1 (b) -nROMCS 2 0 0 3 FB5_2 35 I/O O -(unused) 0 0 0 5 FB5_3 (b) -(unused) 0 0 0 5 FB5_4 (b) -(unused) 0 0 0 5 FB5_5 36 I/O -(unused) 0 0 0 5 FB5_6 37 I/O -(unused) 0 0 0 5 FB5_7 (b) -(unused) 0 0 0 5 FB5_8 39 I/O -(unused) 0 0 0 5 FB5_9 40 I/O -(unused) 0 0 0 5 FB5_10 (b) -(unused) 0 0 0 5 FB5_11 41 I/O -(unused) 0 0 0 5 FB5_12 42 I/O -(unused) 0 0 0 5 FB5_13 (b) -(unused) 0 0 0 5 FB5_14 43 I/O -(unused) 0 0 0 5 FB5_15 46 I/O I -iobm/VPArr 1 0 0 4 FB5_16 (b) (b) -iobm/Er 1 0 0 4 FB5_17 49 I/O I -cnt/RefCnt<0> 0 0 0 5 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<20> 4: A_FSB<23> 6: cs/nOverlay1 - 2: A_FSB<21> 5: E_IOB 7: nVPA_IOB - 3: A_FSB<22> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS XXXX.X.................................. 5 -iobm/VPArr ......X................................. 1 -iobm/Er ....X................................... 1 -cnt/RefCnt<0> ........................................ 0 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 23/31 -Number of signals used by logic mapping into function block: 23 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB6_1 (b) (b) -RA<3> 2 0 0 3 FB6_2 74 I/O O -iobs/IOACTr 1 0 0 4 FB6_3 (b) (b) -fsb/ASrf 1 0 0 4 FB6_4 (b) (b) -cnt/RefCnt<3> 1 0 0 4 FB6_5 76 I/O I -RA<4> 2 0 0 3 FB6_6 77 I/O O -cnt/RefCnt<2> 1 0 0 4 FB6_7 (b) (b) -cnt/RefCnt<1> 1 0 0 4 FB6_8 78 I/O I -nCAS 1 0 0 4 FB6_9 79 I/O O -RefAck 1 0 0 4 FB6_10 (b) (b) -ALE0S 1 0 0 4 FB6_11 80 I/O I -nOE 1 0 0 4 FB6_12 81 I/O O -iobs/IOU1 2 0 0 3 FB6_13 (b) (b) -iobs/IOL1 2 0 0 3 FB6_14 82 I/O I -nROMWE 1 0 0 4 FB6_15 85 I/O O -IOU0 3 0 0 2 FB6_16 (b) (b) -nVPA_FSB 1 0 0 4 FB6_17 86 I/O O -IOL0 3 0 0 2 FB6_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 9: fsb/ASrf 17: nAS_FSB - 2: A_FSB<14> 10: fsb/VPA 18: nLDS_FSB - 3: A_FSB<4> 11: iobs/IOL1 19: nUDS_FSB - 4: A_FSB<5> 12: iobs/IOU1 20: nWE_FSB - 5: IOACT 13: iobs/Load1 21: ram/RASEL - 6: cnt/RefCnt<0> 14: iobs/PS_FSM_FFd1 22: ram/RS_FSM_FFd1 - 7: cnt/RefCnt<1> 15: iobs/PS_FSM_FFd2 23: ram/RS_FSM_FFd2 - 8: cnt/RefCnt<2> 16: nADoutLE1 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ........X.......X....................... 2 -RA<3> X.X.................X................... 3 -iobs/IOACTr ....X................................... 1 -fsb/ASrf ................X....................... 1 -cnt/RefCnt<3> .....XXX................................ 3 -RA<4> .X.X................X................... 3 -cnt/RefCnt<2> .....XX................................. 2 -cnt/RefCnt<1> .....X.................................. 1 -nCAS ....................X................... 1 -RefAck .....................XX................. 2 -ALE0S .............XX......................... 2 -nOE ................X..X.................... 2 -iobs/IOU1 ............X.....X..................... 2 -iobs/IOL1 ............X....X...................... 2 -nROMWE ................X..X.................... 2 -IOU0 ...........X.XXX..X..................... 5 -nVPA_FSB .........X......X....................... 2 -IOL0 ..........X..XXX.X...................... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 22/32 -Number of signals used by logic mapping into function block: 22 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArf 1 0 0 4 FB7_1 (b) (b) -RA<7> 2 0 0 3 FB7_2 50 I/O O -iobm/RESrr 1 0 0 4 FB7_3 (b) (b) -iobm/RESrf 1 0 0 4 FB7_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB7_5 52 I/O I -RA<8> 2 0 0 3 FB7_6 53 I/O O -iobm/Er2 1 0 0 4 FB7_7 (b) (b) -iobm/DTACKrr 1 0 0 4 FB7_8 54 I/O I -RA<9> 2 0 0 3 FB7_9 55 I/O O -iobm/DTACKrf 1 0 0 4 FB7_10 (b) (b) -iobm/ES<3> 3 0 0 2 FB7_11 56 I/O I -RA<11> 1 0 0 4 FB7_12 58 I/O O -iobm/ES<1> 3 0 0 2 FB7_13 (b) (b) -iobm/ES<0> 3 0 0 2 FB7_14 59 I/O I -nADoutLE0 1 0 0 4 FB7_15 60 I/O O -iobm/ES<4> 4 0 0 1 FB7_16 (b) (b) -nDinLE 1 0 0 4 FB7_17 61 I/O O -iobm/ES<2> 5 0 0 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: ALE0M 9: IOREQ 16: iobm/Er2 - 2: ALE0S 10: iobm/ES<0> 17: iobm/IOS_FSM_FFd3 - 3: A_FSB<17> 11: iobm/ES<1> 18: iobm/IOS_FSM_FFd4 - 4: A_FSB<18> 12: iobm/ES<2> 19: nDTACK_IOB - 5: A_FSB<19> 13: iobm/ES<3> 20: nRES - 6: A_FSB<20> 14: iobm/ES<4> 21: nVPA_IOB - 7: A_FSB<8> 15: iobm/Er 22: ram/RASEL - 8: A_FSB<9> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArf ....................X................... 1 -RA<7> ..X...X..............X.................. 3 -iobm/RESrr ...................X.................... 1 -iobm/RESrf ...................X.................... 1 -iobm/IOREQr ........X............................... 1 -RA<8> ...X...X.............X.................. 3 -iobm/Er2 ..............X......................... 1 -iobm/DTACKrr ..................X..................... 1 -RA<9> ....XX...............X.................. 3 -iobm/DTACKrf ..................X..................... 1 -iobm/ES<3> .........XXXX.XX........................ 6 -RA<11> ....X................................... 1 -iobm/ES<1> .........XX...XX........................ 4 -iobm/ES<0> .........XXXXXXX........................ 7 -nADoutLE0 XX...................................... 2 -iobm/ES<4> .........XXXXXXX........................ 7 -nDinLE ................XX...................... 2 -iobm/ES<2> .........XXXXXXX........................ 7 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -fsb/VPA 14 9<- 0 0 FB8_1 (b) (b) -nBERR_FSB 4 4<- /\5 0 FB8_2 63 I/O O -cnt/RefCnt<6> 1 0 /\4 0 FB8_3 (b) (b) -cnt/RefCnt<5> 1 0 0 4 FB8_4 (b) (b) -cnt/RefCnt<4> 1 0 0 4 FB8_5 64 I/O I -RA<2> 2 0 0 3 FB8_6 65 I/O O -fsb/BERR1r 2 0 0 3 FB8_7 (b) (b) -cs/nOverlay1 2 0 0 3 FB8_8 66 I/O I -RA<6> 2 0 0 3 FB8_9 67 I/O O -cs/nOverlay0 2 0 0 3 FB8_10 (b) (b) -cnt/RefDone 2 0 0 3 FB8_11 68 I/O I -RA<10> 1 0 0 4 FB8_12 70 I/O O -fsb/Ready0r 3 0 0 2 FB8_13 (b) (b) -TimeoutB 3 0 0 2 FB8_14 71 I/O I -nAoutOE 0 0 \/4 1 FB8_15 72 I/O O -ram/RS_FSM_FFd3 11 6<- 0 0 FB8_16 (b) (b) -TimeoutA 3 0 /\2 0 FB8_17 73 I/O I -cnt/RefCnt<7> 1 0 \/4 0 FB8_18 (b) (b) +(unused) 0 0 \/5 0 FB1_1 (b) (b) +nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O +(unused) 0 0 /\5 0 FB1_3 12 I/O I +$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) +(unused) 0 0 /\3 2 FB1_5 13 I/O I +nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O +fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) +(unused) 0 0 0 5 FB1_8 15 I/O I +(unused) 0 0 \/3 2 FB1_9 16 I/O I +fsb/VPA__or00001/fsb/VPA__or00001_D2 + 8 3<- 0 0 FB1_10 (b) (b) +nOE 1 0 \/4 0 FB1_11 17 I/O O +fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I +(unused) 0 0 \/5 0 FB1_13 (b) (b) +(unused) 0 0 \/5 0 FB1_14 19 I/O I +fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I +(unused) 0 0 /\5 0 FB1_16 (b) (b) +$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK +(unused) 0 0 \/5 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$INV$223 13: TimeoutB 25: fsb/ASrf - 2: A_FSB<12> 14: cnt/RefCnt<0> 26: fsb/BERR0r - 3: A_FSB<16> 15: cnt/RefCnt<1> 27: fsb/BERR1r - 4: A_FSB<20> 16: cnt/RefCnt<2> 28: fsb/Ready0r - 5: A_FSB<21> 17: cnt/RefCnt<3> 29: fsb/VPA - 6: A_FSB<22> 18: cnt/RefCnt<4> 30: nAS_FSB - 7: A_FSB<23> 19: cnt/RefCnt<5> 31: ram/Once - 8: A_FSB<3> 20: cnt/RefCnt<6> 32: ram/RAMReady - 9: A_FSB<7> 21: cnt/RefCnt<7> 33: ram/RASEL - 10: BERR_IOBS 22: cnt/RefDone 34: ram/RS_FSM_FFd1 - 11: RefAck 23: cs/nOverlay0 35: ram/RS_FSM_FFd2 - 12: TimeoutA 24: cs/nOverlay1 36: ram/RS_FSM_FFd3 + 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r + 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r + 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r + 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r + 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA + 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 + 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady + 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 + 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB + 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB + 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB + 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 +$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 +nBERR_FSB ............XXXX..X.X..XX.......X....... 9 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 +fsb/VPA__or00001/fsb/VPA__or00001_D2 + ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 +nOE ................................X.X..... 2 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 +fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 +$OpTx$FX_DC$602 ............XXXX....X................... 5 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/VPArr 1 0 0 4 FB2_1 (b) (b) +iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/RESrr 1 0 0 4 FB2_3 (b) (b) +iobm/RESrf 1 0 0 4 FB2_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) +cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) +fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) +cnt/RefDone 2 0 0 3 FB2_10 (b) (b) +nLDS_IOB 3 0 0 2 FB2_11 6 I/O O +nUDS_IOB 3 0 0 2 FB2_12 7 I/O O +cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) +nDoutOE 2 0 0 3 FB2_14 8 I/O O +TimeoutB 3 0 0 2 FB2_15 9 I/O I +TimeoutA 3 0 0 2 FB2_16 (b) (b) +nAS_IOB 1 0 0 4 FB2_17 10 I/O O +iobs/IOReady 4 0 0 1 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 + 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 + 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 + 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr + 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady + 6: IOU0 18: cnt/RefDone 30: iobs/Once + 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 + 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 + 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB + 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES + 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB + 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ..................................X..... 1 +iobm/VPArf ..................................X..... 1 +iobm/RESrr .................................X...... 1 +iobm/RESrf .................................X...... 1 +iobm/IOREQr ...X.................................... 1 +cnt/RefCnt<3> .........XXX............................ 3 +cnt/RefCnt<2> .........XX............................. 2 +cnt/RefCnt<1> .........X.............................. 1 +fsb/BERR1r X..................XX...........X....... 4 +cnt/RefDone ......X..XXXXXXXXX...................... 10 +nLDS_IOB ..X.X.................XXXXX............. 7 +nUDS_IOB ....XX................XXXXX............. 7 +cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 +nDoutOE ....X................XXXXXX............. 7 +TimeoutB ........XXXXXXXXX.XX............X....... 12 +TimeoutA .......X.XXXXXXX...X............X....... 10 +nAS_IOB ......................XXXXX............. 5 +iobs/IOReady .X.................X.......XXXXXX....... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK +IORW0 18 13<- 0 0 FB3_3 (b) (b) +iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) +nRAS 3 0 0 2 FB3_5 24 I/O O +iobs/Clear1 1 0 0 4 FB3_6 25 I/O I +fsb/ASrf 1 0 0 4 FB3_7 (b) (b) +ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I +iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) +(unused) 0 0 /\5 0 FB3_10 (b) (b) +nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O +iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) +IOREQ 14 9<- 0 0 FB3_13 (b) (b) +iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) +nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_16 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) +ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 + 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 + 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once + 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 + 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 + 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 + 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB + 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB + 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB + 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 + 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 + 12: IORW0 24: iobs/IOACTr + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 +iobs/IOACTr ..........X............................. 1 +nRAS .......XXX..XX................X..XX..... 8 +iobs/Clear1 ...........................XXX.......... 3 +fsb/ASrf ..............................X......... 1 +ALE0S ...........................XX........... 2 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 +nADoutLE1 ......................X..X...X.......... 3 +iobs/PS_FSM_FFd1 .......................X...XX........... 3 +IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 +iobm/ETACK ...............XXXXX...........X........ 6 +nVMA_IOB ..........X....XXXXXXX.........X........ 9 +iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 +ram/BACTr ..............X...............X......... 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) +RA<0> 2 2<- /\5 0 FB4_2 87 I/O O +cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) +(unused) 0 0 \/4 1 FB4_5 89 I/O I +iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I +(unused) 0 0 /\5 0 FB4_7 (b) (b) +RA<2> 2 0 \/2 1 FB4_8 91 I/O O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +iobs/Once 17 12<- 0 0 FB4_10 (b) (b) +(unused) 0 0 /\5 0 FB4_11 93 I/O I +RA<4> 2 0 \/3 0 FB4_12 94 I/O O +(unused) 0 0 \/5 0 FB4_13 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) +nDinOE 2 2<- \/5 0 FB4_17 97 I/O O +(unused) 0 0 \/5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once + 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 + 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 + 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 + 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB + 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB + 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr + 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once + 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL + 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 + 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 + 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +RA<0> X.......X.......................X....... 3 +cs/nOverlay1 ....................X.X.....X........... 3 +iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<2> .X...........X..................X....... 3 +iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<4> ...X..........X.................X....... 3 +ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +fsb/BERR0r .........XXXX..X......XX....X........... 8 +nDinOE .........XXXX...............XX.......... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +IOACT 10 5<- 0 0 FB5_1 (b) (b) +RA<1> 2 0 /\3 0 FB5_2 35 I/O O +iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) +iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) +iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) +RA<3> 2 0 0 3 FB5_8 39 I/O O +iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) +cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) +iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) +nROMCS 2 0 0 3 FB5_12 42 I/O O +ALE0M 2 0 0 3 FB5_13 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) +BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) +iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O I +IOBERR 8 5<- \/2 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 + 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 + 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf + 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr + 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr + 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once + 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 + 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 + 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB + 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB + 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL + 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IOACT .........X...XXXXXX.XXXXXXXX............ 15 +RA<1> X.....X...........................X..... 3 +iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 +iobm/IOS_FSM_FFd6 ........................X............... 1 +iobm/IOS_FSM_FFd5 .......................X................ 1 +iobm/IOS_FSM_FFd4 ......................X................. 1 +iobm/BERRrr .................................X...... 1 +RA<3> .X.....X..........................X..... 3 +iobm/BERRrf .................................X...... 1 +cnt/RefCnt<0> ........................................ 0 +iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 +nROMCS ..XXXX.....X............................ 5 +ALE0M ..................X.XXXXXX.............. 7 +iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 +BERR_IOBS ........X.X.X...............XXXXX....... 8 +iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 +IOBERR .........XX..XXXXX..X.....XX.....X...... 11 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/Er2 1 0 0 4 FB6_1 (b) (b) +nCAS 1 0 0 4 FB6_2 74 I/O O +iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) +iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) +RefAck 1 0 0 4 FB6_5 76 I/O I +nRAMLWE 1 0 0 4 FB6_6 77 I/O O +iobs/IOU1 2 0 0 3 FB6_7 (b) (b) +iobs/IOL1 2 0 0 3 FB6_8 78 I/O I +nRAMUWE 1 0 0 4 FB6_9 79 I/O O +iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I +nROMWE 1 0 0 4 FB6_12 81 I/O O +iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) +IOU0 3 0 0 2 FB6_14 82 I/O I +nVPA_FSB 1 0 0 4 FB6_15 85 I/O O +IOL0 3 0 0 2 FB6_16 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I +iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB + 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB + 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB + 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 + 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 + 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL + 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 + 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/Er2 ......X................................. 1 +nCAS .....................X.................. 1 +iobm/DTACKrr ...............X........................ 1 +iobm/DTACKrf ...............X........................ 1 +RefAck ......................XX................ 2 +nRAMLWE ..............X.X.XXX................... 5 +iobs/IOU1 ..........X......X...................... 2 +iobs/IOL1 ..........X.....X....................... 2 +nRAMUWE ..............X..XXXX................... 5 +iobm/ES<3> .XXXX.XX................................ 6 +iobm/ES<1> .XX...XX................................ 4 +nROMWE ..............X...X..................... 2 +iobm/ES<0> .XXXXXXX................................ 7 +IOU0 .........X.XXX...X...................... 5 +nVPA_FSB X.............X......................... 2 +IOL0 ........X..XXX..X....................... 5 +iobm/ES<4> .XXXXXXX................................ 7 +iobm/ES<2> .XXXXXXX................................ 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) +RA<5> 2 0 0 3 FB7_2 50 I/O O +cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) +cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I +RA<6> 2 0 /\3 0 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I +RA<7> 2 0 \/3 0 FB7_9 55 I/O O +ram/Once 5 3<- \/3 0 FB7_10 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I +RA<8> 2 1<- \/4 0 FB7_12 58 I/O O +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I +RA<9> 2 0 \/1 2 FB7_15 60 I/O O +cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) +ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I +(unused) 0 0 /\5 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf + 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r + 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB + 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr + 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once + 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 + 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady + 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL + 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 + 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 + 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 + 12: A_FSB<8> 24: cs/nOverlay1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA X..XXXX..X..X...........XXX.XX.......... 12 -nBERR_FSB ...XXXX..X..X............XX..X.......... 9 -cnt/RefCnt<6> .............XXXXXX..................... 6 cnt/RefCnt<5> .............XXXXX...................... 5 +RA<5> X........X.....................X........ 3 cnt/RefCnt<4> .............XXXX....................... 4 -RA<2> .X.....X........................X....... 3 -fsb/BERR1r .........X..............X.X..X.......... 4 -cs/nOverlay1 ......................X.X....X.......... 3 -RA<6> ..X.....X.......................X....... 3 -cs/nOverlay0 ...XXXX...............X.X....X.......... 7 -cnt/RefDone ..........X..XXXXXXXXX.................. 10 -RA<10> ....X................................... 1 -fsb/Ready0r ....XXX................XX..X.X.X........ 8 -TimeoutB ...........XXXXXXXXXX...X....X.......... 12 -nAoutOE ........................................ 0 -ram/RS_FSM_FFd3 ....XXX...........XXXX.XX....XX..XXX.... 14 -TimeoutA ...........X.XXXXXXXX...X....X.......... 11 +cs/nOverlay0 .....XXXX.............X.X.X............. 7 +ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 +RA<6> .X........X....................X........ 3 +fsb/Ready0r ......XXX..............XXXX...X......... 8 +ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 +RA<7> ..X........X...................X........ 3 +ram/Once ......XXX..............XX.X.X...XXX..... 10 +ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 +RA<8> ...X........X..................X........ 3 +ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 cnt/RefCnt<7> .............XXXXXXX.................... 7 +RA<9> ....XX.........................X........ 3 +cnt/RefCnt<6> .............XXXXXX..................... 6 +ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB8_1 (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +(unused) 0 0 0 5 FB8_3 (b) +(unused) 0 0 0 5 FB8_4 (b) +(unused) 0 0 0 5 FB8_5 64 I/O I +RA<10> 1 0 0 4 FB8_6 65 I/O O +(unused) 0 0 0 5 FB8_7 (b) +(unused) 0 0 0 5 FB8_8 66 I/O I +nADoutLE0 1 0 0 4 FB8_9 67 I/O O +(unused) 0 0 0 5 FB8_10 (b) +(unused) 0 0 0 5 FB8_11 68 I/O I +nAoutOE 0 0 0 5 FB8_12 70 I/O O +(unused) 0 0 0 5 FB8_13 (b) +(unused) 0 0 0 5 FB8_14 71 I/O I +nDinLE 1 0 0 4 FB8_15 72 I/O O +(unused) 0 0 0 5 FB8_16 (b) +iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I +iobm/Er 1 0 0 4 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 + 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 + 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<11> ..X..................................... 1 +RA<10> ...X.................................... 1 +nADoutLE0 XX...................................... 2 +nAoutOE ........................................ 0 +nDinLE ......XX................................ 2 +iobm/IOS_FSM_FFd1 .....X.................................. 1 +iobm/Er ....X................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -662,35 +680,21 @@ cnt/RefCnt<7> .............XXXXXXX.................... 7 ********** Mapped Logic ********** -$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); +$OpTx$FX_DC$602 <= ((NOT TimeoutB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -704,17 +708,13 @@ FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1) - OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)); - - - - + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); @@ -760,12 +760,6 @@ BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND iobm/BERRrf AND iobm/BERRrr) OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND @@ -774,6 +768,12 @@ IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/RESrf AND iobm/RESrr) OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr) @@ -791,7 +791,11 @@ IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) @@ -802,11 +806,7 @@ IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr)); + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -814,46 +814,62 @@ IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -IOREQ_D <= ((EXP14_.EXP) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) - OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +IORW0_T <= ((EXP22_.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND - nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -901,8 +917,8 @@ RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) OR (ram/RASEL AND A_FSB(7))); -RA(7) <= ((A_FSB(17) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(8))); +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); RA(8) <= ((A_FSB(9) AND ram/RASEL) @@ -925,17 +941,17 @@ FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + NOT cnt/RefCnt(4) AND fsb/ASrf)); FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) - OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); @@ -971,10 +987,19 @@ cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))); +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay0 AND NOT nAS_FSB) - OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay0 AND fsb/ASrf)); FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); @@ -983,9 +1008,9 @@ cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutB AND NOT fsb/BERR0r) - OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/BERR0r)); FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); @@ -1000,64 +1025,119 @@ fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf) +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)); + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)); + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); -FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0'); -fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND - NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) - OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223)); +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP18_.EXP) + OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) + OR (fsb/VPA AND fsb/ASrf AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) + OR ($OpTx$FX_DC$602.EXP) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND + $OpTx$FX_DC$606) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND + $OpTx$FX_DC$606) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/VPA AND NOT nAS_FSB AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -1152,6 +1232,9 @@ FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0') FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); @@ -1159,19 +1242,18 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); iobs/IORW1_T <= ((iobs/Once) OR (NOT nADoutLE1) - OR (nWE_FSB AND iobs/IORW1) + OR (nVMA_IOB_OBUF.EXP) OR (NOT nWE_FSB AND NOT iobs/IORW1) OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(20) AND NOT A_FSB(23)) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); @@ -1188,99 +1270,79 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Loa FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); iobs/Load1_D <= ((iobs/Once) OR (NOT nADoutLE1) - OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) - OR (NOT A_FSB(20) AND NOT A_FSB(23)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) OR (nAS_FSB AND NOT fsb/ASrf) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0'); -iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf) - OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)); +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((RA_2_OBUF.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf)); +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1) - OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND nADoutLE1) - OR (iobs/Once AND nADoutLE1) - OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -1291,58 +1353,59 @@ nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT fsb/BERR1r) - OR (NOT TimeoutB AND NOT fsb/BERR0r) - OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND - NOT fsb/BERR0r)); + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) - OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (EXP17_.EXP) - OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) - OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) +nDTACK_FSB_D <= ((EXP21_.EXP) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND - NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND - A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR ($OpTx$FX_DC$606.EXP) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND nDTACK_FSB) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND - nDTACK_FSB)); + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (BERR_IOBS AND nDTACK_FSB) + OR (fsb/BERR0r AND nDTACK_FSB) + OR (fsb/BERR1r AND nDTACK_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); @@ -1377,8 +1440,8 @@ nRAS <= NOT (((RefAck) NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay1))); @@ -1404,7 +1467,9 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND @@ -1413,12 +1478,11 @@ ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + NOT ram/RS_FSM_FFd3)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -1428,7 +1492,6 @@ ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_F NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (nDinOE_OBUF.EXP) OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -1449,7 +1512,11 @@ ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_F NOT ram/BACTr AND fsb/ASrf)); FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -1467,11 +1534,7 @@ ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND - NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf)); + cnt/RefCnt(7))); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND @@ -1484,7 +1547,7 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (EXP36_.EXP) + OR (cnt/RefCnt(5).EXP) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -1504,19 +1567,7 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (RA_5_OBUF.EXP) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -1528,6 +1579,17 @@ ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (nDinOE_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) @@ -1540,9 +1602,6 @@ ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) @@ -1550,34 +1609,47 @@ ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)); + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND - NOT cnt/RefCnt(7)) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5) AND NOT fsb/ASrf) OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6) AND NOT fsb/ASrf) OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND ram/BACTr)); + NOT cnt/RefCnt(5) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND + NOT cnt/RefCnt(7))); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -1641,55 +1713,55 @@ Device : XC95144XL-10-TQ100 Pin Signal Pin Signal No. Name No. Name 1 KPR 51 VCC - 2 KPR 52 A_FSB<8> - 3 KPR 53 RA<8> - 4 KPR 54 A_FSB<4> - 5 VCC 55 RA<9> - 6 nLDS_IOB 56 nBERR_IOB + 2 KPR 52 nUDS_FSB + 3 KPR 53 RA<6> + 4 KPR 54 nDTACK_IOB + 5 VCC 55 RA<7> + 6 nLDS_IOB 56 A_FSB<4> 7 nUDS_IOB 57 VCC - 8 RA<0> 58 RA<11> - 9 nDoutOE 59 A_FSB<9> - 10 nAS_IOB 60 nADoutLE0 - 11 A_FSB<11> 61 nDinLE - 12 nDTACK_FSB 62 GND - 13 A_FSB<13> 63 nBERR_FSB - 14 A_FSB<14> 64 A_FSB<12> - 15 A_FSB<15> 65 RA<2> - 16 nADoutLE1 66 nWE_FSB - 17 A_FSB<1> 67 RA<6> - 18 A_FSB<2> 68 A_FSB<10> + 8 nDoutOE 58 RA<8> + 9 A_FSB<22> 59 nVPA_IOB + 10 nAS_IOB 60 RA<9> + 11 nDTACK_FSB 61 nWE_FSB + 12 A_FSB<9> 62 GND + 13 nAS_FSB 63 RA<11> + 14 nBERR_FSB 64 A_FSB<8> + 15 A_FSB<5> 65 RA<10> + 16 A_FSB<2> 66 A_FSB<23> + 17 nOE 67 nADoutLE0 + 18 nBERR_IOB 68 A_FSB<21> 19 A_FSB<6> 69 GND - 20 nUDS_FSB 70 RA<10> - 21 GND 71 nLDS_FSB - 22 CLK2X_IOB 72 nAoutOE - 23 CLK_FSB 73 nAS_FSB - 24 nRAS 74 RA<3> - 25 A_FSB<5> 75 GND - 26 VCC 76 A_FSB<23> - 27 CLK_IOB 77 RA<4> - 28 nVPA_IOB 78 A_FSB<3> - 29 nVMA_IOB 79 nCAS - 30 KPR 80 A_FSB<21> - 31 GND 81 nOE - 32 KPR 82 A_FSB<19> - 33 nRAMLWE 83 TDO + 20 A_FSB<7> 70 nAoutOE + 21 GND 71 A_FSB<20> + 22 CLK2X_IOB 72 nDinLE + 23 CLK_FSB 73 A_FSB<19> + 24 nRAS 74 nCAS + 25 nLDS_FSB 75 GND + 26 VCC 76 A_FSB<18> + 27 CLK_IOB 77 nRAMLWE + 28 KPR 78 A_FSB<3> + 29 nADoutLE1 79 nRAMUWE + 30 KPR 80 A_FSB<15> + 31 GND 81 nROMWE + 32 KPR 82 A_FSB<13> + 33 nVMA_IOB 83 TDO 34 KPR 84 GND - 35 nROMCS 85 nROMWE - 36 KPR 86 nVPA_FSB - 37 KPR 87 RA<1> + 35 RA<1> 85 nVPA_FSB + 36 KPR 86 A_FSB<11> + 37 KPR 87 RA<0> 38 VCC 88 VCC - 39 KPR 89 A_FSB<16> - 40 KPR 90 A_FSB<17> - 41 KPR 91 RA<5> - 42 KPR 92 A_FSB<18> - 43 KPR 93 A_FSB<20> - 44 GND 94 nDinOE - 45 TDI 95 A_FSB<22> - 46 E_IOB 96 A_FSB<7> - 47 TMS 97 nRAMUWE + 39 RA<3> 89 A_FSB<10> + 40 KPR 90 A_FSB<1> + 41 KPR 91 RA<2> + 42 nROMCS 92 A_FSB<12> + 43 KPR 93 A_FSB<14> + 44 GND 94 RA<4> + 45 TDI 95 A_FSB<16> + 46 KPR 96 A_FSB<17> + 47 TMS 97 nDinOE 48 TCK 98 VCC - 49 nDTACK_IOB 99 nRES - 50 RA<7> 100 GND + 49 E_IOB 99 nRES + 50 RA<5> 100 GND Legend : NC = Not Connected, unbonded pin diff --git a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm index 1b9b9e3..6cc386b 100644 --- a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm @@ -6,35 +6,21 @@ ********** Mapped Logic ********** -$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +$OpTx$FX_DC$602 <= ((NOT TimeoutB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -48,17 +34,13 @@ FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -
     BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1) -
      OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
      OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)); - - - - +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); @@ -104,12 +86,6 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND
      iobm/BERRrf AND iobm/BERRrr)
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND @@ -118,6 +94,12 @@ FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND
      iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/RESrf AND iobm/RESrr)
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND
      NOT iobm/IOREQr) @@ -135,7 +117,11 @@ FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
      iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -
     IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
     IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) @@ -146,11 +132,7 @@ FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
      CLK_IOB AND iobm/ETACK)
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/RESrf AND iobm/RESrr)); +
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -158,46 +140,62 @@ FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -
     IOREQ_D <= ((EXP14_.EXP) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) -
      OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)); +
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -
     IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
     IORW0_T <= ((EXP22_.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND -
      nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -245,8 +243,8 @@ RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
      OR (ram/RASEL AND A_FSB(7))); -RA(7) <= ((A_FSB(17) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(8))); +RA(7) <= ((A_FSB(8) AND ram/RASEL) +
      OR (A_FSB(17) AND NOT ram/RASEL)); RA(8) <= ((A_FSB(9) AND ram/RASEL) @@ -269,17 +267,17 @@ FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND -
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
      NOT cnt/RefCnt(4) AND fsb/ASrf)); FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) -
      OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) -
      OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND +
      NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND +
      NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); @@ -315,10 +313,19 @@ FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND
      NOT cnt/RefCnt(7))); +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +
     cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -
     cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
     cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
      NOT cs/nOverlay0 AND NOT nAS_FSB) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
      NOT cs/nOverlay0 AND fsb/ASrf)); FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); @@ -327,9 +334,9 @@ FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -
     fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT TimeoutB AND NOT fsb/BERR0r) -
      OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
     fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND
      NOT fsb/BERR0r)); FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); @@ -344,64 +351,119 @@ FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -
     fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
     fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
      NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
      NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)); +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)); +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); -FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0'); -
     fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND -
      NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) -
      OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223)); +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +
     fsb/VPA_D <= ((EXP18_.EXP) +
      OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/VPA AND fsb/ASrf AND +
      fsb/VPA__or00001/fsb/VPA__or00001_D2) +
      OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) +
      OR ($OpTx$FX_DC$602.EXP) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      $OpTx$FX_DC$606) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND +
      $OpTx$FX_DC$606) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/VPA AND NOT nAS_FSB AND +
      fsb/VPA__or00001/fsb/VPA__or00001_D2) +
      OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -496,6 +558,9 @@ FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0') FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); @@ -503,19 +568,18 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
     iobs/IORW1_T <= ((iobs/Once)
      OR (NOT nADoutLE1) -
      OR (nWE_FSB AND iobs/IORW1) +
      OR (nVMA_IOB_OBUF.EXP)
      OR (NOT nWE_FSB AND NOT iobs/IORW1)
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) -
      OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23)) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) +
      OR (nWE_FSB AND iobs/IORW1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); @@ -532,99 +596,79 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Loa FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
     iobs/Load1_D <= ((iobs/Once)
      OR (NOT nADoutLE1) -
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1) -
      OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20))
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0'); -
     iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf) -
      OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)); +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +
     iobs/Once_D <= ((RA_2_OBUF.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf)); +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      iobs/IOACTr) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      NOT iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT fsb/ASrf AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -
     nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1) -
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND nADoutLE1) -
      OR (iobs/Once AND nADoutLE1) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +
     nADoutLE1_D <= ((iobs/Load1) +
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
     nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -635,58 +679,59 @@ nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) -
      OR (NOT BERR_IOBS AND NOT fsb/BERR1r) -
      OR (NOT TimeoutB AND NOT fsb/BERR0r) -
      OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND -
      NOT fsb/BERR0r)); +
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND +
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -
     nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) -
      OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR (EXP17_.EXP) -
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) -
      OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND nDTACK_FSB) +
     nDTACK_FSB_D <= ((EXP21_.EXP) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND -
      NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND -
      A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR ($OpTx$FX_DC$606.EXP) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
      nDTACK_FSB) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND -
      nDTACK_FSB)); +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (BERR_IOBS AND nDTACK_FSB) +
      OR (fsb/BERR0r AND nDTACK_FSB) +
      OR (fsb/BERR1r AND nDTACK_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND
      NOT nAS_FSB)); FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); @@ -721,8 +766,8 @@ nRAS <= NOT (((RefAck)
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
      NOT cs/nOverlay1))); @@ -748,7 +793,9 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -
     ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND @@ -757,12 +804,11 @@ FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
      NOT ram/RS_FSM_FFd3)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -
     ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
     ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -772,7 +818,6 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (nDinOE_OBUF.EXP)
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -793,7 +838,11 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
      NOT ram/BACTr AND fsb/ASrf)); FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -811,11 +860,7 @@ FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND -
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      fsb/ASrf)); +
      cnt/RefCnt(7))); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
     ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND @@ -828,7 +873,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (EXP36_.EXP) +
      OR (cnt/RefCnt(5).EXP)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -848,19 +893,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -
     ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (RA_5_OBUF.EXP) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
     ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -872,6 +905,17 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
      NOT fsb/ASrf) +
      OR (nDinOE_OBUF.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) @@ -884,9 +928,6 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) @@ -894,34 +935,47 @@ FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)); +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(5) AND ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND -
      NOT cnt/RefCnt(7)) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
     ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND +
      ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(6) AND ram/BACTr)); +
      NOT cnt/RefCnt(5) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND +
      NOT cnt/RefCnt(7))); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
     ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
      cnt/RefCnt(7)) diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/MXSE_html/fit/eqns.htm index 207009c..1a9486d 100644 --- a/cpld/XC95144XL/MXSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/MXSE_html/fit/eqns.htm @@ -3,13 +3,33 @@ -
- fsb/Ready1r.Q to fsb/VPA.D + + fsb/Ready2r.Q to fsb/VPA.D 40.000 - 19.700 - 20.300 + 20.100 + 19.900 IOBERR.Q to IOBERR.D @@ -355,6 +321,40 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { aWindow.document.close() } //--> + + + + iobm/BERRrf.Q to IOBERR.D + 33.300 + 11.000 + 22.300 + +
@@ -378,7 +378,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { CLK_FSB - 50.761 + 49.751 Limited by Cycle Time for CLK_FSB @@ -412,82 +412,87 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<10> - 16.200 + 16.600 0.000 A_FSB<11> - 16.200 + 16.600 0.000 A_FSB<12> - 16.200 + 16.600 0.000 A_FSB<13> - 16.200 + 16.600 0.000 A_FSB<14> - 16.200 + 16.600 0.000 A_FSB<15> - 16.200 + 16.600 0.000 A_FSB<16> - 16.200 + 16.600 0.000 A_FSB<17> - 16.200 + 16.600 0.000 A_FSB<18> - 16.200 + 16.600 0.000 A_FSB<19> - 16.200 + 16.600 0.000 A_FSB<20> - 16.200 + 16.600 0.000 A_FSB<21> - 16.200 + 16.600 0.000 A_FSB<22> - 16.200 + 16.600 0.000 A_FSB<23> - 16.200 + 16.600 + 0.000 + + + A_FSB<8> + 16.600 0.000 A_FSB<9> - 16.200 + 16.600 0.000 nAS_FSB - 8.300 + 7.900 0.000 @@ -502,7 +507,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nWE_FSB - 16.200 + 16.600 0.000
@@ -548,16 +553,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { Destination Pad Clock (edge) to Pad - - RA<1> - 14.500 - - - nBERR_FSB - 14.500 - RA<0> + 14.500 + + + RA<8> + 14.500 + + + RA<1> 13.500 @@ -584,10 +589,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RA<7> 13.500 - - RA<8> - 13.500 - RA<9> 13.500 @@ -596,6 +597,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE0 13.500 + + nBERR_FSB + 13.500 + nRAMLWE 13.500 @@ -677,201 +682,236 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutA.Q fsb/VPA.D - 19.700 + 20.100 cs/nOverlay1.Q fsb/VPA.D - 19.700 - - - fsb/Ready1r.Q - fsb/VPA.D - 19.700 + 20.100 fsb/Ready2r.Q fsb/VPA.D - 19.700 - - - iobs/IOReady.Q - fsb/VPA.D - 19.700 + 20.100 nADoutLE1.Q fsb/VPA.D - 19.700 + 20.100 - fsb/Ready0r.Q + TimeoutB.Q fsb/VPA.D - 18.700 - - - ram/RAMReady.Q - fsb/VPA.D - 18.700 - - - BERR_IOBS.Q - nDTACK_FSB.D - 11.800 + 19.100 TimeoutB.Q nDTACK_FSB.D - 11.800 + 19.100 + + + BERR_IOBS.Q + fsb/VPA.D + 11.400 + + + BERR_IOBS.Q + nDTACK_FSB.D + 11.400 + + + IORW0.Q + IORW0.D + 11.400 + + + TimeoutA.Q + nDTACK_FSB.D + 11.400 + + + cnt/RefCnt<5>.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefCnt<5>.Q + ram/RAMReady.D + 11.400 + + + cnt/RefCnt<5>.Q + ram/RASEL.D + 11.400 + + + cnt/RefCnt<6>.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefCnt<6>.Q + ram/RAMReady.D + 11.400 + + + cnt/RefCnt<6>.Q + ram/RASEL.D + 11.400 + + + cnt/RefCnt<7>.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefCnt<7>.Q + ram/RAMReady.D + 11.400 + + + cnt/RefCnt<7>.Q + ram/RASEL.D + 11.400 + + + cnt/RefDone.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefDone.Q + ram/RAMReady.D + 11.400 + + + cnt/RefDone.Q + ram/RASEL.D + 11.400 + + + cs/nOverlay1.Q + IORW0.D + 11.400 + + + cs/nOverlay1.Q + iobs/IORW1.D + 11.400 + + + cs/nOverlay1.Q + iobs/Once.D + 11.400 + + + cs/nOverlay1.Q + nDTACK_FSB.D + 11.400 + + + cs/nOverlay1.Q + ram/RAMDIS1.D + 11.400 + + + cs/nOverlay1.Q + ram/RASEL.D + 11.400 fsb/ASrf.Q - nDTACK_FSB.D - 11.800 + IORW0.D + 11.400 + + + fsb/ASrf.Q + fsb/VPA.D + 11.400 + + + fsb/ASrf.Q + ram/RASEL.D + 11.400 + + + fsb/BERR0r.Q + fsb/VPA.D + 11.400 fsb/BERR0r.Q nDTACK_FSB.D - 11.800 + 11.400 + + + fsb/BERR1r.Q + fsb/VPA.D + 11.400 fsb/BERR1r.Q nDTACK_FSB.D - 11.800 + 11.400 + + + fsb/Ready0r.Q + fsb/VPA.D + 11.400 + + + fsb/Ready1r.Q + fsb/VPA.D + 11.400 fsb/Ready1r.Q nDTACK_FSB.D - 11.800 + 11.400 + + + fsb/Ready2r.Q + nDTACK_FSB.D + 11.400 + + + fsb/VPA.Q + fsb/VPA.D + 11.400 + + + iobs/IOReady.Q + fsb/VPA.D + 11.400 iobs/IOReady.Q nDTACK_FSB.D - 11.800 - - - cnt/RefCnt<5>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RAMReady.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RAMReady.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RAMReady.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cnt/RefDone.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefDone.Q - ram/RAMReady.D - 11.400 - - - cnt/RefDone.Q - ram/RASEL.D - 11.400 - - - cnt/RefDone.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cs/nOverlay1.Q - IOREQ.D - 11.400 - - - cs/nOverlay1.Q - ram/RAMDIS1.D - 11.400 - - - cs/nOverlay1.Q - ram/RASEL.D - 11.400 - - - cs/nOverlay1.Q - ram/RS_FSM_FFd2.D - 11.400 - - - fsb/ASrf.Q - IOREQ.D - 11.400 - - - fsb/ASrf.Q - ram/RASEL.D - 11.400 - - - fsb/ASrf.Q - ram/RS_FSM_FFd2.D 11.400 iobs/Once.Q - IOREQ.D + IORW0.D + 11.400 + + + iobs/Once.Q + iobs/Once.D 11.400 iobs/PS_FSM_FFd1.Q - IOREQ.D + IORW0.D + 11.400 + + + iobs/PS_FSM_FFd2.Q + IORW0.D + 11.400 + + + nADoutLE1.Q + IORW0.D 11.400 @@ -884,6 +924,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RASEL.D 11.400 + + ram/RAMReady.Q + fsb/VPA.D + 11.400 + ram/RS_FSM_FFd1.Q ram/RAMDIS1.D @@ -894,44 +939,44 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RASEL.D 11.400 - - ram/RS_FSM_FFd1.Q - ram/RS_FSM_FFd2.D - 11.400 - ram/RS_FSM_FFd2.Q ram/RASEL.D 11.400 - ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd2.D - 11.400 - - - ram/RS_FSM_FFd3.Q - ram/RS_FSM_FFd2.D - 11.400 - - - BERR_IOBS.Q - BERR_IOBS.D + TimeoutA.Q + fsb/Ready2r.D 11.000 - BERR_IOBS.Q - fsb/VPA.D + cnt/RefCnt<0>.Q + cnt/RefCnt<6>.D 11.000 - IORW0.Q - IORW0.D + cnt/RefCnt<1>.Q + cnt/RefCnt<6>.D 11.000 - TimeoutB.Q - fsb/VPA.D + cnt/RefCnt<2>.Q + cnt/RefCnt<6>.D + 11.000 + + + cnt/RefCnt<3>.Q + cnt/RefCnt<6>.D + 11.000 + + + cnt/RefCnt<4>.Q + cnt/RefCnt<6>.D + 11.000 + + + cnt/RefCnt<5>.Q + cnt/RefCnt<6>.D 11.000 @@ -939,6 +984,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefCnt<5>.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefCnt<5>.Q ram/RS_FSM_FFd3.D @@ -949,6 +999,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefCnt<6>.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefCnt<6>.Q ram/RS_FSM_FFd3.D @@ -959,6 +1014,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefCnt<7>.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefCnt<7>.Q ram/RS_FSM_FFd3.D @@ -969,6 +1029,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefDone.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefDone.Q ram/RS_FSM_FFd3.D @@ -976,12 +1041,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.Q - IORW0.D + IOREQ.D 11.000 cs/nOverlay1.Q - iobs/IORW1.D + fsb/Ready1r.D + 11.000 + + + cs/nOverlay1.Q + fsb/Ready2r.D 11.000 @@ -989,26 +1059,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/Load1.D 11.000 - - cs/nOverlay1.Q - iobs/Once.D - 11.000 - cs/nOverlay1.Q iobs/PS_FSM_FFd2.D 11.000 - - cs/nOverlay1.Q - nADoutLE1.D - 11.000 - - - cs/nOverlay1.Q - nDTACK_FSB.D - 11.000 - cs/nOverlay1.Q ram/Once.D @@ -1026,7 +1081,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1036,22 +1091,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/ASrf.Q - BERR_IOBS.D - 11.000 - - - fsb/ASrf.Q - IORW0.D - 11.000 - - - fsb/ASrf.Q - fsb/BERR0r.D - 11.000 - - - fsb/ASrf.Q - fsb/VPA.D + fsb/Ready2r.D 11.000 @@ -1059,16 +1099,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/IORW1.D 11.000 - - fsb/ASrf.Q - iobs/Once.D - 11.000 - - - fsb/ASrf.Q - iobs/PS_FSM_FFd2.D - 11.000 - fsb/ASrf.Q ram/Once.D @@ -1091,7 +1121,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/ASrf.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1099,39 +1129,24 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd3.D 11.000 - - fsb/BERR0r.Q - fsb/VPA.D - 11.000 - - - fsb/BERR1r.Q - fsb/VPA.D - 11.000 - fsb/Ready0r.Q nDTACK_FSB.D 11.000 - fsb/VPA.Q - fsb/VPA.D + fsb/Ready1r.Q + fsb/Ready1r.D + 11.000 + + + fsb/Ready2r.Q + fsb/Ready2r.D 11.000 iobs/IOACTr.Q - BERR_IOBS.D - 11.000 - - - iobs/IOACTr.Q - IOREQ.D - 11.000 - - - iobs/IOACTr.Q - iobs/PS_FSM_FFd2.D + iobs/PS_FSM_FFd1.D 11.000 @@ -1140,28 +1155,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 11.000 - iobs/Once.Q - BERR_IOBS.D - 11.000 - - - iobs/Once.Q - IORW0.D - 11.000 - - - iobs/Once.Q - iobs/Once.D - 11.000 - - - iobs/Once.Q - iobs/PS_FSM_FFd2.D + iobs/IOReady.Q + fsb/Ready1r.D 11.000 iobs/PS_FSM_FFd1.Q - IORW0.D + iobs/IORW1.D 11.000 @@ -1171,12 +1171,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd1.Q - iobs/PS_FSM_FFd2.D + iobs/PS_FSM_FFd1.D 11.000 - iobs/PS_FSM_FFd2.Q - BERR_IOBS.D + iobs/PS_FSM_FFd1.Q + iobs/PS_FSM_FFd2.D 11.000 @@ -1186,7 +1186,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd2.Q - IORW0.D + iobs/IORW1.D 11.000 @@ -1194,6 +1194,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/Once.D 11.000 + + iobs/PS_FSM_FFd2.Q + iobs/PS_FSM_FFd1.D + 11.000 + iobs/PS_FSM_FFd2.Q iobs/PS_FSM_FFd2.D @@ -1201,12 +1206,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - BERR_IOBS.D + IOREQ.D 11.000 nADoutLE1.Q - IORW0.D + fsb/Ready1r.D 11.000 @@ -1216,7 +1221,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - nADoutLE1.D + iobs/PS_FSM_FFd2.D + 11.000 + + + nADoutLE1.Q + nDTACK_FSB.D 11.000 @@ -1234,11 +1244,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RASEL.D 11.000 - - ram/BACTr.Q - ram/RS_FSM_FFd2.D - 11.000 - ram/Once.Q ram/Once.D @@ -1259,11 +1264,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMReady.D 11.000 - - ram/Once.Q - ram/RS_FSM_FFd1.D - 11.000 - ram/RAMDIS2.Q ram/RAMDIS2.D @@ -1291,7 +1291,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd1.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1321,7 +1321,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1356,7 +1356,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd3.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1364,6 +1364,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd3.D 11.000 + + BERR_IOBS.Q + BERR_IOBS.D + 10.000 + BERR_IOBS.Q fsb/BERR1r.D @@ -1379,21 +1384,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutA.D 10.000 - - TimeoutA.Q - TimeoutB.D - 10.000 - - - TimeoutA.Q - fsb/Ready2r.D - 10.000 - - - TimeoutA.Q - nDTACK_FSB.D - 10.000 - TimeoutB.Q TimeoutB.D @@ -1439,11 +1429,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<0>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<0>.Q cnt/RefCnt<7>.D @@ -1454,6 +1439,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<0>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<1>.Q TimeoutA.D @@ -1484,11 +1474,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<1>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<1>.Q cnt/RefCnt<7>.D @@ -1499,6 +1484,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<1>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<2>.Q TimeoutA.D @@ -1524,11 +1514,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<2>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<2>.Q cnt/RefCnt<7>.D @@ -1539,6 +1524,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<2>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<3>.Q TimeoutA.D @@ -1559,11 +1549,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<3>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<3>.Q cnt/RefCnt<7>.D @@ -1574,6 +1559,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<3>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<4>.Q TimeoutA.D @@ -1589,11 +1579,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<4>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<4>.Q cnt/RefCnt<7>.D @@ -1604,6 +1589,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<4>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<5>.Q TimeoutA.D @@ -1614,11 +1604,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutB.D 10.000 - - cnt/RefCnt<5>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<5>.Q cnt/RefCnt<7>.D @@ -1629,6 +1614,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<5>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<6>.Q TimeoutA.D @@ -1650,8 +1640,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - cnt/RefCnt<7>.Q - TimeoutA.D + cnt/RefCnt<6>.Q + cnt/TimeoutBPre.D 10.000 @@ -1664,11 +1654,26 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<7>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefDone.Q cnt/RefDone.D 10.000 + + cnt/TimeoutBPre.Q + TimeoutB.D + 10.000 + + + cnt/TimeoutBPre.Q + cnt/TimeoutBPre.D + 10.000 + cs/nOverlay0.Q cs/nOverlay0.D @@ -1686,12 +1691,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.Q - fsb/Ready1r.D + ram/RS_FSM_FFd1.D 10.000 - cs/nOverlay1.Q - fsb/Ready2r.D + fsb/ASrf.Q + BERR_IOBS.D + 10.000 + + + fsb/ASrf.Q + IOREQ.D 10.000 @@ -1704,6 +1714,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutB.D 10.000 + + fsb/ASrf.Q + cnt/TimeoutBPre.D + 10.000 + fsb/ASrf.Q cs/nOverlay0.D @@ -1714,6 +1729,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.CE 10.000 + + fsb/ASrf.Q + fsb/BERR0r.D + 10.000 + fsb/ASrf.Q fsb/BERR1r.D @@ -1729,11 +1749,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/Ready1r.D 10.000 - - fsb/ASrf.Q - fsb/Ready2r.D - 10.000 - fsb/ASrf.Q iobs/IOReady.D @@ -1746,7 +1761,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/ASrf.Q - nADoutLE1.D + iobs/Once.D + 10.000 + + + fsb/ASrf.Q + iobs/PS_FSM_FFd2.D + 10.000 + + + fsb/ASrf.Q + nDTACK_FSB.D 10.000 @@ -1754,6 +1779,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/BACTr.D 10.000 + + fsb/ASrf.Q + ram/RS_FSM_FFd1.D + 10.000 + fsb/BERR0r.Q fsb/BERR0r.D @@ -1770,18 +1800,18 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - fsb/Ready1r.Q - fsb/Ready1r.D + iobs/Clear1.Q + nADoutLE1.D 10.000 - fsb/Ready2r.Q - fsb/Ready2r.D + iobs/IOACTr.Q + BERR_IOBS.D 10.000 - fsb/Ready2r.Q - nDTACK_FSB.D + iobs/IOACTr.Q + IOREQ.D 10.000 @@ -1791,7 +1821,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/IOACTr.Q - iobs/PS_FSM_FFd1.D + iobs/PS_FSM_FFd2.D 10.000 @@ -1804,11 +1834,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { IORW0.D 10.000 - - iobs/IOReady.Q - fsb/Ready1r.D - 10.000 - iobs/IOReady.Q iobs/IOReady.D @@ -1829,6 +1854,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/IOU1.CE 10.000 + + iobs/Load1.Q + nADoutLE1.D + 10.000 + + + iobs/Once.Q + BERR_IOBS.D + 10.000 + + + iobs/Once.Q + IOREQ.D + 10.000 + iobs/Once.Q iobs/IORW1.D @@ -1846,7 +1886,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/Once.Q - nADoutLE1.D + iobs/PS_FSM_FFd2.D 10.000 @@ -1859,6 +1899,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { IOL0.CE 10.000 + + iobs/PS_FSM_FFd1.Q + IOREQ.D + 10.000 + iobs/PS_FSM_FFd1.Q IOU0.CE @@ -1866,7 +1911,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd1.Q - iobs/IORW1.D + iobs/Clear1.D 10.000 @@ -1875,18 +1920,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - iobs/PS_FSM_FFd1.Q - iobs/PS_FSM_FFd1.D - 10.000 - - - iobs/PS_FSM_FFd1.Q - nADoutLE1.D + iobs/PS_FSM_FFd2.Q + ALE0S.D 10.000 iobs/PS_FSM_FFd2.Q - ALE0S.D + BERR_IOBS.D 10.000 @@ -1901,7 +1941,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd2.Q - iobs/IORW1.D + iobs/Clear1.D 10.000 @@ -1915,13 +1955,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - iobs/PS_FSM_FFd2.Q - iobs/PS_FSM_FFd1.D - 10.000 - - - iobs/PS_FSM_FFd2.Q - nADoutLE1.D + nADoutLE1.Q + BERR_IOBS.D 10.000 @@ -1929,11 +1964,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { IOL0.D 10.000 - - nADoutLE1.Q - IOREQ.D - 10.000 - nADoutLE1.Q IOU0.D @@ -1941,7 +1971,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - fsb/Ready1r.D + iobs/Clear1.D 10.000 @@ -1961,12 +1991,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - iobs/PS_FSM_FFd2.D + nADoutLE1.D 10.000 - nADoutLE1.Q - nDTACK_FSB.D + ram/BACTr.Q + ram/RS_FSM_FFd2.D + 10.000 + + + ram/Once.Q + ram/RS_FSM_FFd1.D 10.000 @@ -1989,11 +2024,26 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RefAck.D 10.000 + + ram/RS_FSM_FFd1.Q + ram/RS_FSM_FFd1.D + 10.000 + ram/RS_FSM_FFd2.Q RefAck.D 10.000 + + ram/RS_FSM_FFd2.Q + ram/RS_FSM_FFd1.D + 10.000 + + + ram/RS_FSM_FFd3.Q + ram/RS_FSM_FFd1.D + 10.000 +
@@ -2002,11 +2052,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - - - - - @@ -2052,66 +2097,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -2142,11 +2132,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - - - - - @@ -2168,88 +2153,83 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - + - + - - - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -2277,6 +2257,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2297,6 +2287,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2317,6 +2317,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2332,6 +2342,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2382,6 +2402,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + @@ -2577,6 +2602,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + @@ -2602,6 +2632,26 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock CLK2X_IOB
Destination Delay
IOACT.QnVMA_IOB.D11.000
IOBERR.Q IOBERR.DIOBERR.D 11.000
iobm/ES<0>.Qiobm/ETACK.D11.000
iobm/ES<0>.QnVMA_IOB.D11.000
iobm/ES<1>.Qiobm/ETACK.D11.000
iobm/ES<1>.QnVMA_IOB.D11.000
iobm/ES<2>.Qiobm/ETACK.D11.000
iobm/ES<2>.QnVMA_IOB.D11.000
iobm/ES<3>.Qiobm/ETACK.D11.000
iobm/ES<3>.QnVMA_IOB.D11.000
iobm/ES<4>.Qiobm/ETACK.D11.000
iobm/ES<4>.QnVMA_IOB.D11.000
iobm/IOREQr.Q IOACT.D 11.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd7.D11.000
iobm/IOS_FSM_FFd3.Q IOBERR.DIOACT.D 11.000
iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D11.000
iobm/RESrf.Q IOACT.D11.000
iobm/VPArf.QIOACT.Q nVMA_IOB.D11.00010.000
iobm/VPArr.QnVMA_IOB.D11.000iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
nVMA_IOB.Qiobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D10.000
iobm/ES<0>.Q iobm/ETACK.D11.00010.000
nVMA_IOB.Qiobm/ES<0>.Q nVMA_IOB.D11.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D 10.000
iobm/ES<4>.D 10.000
iobm/ES<1>.Qiobm/ETACK.D10.000
iobm/ES<1>.QnVMA_IOB.D10.000
iobm/ES<2>.Q iobm/ES<0>.Diobm/ES<4>.D 10.000
iobm/ES<2>.Qiobm/ETACK.D10.000
iobm/ES<2>.QnVMA_IOB.D10.000
iobm/ES<3>.Q iobm/ES<0>.Diobm/ES<4>.D 10.000
iobm/ES<3>.Qiobm/ETACK.D10.000
iobm/ES<3>.QnVMA_IOB.D10.000
iobm/ES<4>.Q iobm/ES<0>.Diobm/ES<4>.D 10.000
iobm/ES<4>.Qiobm/ETACK.D10.000
iobm/ES<4>.QnVMA_IOB.D10.000
iobm/ETACK.Q IOACT.DALE0M.D 10.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOREQr.Q iobm/IOS_FSM_FFd8.DALE0M.D 10.000
iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOS_FSM_FFd8.Q iobm/IOS_FSM_FFd8.Diobm/IOS_FSM_FFd3.D 10.000
iobm/VPArf.QnVMA_IOB.D10.000
iobm/VPArr.QnVMA_IOB.D10.000
nVMA_IOB.Qiobm/ETACK.D10.000
nVMA_IOB.QnVMA_IOB.D10.000


@@ -2613,43 +2663,53 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { Delay - A_FSB<11> - RA<1> + A_FSB<10> + RA<0> + 11.000 + + + A_FSB<1> + RA<0> 11.000 A_FSB<20> - nBERR_FSB + nDinOE 11.000 A_FSB<21> - nBERR_FSB + nDinOE 11.000 A_FSB<22> - nBERR_FSB + nDinOE 11.000 A_FSB<23> - nBERR_FSB + nDinOE 11.000 - A_FSB<2> - RA<1> + A_FSB<9> + RA<8> 11.000 nAS_FSB - nBERR_FSB + nDinOE 11.000 - A_FSB<10> - RA<0> + nWE_FSB + nDinOE + 11.000 + + + A_FSB<11> + RA<1> 10.000 @@ -2697,11 +2757,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RA<9> 10.000 - - A_FSB<1> - RA<0> - 10.000 - A_FSB<20> RA<9> @@ -2709,7 +2764,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<20> - nDinOE + nBERR_FSB 10.000 @@ -2724,7 +2779,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<21> - nDinOE + nBERR_FSB 10.000 @@ -2739,7 +2794,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<22> - nDinOE + nBERR_FSB 10.000 @@ -2754,7 +2809,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<23> - nDinOE + nBERR_FSB 10.000 @@ -2767,6 +2822,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nROMCS 10.000 + + A_FSB<2> + RA<1> + 10.000 + A_FSB<3> RA<2> @@ -2797,14 +2857,9 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RA<7> 10.000 - - A_FSB<9> - RA<8> - 10.000 - nAS_FSB - nDinOE + nBERR_FSB 10.000 @@ -2847,11 +2902,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nRAMUWE 10.000 - - nWE_FSB - nDinOE - 10.000 - nWE_FSB nOE @@ -2876,10 +2926,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() {


Number of paths analyzed: -384 +393
Number of Timing errors: 0 -
Analysis Completed: Sun Oct 24 06:31:50 2021 +
Analysis Completed: Sat Dec 11 06:24:30 2021
diff --git a/cpld/XC95144XL/MXSE_ngdbuild.xrpt b/cpld/XC95144XL/MXSE_ngdbuild.xrpt index 1429fca..43afc64 100644 --- a/cpld/XC95144XL/MXSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/MXSE_ngdbuild.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
- + @@ -54,7 +54,7 @@ - + @@ -66,32 +66,32 @@
- - + + - - + + - + - +
- - + + - + - + - + diff --git a/cpld/XC95144XL/MXSE_summary.html b/cpld/XC95144XL/MXSE_summary.html index 3c10c81..14e9283 100644 --- a/cpld/XC95144XL/MXSE_summary.html +++ b/cpld/XC95144XL/MXSE_summary.html @@ -13,7 +13,7 @@
- + @@ -43,7 +43,7 @@ No Errors @@ -81,18 +81,18 @@ System Settings - - - + + +
Module Name: MXSE Implementation State:TranslatedFitted
Target Device:
Environment: - + System Settings
  • Final Timing Score:
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentSun Oct 24 07:13:26 2021000
Translation ReportCurrentSun Oct 24 07:13:29 2021000
CPLD Fitter Report (Text)CurrentSun Oct 24 07:13:42 2021   
Synthesis ReportCurrentSat Dec 11 06:24:06 2021000
Translation ReportCurrentSat Dec 11 06:24:10 2021000
CPLD Fitter Report (Text)CurrentSat Dec 11 06:24:23 202101 Warning (1 new)0
Power Report     
 
- +
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of DateSun Oct 24 02:38:36 2021
ISIM Simulator LogOut of DateSat Dec 11 07:14:04 2021
Post-Fit Simulation Model Report  
-
Date Generated: 10/26/2021 - 06:32:26
+
Date Generated: 12/11/2021 - 07:16:19
\ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst.xrpt b/cpld/XC95144XL/MXSE_xst.xrpt index bc52cfb..4ce5df1 100644 --- a/cpld/XC95144XL/MXSE_xst.xrpt +++ b/cpld/XC95144XL/MXSE_xst.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
- + @@ -76,8 +76,8 @@
- - + +
@@ -104,19 +104,19 @@
- - - + + + - - + + - - - + + + diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index bcad78b..cb34933 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\MXSE.ngc 1635074006 +C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\MXSE.ngc 1639221846 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index 938f4a2..0e420ad 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -9,3 +9,4 @@ + diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index 09ef219..f66074b 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,25 +8,7 @@ -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/FSB.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBS.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/RAM.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v" into library work diff --git a/cpld/XC95144XL/fuse.log b/cpld/XC95144XL/fuse.log index 2dd70c4..fd1dcaf 100644 --- a/cpld/XC95144XL/fuse.log +++ b/cpld/XC95144XL/fuse.log @@ -1,19 +1,19 @@ -Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj work.t_cs work.glbl +Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj work.t_fsb work.glbl ISim P.20131013 (signature 0x7708f090) Number of CPUs detected in this system: 8 Turning on mult-threading, number of parallel sub-compilation jobs: 16 Determining compilation order of HDL files -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../CS.v" into library work -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../test/t_cs.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/../FSB.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v" into library work Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work Starting static elaboration Completed static elaboration -Compiling module CS -Compiling module t_cs +Compiling module FSB +Compiling module t_fsb Compiling module glbl Time Resolution for simulation is 1ps. Waiting for 1 sub-compilation(s) to finish... Compiled 3 Verilog Units -Built simulation executable C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe -Fuse Memory Usage: 29568 KB -Fuse CPU Usage: 280 ms +Built simulation executable C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe +Fuse Memory Usage: 29068 KB +Fuse CPU Usage: 327 ms diff --git a/cpld/XC95144XL/fuseRelaunch.cmd b/cpld/XC95144XL/fuseRelaunch.cmd index e5e9923..242fb03 100644 --- a/cpld/XC95144XL/fuseRelaunch.cmd +++ b/cpld/XC95144XL/fuseRelaunch.cmd @@ -1 +1 @@ --intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj" "work.t_cs" "work.glbl" +-intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj" "work.t_fsb" "work.glbl" diff --git a/cpld/XC95144XL/iseconfig/MXSE.projectmgr b/cpld/XC95144XL/iseconfig/MXSE.projectmgr index 987fd7e..186eac5 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.projectmgr +++ b/cpld/XC95144XL/iseconfig/MXSE.projectmgr @@ -9,13 +9,13 @@ 2 - iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v) + MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v) 0 0 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 true - iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v) + MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v) @@ -64,25 +64,25 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - 000000ff00000000000000020000011b0000011b01000000050100000002 - Implementation + 000000ff00000000000000020000017b0000017b01000000050100000002 + Behavioral Simulation 2 /test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v - t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v) + fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) - 2 + 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000f1000000020000000000000000000000000200000064ffffffff000000810000000300000002000000f10000000100000003000000000000000100000003 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 false - t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v) + fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) @@ -90,25 +90,25 @@ Design Utilities/Compile HDL Simulation Libraries - + 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + 1 - + Simulate Behavioral Model 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + Simulate Behavioral Model diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144XL/iseconfig/MXSE.xreport index c7445dd..6bba386 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.xreport +++ b/cpld/XC95144XL/iseconfig/MXSE.xreport @@ -1,11 +1,11 @@
- 2021-10-26T06:32:26 + 2021-12-11T06:56:18 MXSE 2021-10-23T06:51:21 - C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/iseconfig/MXSE.xreport - C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\ + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\ 2021-10-07T05:05:00 false
diff --git a/cpld/XC95144XL/isim.log b/cpld/XC95144XL/isim.log index 8ad499a..690fea5 100644 --- a/cpld/XC95144XL/isim.log +++ b/cpld/XC95144XL/isim.log @@ -1,6 +1,20 @@ ISim log file -Running: C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\t_cs_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.wdb +Running: C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\t_fsb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.wdb +INFO: There is another simulation running in the same directory. Using database file name isim2.wdb. ISim P.20131013 (signature 0x7708f090) +---------------------------------------------------------------------- +INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. +INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to 'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. +INFO:Security:66 - Your license for 'ISIM' is for evaluation use only. +WARNING:Security:43 - No license file was found in the standard Xilinx license directory. +WARNING:Security:44 - Since no license file was found, + please run the Xilinx License Configuration Manager + (xlcm or "Manage Xilinx Licenses") + to assist in obtaining a license. +WARNING:Security:42 - Your license support version '2021.12' for ISIM expires in 20 days after which you will not qualify for Xilinx software updates or new releases. + + +---------------------------------------------------------------------- This is a Full version of ISim. Time resolution is 1 ps # onerror resume @@ -8,7 +22,21 @@ Time resolution is 1 ps # run 1000 ns Simulator is doing circuit initialization process. Finished circuit initialization process. +# run 1.00us +# run 1.00us # restart -# run all +# run 1.00us Simulator is doing circuit initialization process. Finished circuit initialization process. +# run 1.00us +# run 1.00us +# run 1.00us +# run 1.00us +# run 1.00us +# restart +# run 1.00us +Simulator is doing circuit initialization process. +Finished circuit initialization process. +# run 1.00us +# run 1.00us +# run 1.00us diff --git a/cpld/XC95144XL/isim/isim_usage_statistics.html b/cpld/XC95144XL/isim/isim_usage_statistics.html index 50e443a..adc5380 100644 --- a/cpld/XC95144XL/isim/isim_usage_statistics.html +++ b/cpld/XC95144XL/isim/isim_usage_statistics.html @@ -2,14 +2,14 @@
- + - - + + - - - + + + diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 3db0343aec71dd2dab8b2b7ba297950ccecf4d02..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4816 zcmeH}O^j1j6vrPbh~JaMt2&GiD9P;jB$YpCTe2F|L^r)y}ddNZj1|0a(eDL_jmvI z^xfC@`ufGyC%69EoW8WUxcFue1k3rC*95_LHwMARTRMJ7@v~clAg_p%FJ~ZeQ4%a9@BY#V-_7?9lQ6aLc^tr-}na4=|7*p*X`B^h}x1ww4u;;Z-k-acC#*}{} zzaVFiJ&LZaQgWUAJ~>+GuKb%AQ|(1fo|dDtNxA(V8V0(=(K@uY$eFYATb(?n@yF8m zf{kRoaya(1hCX8(6K2AVG1b17#oj$|3F{av(H1-;u=_}CTyy?e{G38llOd6fThPhln{f2QPIwfgL z8=cA(=p+r}Ms$u!qiJ-GT!GG`hH)dy&&fB)IZJE&2ADJQL5~@~r?^oLYk8mTHS9-< z_ZW`riTUg%)!5?``Mq*9?VdinS#$i}KbP~|fF;LZiFPeLGWV4{CWp0W<+Jn%dtTln zhvoT%`7Ay1JN`jFBIkSAXCW;6~HdYa@&`N5lip3U*0vat8@W!im>FEughDxp!cn6+58_%Xh$hmV1Y5G%I#e5%zXD21~SOu})*yw%92}SZbKh-k}=oOJW~W zgr$c0?0VH;UlChSgr$c0Y)m!SGh&O1uy@KapY2f%_N>^FBCP#C;IqAk{XlHlu=an3 z&u%j8r(z#BEN6-N>}J*I;k?*`iuAx)Vm`}%1=#P!9#VwmEHR(ue+29=VxLfigymU<`Rs$L!LAqdlp<_Qj`{31)nK;?dRh^-M~?aI!>YmV5%i2AY_A;i z+3l*q76m=42+K9ce0GOwurq@8D#CKjby*@K+WVL13hZ$~DMi@(iFF^ZIl{l5vL@2H zkP4Z(Ml~2~)LOOadYBvz`^>G9o@=ziM89(O=wt(7BFa+rlhe;P!$dOdck}+ia3IPj us;x}5QV$bDS{~g$(fZ^rt#{I4E&nqe?9=KfwcQN%hso~BP+vIMsr(M2g!jGx diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 6141e5b..0000000 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_cnt_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 49972 - -Sat Oct 23 08:03:51 2021 - - - Elaboration Time: 0.09375 sec - - Current Memory Usage: 5144.77 Meg - - Total Signals : 22 - Total Nets : 20 - Total Signal Drivers : 12 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 16 - Total Traceable Variables : 25 - Total Scalar Nets and Variables : 115 - - Total Simulation Time: 0.375 sec - - Current Memory Usage: 4672.91 Meg - -Sat Oct 23 08:14:58 2021 - diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat deleted file mode 100644 index dca9f8ee7f8a0477592f2e451585afd0a47cf77d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 60 pcmWl|K@z|a5CE{n!3V0BqWu4pA~UHG@p*NV!|b&9`?zdwUk@Ja0UrPW diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe deleted file mode 100644 index 60bbf8e636692b19ad21f5419024c8c23ba5561b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 257839 zcmeF)dz_8c`#=5}j2s){jzY*dl$>);3`2tCpsCY&Q7KK`+BYGs@ZFOKJWLve!qXeUyny-X7BY{&vjk<-uLWT+jMv8voIll zLPA0T{1=7^3G48Ye_ENp|NCDvaQFEYH=Liaw(!o%>k>0|R_;E0#P~{M#*Myd+@M=3 z4IXsst)nMYx^ZZwaT9N?G~(7uY1dv?>6Xz$hSn}#yhw^p-KA|p!jL&75~`jZKPch$ z{0U=|5)yt+sGd+XU%t`_9r7h4l*Pbe2?=!rd@R9GEB+;)<8H2-f07dL1^?8=Ed>)2 zrd(FQ)RmAhu&}w^WcitX^6%IG-eQI&EXQdS=EAbH@$bROd<#NJ!YtyEfr3KH$Ucap*?tI`x`zSi;it@D~Rc!pBkn z%eV#&IV|DSTy#$8pU6`}2Qa2huZ-6-v+CT4+mh#Tl#~UpI**T|XvY zLRzf&0DQ>ENjg0`UqX6LkZV2^{|XA+!p6mI9 zINSnC`nJs>{v2N3FkeC}_|vQ)sq|znBq`vBSkk^(h?Y1!pfN{W#2NNXC=yHhnxAc& zFs0rmtg!~x*eYQ?S9AD>cKH&HKFzPw2M1NL*7TgDLnrv5K)#tx_&q8)YXr>X*SeHV z&q*&kZ06abi4(5lhkVId*W#Ycb*%UN>ks2J5$;dV{@H}y zme9nnV@VtFX}trPrxTNB*TukC(hIl&#m>eWP}DQGNKvt*CAi%TtBO0a4oob<13tAy(nf z>FDBKRFORUVhqLc*_(G{Zw`aQxQpc##x&*6q4m2D|Iva6bcMGtPur{m6NW%vimB`E zNRK5Xzgl9(*-FU^>fwQyy(f9`+p~8}D1=Y4YML7+6id(ClqiFy{d_VlrC%bjt;cY5 zWGCHnTfT&K{MMPC9gM~2$ys;}g`>7VK9ALU8Q*~F9jJFQr&D73;2r6k59U97cUr!L z_SswOZOS^1o-e_GX{qV4VihyrO*}jt_od|wPwX`Kct&>a;X!6-f%56G(iJ;pA4X~E znOh1S?uMJ2{xSW%^ufn*cpe9bb_CrqQ~PZNaDwNTNsG~fjO?n7aBHmi75FKF>}{!ryJP_9V|vM$LHU=Z@spJ0=!Ae6$UwNctXMr_bEV zW3BHE1Nx`-OYM)R502;T_cMP>oN@NjK5*_)ZMkmedi0czoop$Ds7= zkHVn%w0sGD&0T@NvNs?8yLG+JgNG=D;Bh4@x=aSqZe{uP(c>cuw z-EhBI#hw4$pQiWYDVvQ>9jlQZON=G7xCbw4CN*!7gAd~-yv0bpJ?r_>;P4`l>E^j} z8E)p`+}PX^?p}u@IwacnhAj(Q+=poL>~|4Ko;w47x475b@Et#0gij}|!~=fG%{UM@ zrQL^*N&SeZo-O+;;X}n|E}l_e90B9 zFn5HzIj30_R@7oK(cvwYFgqJr9+HRON&Tmqha{Ukdnl?fciQ=SCv(r3lih3##2PAa zx^*q?#s{u9Ija_O!)pKY{l&26^eB(d2KG=o*cE2h$q8Q`KHU^gQZ^08SMC-}E`v-_ zS74CL@vJ#sDl`ZKfy;j``7F< zVes=*e40~y>^L4z`Efk0|DAe}fKLy1#QF~Z0Q>OF%gnI%@G2VTv!e*%e3n!E5WdDI zGS!!yDn>3wE(Q+GZn8yJvv8p~lyZVkI7v?NOK>g^gBjviPUja>_yr!HE%;XPDeUy@ zhg0}8PS0MOvdnyZBjp+MaaGD{e9XvxGG(3lxGH6n`M5S^yZQJ=3cnqtXYb0$Sw62s zLPGn@t5OmsbWV@e+RyLG*+PjiN;bPo?T4MLFLr2rM%}$wt8~7(Zr*(l? zp;-OQ9}=_QKeadOtK=*$>gZ$~tl5~&Oce${Okr7bPvgb)HuHo^&z}1XXncFlLPy(Y z7f4BuX+1HmC#Lnpw4RvOgReJ%$iO&L55}2#W^QF$wq)%{p8XD=X*qYN%)kxX5_u$T zOD(_;={XOl%)rOk1*i68mrBnWUtpX0*(_E9=gh1}F(k7}Vys~1H;LH=PwmdipPaRr zQ=tPmd_mT#fI?EkPk75ExCNhPrMd70k$KaUXs@N{yAt{;2Tg)a>o4r*_xdjnm&9xHTtwh5d(&<8&TgEb^IlWPLf@z$ahBTBsmBXF&~|71{e5xSegR#FB{=?X_gqd+ z&=)TY!eA!?xwSEeJian_>bAD#$&)(`cklr)eR-G?du%Tti#Nv>4Ae5Zu-aJf0Gs?^P{myy%4Kx(XB(fS!VsRdHA>t>ytSS7c= zfL#b4-O15itmoCy^@Z!ju-B9Qb}WBt(ZtHTQ!6J_PRy;wHQ-^9L-HVBE&4PzC%7Kp z^uu5~0=X~$i8*E--VF0`ZOT0J@r{&)=Hn`JD|8NSZQUS&>&88|hrHury+ zp0jdcGG@rU6T1YX@QUpizX@iaU4K6Bk5y<3iignI^%#ZEGIE|8j%Rgx&ZB&Cr^kx2 z!(-C7;ZC%%$@^1Sn_<2V5 z!7%uo1A%j+hH7li12{MTv$ol5aV+Kb!UF$2@XN7b|MS2vfSWV;fZ-rb--ZBwfQu!j zZa$opit~C}c4AvRYN?xZ@#R5$+1@;GtvD<#d&jAdQnR*CY`~YyO)@ZdD^63lZLCmQ zl|pIRg*)Z+D$q7oG_7cnjGV3o+GZEwz$&?~%G0A2v7_6~lgWO1tW7IiSe_rLv4qs3 z`S}FNhbPFL<_RJP9gf0{*uavbumon}QCMrpz=-x`WFJBMGGbMiBAgn2%ocJFizl)9 zh43Sd)qJinzWGQaliQOgG3|XAG{H=M;a|g`3I^wvLH;_tgc-vZ#j%+W;914jmHEPN zaQM$`iNl{i>W0Br<1uqAW}Z`gKdxTe7WBP8vp(dxiY#wJ_>=W!b9)7V{D{XNrPLq*?Lo1e;7P}}l^V`JK?6*@- z?P;5}cjCqTL1Y%^L+A1j;| zE5yT=r+;WW+9dbO_~Ud=THz9H z5hz^v=mP}I!TU1jscS9p=woSx3&k!-Em|Tqdv~m4YSB_@mETUSd_mPcAHw#@B$k9uA6s8=%0xXaXCxWv&%{(XJ^eJ4IOir2=DM;LsAjV*k!`6_;KfM1x` z=b6Wb^Ct^5WkL#m#+ZteNcO0d^o;BwICAk>FPOdo1uLD^WTSZgFD>+AI4w}I-?8&z6?JsZK%{4q2N(&_T?mj zK(PgL%aq5Lv05{lOYPhdN9}7c$SmbQm5LY5JrD_l`#BwY#GpyUQ4MTrRXKDoRy9Bl zoiYhf?f#+uQ-eSq;SYwfS~WTJ6K45FMb!FU>GMBE$F1#tv)A`;8%khp5GI?SK##0% zCT(~YgQA$uh=jpHPKP!y=(gbsn_6+Pq0U$8Dxq3>P~Vy#O{*%!pi6DW=3Lx^+f1k@ zn#$r@2=y@rMOAe>B4MzB)1fK`UFv$9+I5kss)zTBR6tsiWL-%R6L; z2(`0`EUuMMe`8QoRgWVQ2H$ZyRK=i6&9JF6WldE#D%D!3MIKb+UZf@~#h^YIkLIBtF6u`207z0!l!DNcu~7<8#c zHdRchiArS%^%Mq0HLwFBVQ{xn47yYen_3>21{P5Y-QiMO`n z4vR)YJ$9w^;aZ_~V^CC86A%f5S2-OT$e>Ggv#Iw>o2srQO}S`iaw_Dh6F@s!jb-%2aiOQr(4G;6XLGj?_e@7<8$U zHg%U!ztxw;^$;q>gW8Tr7!*;8L6>?8dwg++MMa_JDb-V`^%xY@!2aDxJ;v$KKn7i^ zxlOITz%;OeQoV%g>_PonkJOb)G3Zje&1T!bFJsyZ^%8PiTpyvn#h|FF=5{5ugVSly zrH0znS0znV*C^FjsM|fL@`!}N4N5WSQfG%??!0gK={VUSQCU{F+5V-N|0mpC07$e>GgwyAB&rmDJ14HjyU2bFX!scV#C(51e; z(WFgHJgfs$$TkCfL;R!ltV0mAYN1xgJ!__M~o6ib0nuY*Vv@ zI$A>(H(99i9@Hj8!XTeg47${#*u#rETa*!MhEh|6T7yAR4eXIl>V8g#1~TYU4Qy&v zA=AJ>si{J>^PrAYCv}BV47$|zzE)MKLOqKd7dK6)0~i!l)%0sfZRT_ubgBL}weLJr zRZFF&3pK`rDvd}OT(1;^F7<04tE!PgeOyfzH&du24{BvQQb#!*s$$Tk=Gs((P$QHw z?;pfkEXAOx240N_{{4tj47yZ#n_67ZH1O}Lvbfn&RUHp%Z(CAr$Yl7bg6bWwK2(5RYR${LiP5b&ZUuRsT6}QbpU&7 zaaDB}>dh2c+&rO9a04!)s(TR$gO52Ks$$Tk#@JMD0aH~srS1|c(}Sv>Mrwpo47ya3 zO-&Q($11Y8m{4Uss5cM^gTJv=$Ep}~sinLx?-f%ip{6R8Bh>R46xG14ZAdLrib0pE zV^h!MHw`SQ)O?{@dr&`IN~(rZ47$|T>#eF<2=x?l?88E#_G3^~Ra07%dXv*>(4~6Y z)Si5%s^&`FBh)Alsstio&`l`@UFt*+tE!uX+Fe-|w@9c&4{Aj!sUJBVs$$TkGHvRw zL{rsJrS21I2?j+quo)s@FjXlAU8<~2-6Pc5O0u{mLe=!3cC{i^QYi*q>Ur#~#U1R` zgj%4~{X%Wx23*A1VhAE(@D!&*0~vIw);9Hef@xriQV$B%!-G20l2mi07<8%q*jtOM zs*6zTE6U=Q3U!1Va1m9_Mdod`gs!1(Kt><(abg3RT z_2D^FRb!=|5NfywRm@b?St$lx>PQ!>s=-2iP+k_dLa1{X6jjyot60^yoDNkn=u*>d z>hxcxszFLUCDgqhR3k*f;C7`Lbg9xdl_S*2aAUi#yma z6)L9GN}=B123*A1Vjv=6@HnSK0~vIwt8MDFKTQKGDfOICT|KBjnvrU(6oW3c7kg`Q zRb>eE%Ehv{RYLv14Y-J^?m{FCKHzkyib0neZc_)(nyNY|^@31SJgADMszFLI=u+pf zw-#5`Z9;v0ku2^-p-OmAYY_>9lbjA!G3ZkF+Eh`YCMdN=s1+C#)xh>mNyU_6(50%| z)Uq?CfrXWNNvLKX)K_ImRZ@yUmwF?^YG6a59z~9QSS!>n42r61d=pZya5@dTR9BmN z@3g6^fl{vsHN=A|WUA_*6oW4HLr1Hs0YYsrBa3@Ys52N8Rn;SnS=HB^4plMeQd4Z| z_fw{-{z|PAYQ6_m9})a}c%>M0sS-AoE!3|U%HlQ%RmFqa)`(PLr5JRn71&#gdtO~4 z)Lf-r7it|h;3Ccz{Sd*wqvCXEAcHQ|%%)yGX&P8wsW*k{Su7<8#!*jtOM zDqW~Gfh_JVp$>5aE~2VAh~VFSb2?PTpi2$0sW1O9Rkc%UlTed9sB)&N{z@_EQfIKY z7FX3cp$?Rm#cdXFj`N^KKrpa)gJRMk!?23_hS`r7GIg13#Gt zCMva0sQMn%zVk_yRf<8ETHD%cU@f7RAjdv@D%3U%imGa4ZBoy3It{v1dz*UugsG~g zQlAOc&x1-ZRkc=%L6`a})vBteP@9U&;tmM)3kF41wYV0m+Ry1w6@xA{-lmQnH&yje z>I)Gydui>vBpq4pM$ z#eFYS0T1dKM8e<*r$bc?y3`z-3XhnohAZ`>P!D2IR0CUFPHMVR47yY~o4QY^bA@Gb zhlQ%`LG3{#3`#4-pi8aBHeOr=&_D)Vs;y1Em1`PU zU8$o&_41(pDn#mPr5JRn&#{dcSJibwy@4DTcU-9B-0O>|>Yf^;_HsH6y3}Z!I(*nv z)m5nzLe27^s+p>WE5)Eo6|kv0h5F$qpw zP`i?3ai@ez@SvVV1iuf@=};AeE;Y-h{`}rlHAJa1LM_Iis0KEzN@|Ky47yYqn_4K; znF6x7vqD|&L4Alw7?e=!!}-ARo4o&4mmC^6e^c{eGygVR3WvC(`nG9M%vVO z-D6^Pny@RSi*!L6=IfsmVee$|sAa|N*)fwyxvML5$YBBH2 zI|rUG)Fh?y3-t^JMKv%3kuaFA6oW2xxlKKB$TYB+Qb|I!@SqM>CRIf#23=|+_SWL6 zY9iF~MCn68q4sbCF5*f%2d@}sSAXNW25MKrMQ#jNTPPKPxz=u%B>>ZQ+31IsB@S*Q#T>Sw%p9R~H4V$h{N#5P`BRo4i$ zns0hzaaDvm$i2RZsZcTgE_E8)cyU#Y z73y=o>5auz6RM~O^nWf&CIz%)d{V2)A@y40mM_0XrL zfd!PhOsIw))MsT_RXL>?bg9>{w-(pHx#YL6^#~ zsdx69s%k5Bg-`=LsQjj?wn{PRQU|fO7FSgtp|b-0O>IV9$V6J;>>>Mh0D~p-sK;iD_UNr5Xs8?m->Ho7Z7b zTPX%z>OE}Z#Z}cts8xK^8;ffw)R)}ri>PX5X;!s`(`nG92H4c6ADgODm1-o^I1lPV zQ&lge7<8%Mv5gm3)h$Bp+K_p51L4SW2n3 zLZx|7hks&Kmn+4fOTCM2ytt}b3iS+fT--H6ea5}Mh^p>PW>p(God#X1uT6ct%T(1u zsdS-k^`I^=RdrX2L6`a&+jwzRjSy-N-}J`fItZ2DgL?XWR+Y=?H0V-UHud+1rmCBi z>L}C$7!=jOs}Ko;X-YBZQWx9QBBB1`JJDENC!uP2P#+a%Ri%_-(4}6)-dbD(YY26Z zQk{i*n;UQuhs7{N!r&QBhczND)E#Z}c! zsMn841G@@!j2mzfRV_p$4EAt3RK=i6-D*=meqgHVqSSRl&GewEnyPM6ib0pkZ&Oo+ z`u?a?)m^9yJ*W+cgu!3fs$*3Qy3_-_FK_d^q)>M#b-hr}aj!3;f!7x0V|9;G47yY; zn|kVf)4*h_MGxs_LQ?gD!Op+jwzR4HfFcT&b#`P$BpFBC2|#Fsu5W z)1fK`U23LHo!w!o8mv@*q3-jbnjjJecPPc6OI>JF3xqm-SgINz)MXyj&O)pzSt$lx z>N)JK#WgTRs2rsR3iTE@;367$BO+n&B&S0I8FZ;uHno1cY2c+w-6+&`9@MGxSXEP{ z7<8#mu(uXhRcE1IJ ze5`VmV$h{7v#H15H4QAP)Ci%j@}R!?o>g6{6oW4H7Pj%?8rWEpJy3}b>uzs#}HnlY4y; zRXtXKRUPDXsER?Cy3?jkZZ%a6P-?VL3q7bS5eb9alw#1OF0iSXP``gGRgD#@st2_r zKdUOL6oW4HH1^iw8dyoFY^BBtwSgOO5e>WnkuX@s>Ciw1UFs^EdS#1g;3Y~;5b9bF z>bHEXs-aR0y3|M5TZ^lzgHSIYk_Ju`>N{@0MN~BpkuZ3V)1fK`U22$3ef_qnDqX2b zLQVFdDwwJUD8-;l{fWJ`xT+=y_2ogS>UN>d_n=-vBn*D%bf}6!ms)63g@qcY)Ez=S z!M(nS240iM$0}PX23@MEO+C8VH1Is7rU=!uz^qyBga16 zDb!Bx^+i-QHi1>W%;_}fQrFtl_D!a$dP+?b>P8Q$ps6ZdDF$8YJ8a{{Rn=dpclo9_ z7B@qvQ{3x|sOq7R4g8YRY0#x6+tjZcO;vrBnkm%X9#maK!eE?I47$|$HZ@nMpTCl- zGKH$_LA~=gsq>U#(50Thj$vE_%L|pI)NG+%<+fr(1N$Hn1`l&OG>}1;YGPAs-ZBlm zSgAQeb@ZTqI!CIWQVhD(PVBA4Rn<eD zrl~4TscfMpdQcagBh^Q<%h7V0tX^+hzW&0nOllw#1OD%;f3H%tTbE0rVEl^)cm2S{D46oW4HDz@?B8dyiD z2asbQ76`S2dwmgA-SQ`?7df2jHl&GVqHKqL%qRf<8EDq>Ta zLLK8f(OBGKp(=P#o6nHSuM~qW^%!;x;~H32sF_MF5$YvwD@HW%dPKtD0ZxZCGU!rQ z+SK#wO#?4f>H(py@t}^LCRIx*23=|g_SWL6YAw`rpGpHC6zU6Zz(rIw1CcOzo715x z23_g~o7%t5RMkqUhlCpIK?SEt^;C*Mm--ERYjIVL66%xvQq{vk74)Es^6>hDiTwNi>f zm-+(RcyU$r5b7=DxVR^U`iXme5mhbvgVZOSPJ=Er)~1fUVye1MsTD%a_MmDY5(YOb z#h^kX140QV;RIyv^^@LfxsOZBm-y)T=pu2SlGp>FY@O8!RbI;9wNsh_a77FX49p+4FpRlOioJ`d_C zL~vbePKT-(bg9`kb?zlo)i9-23w1yD`XUxvuJE9C zBN7G|D8-;ly?|}JxCT}iYN1kSC;xHLX72SxR5kP$QcrU_G>}1;YGYGxU^)07#Z^@b z$0MohJ*cz0NnNEBgD&+cw(;Vs>MGO*e_suY7RwHbSB zaaA=F>WL4ff%w6W)W_U_i>T^$L~#8pPKVAj=u+3))GnM+a9A`^3R?@LMtD%gPmsD+ zDF$8YDE8Lksv08H&Ye;fwt-0f%?-GSsvbuK*T3R)sER?CnqgCCa7sp1H!6kQK~jr6 zsK&=hO;(CQmkMlZzEGz=kgBkgOR9zk^#LNd{*_V;y417S#*1rU6`}4{3hyP5dXsy7 z5e*!4jMNjH4h>|`rCQq5x>crum6gJqF{HYAP$%)`HLic96oW4HafrF|p2D4kdKEb? zZo5!FVo+37v7@ARaykvV)Cik8gck)kEIKN+L#U}9R3$`k{VSyybg94pwyK&W)Hmg>y-DREj~D`tdKTs)0i7z){Y{;WZkmvltXr)uV{u`d6GzgDy4IrvAX| zdK~OGD212qq!xHk4GxoX&w9^-UqAcHQ|+@{t(Z5miXDeRQ6s?HwNuQ=`D`d3OZ=u*4S zT2-|d>Luj3xX*?97K5Uyn)?H(9h^>sE;ZDqzIw`3b&XO7gu2~>Dvt=Rf29d zRn>T*zQFezF78XAihEFNz9;n?r_-QIEwHIVLXB1GE1@38pr{76Lj>2qQi?&BO0lU& zR+t7BRO%a{8hcO&z9UsZDF$6?{b{R#^@Vz9tMuWZP#<7WR8?aT!S$~=9U91>OLexX zZPu&PQP)K)}r{VSyybg9Qr zV$!^GU^$^?D~0btq+Y?Gs0Q{vMCu_~iOrRa>E6K#q$$Ce+s$6jc?j1`g^SPNzYa8e~(SFE>@SQ3@~l*}w@NR9QrD{VSyy zbg7fSTUCt~>QnsC!o~e0RACS5d7L0{{VPtVL6?fzQ~{xGQR-)*9>t)j2DU~7*S}JV zL6@pzQx7gP4a}z$_Kn!U1|HP@uSs2`6oW4H3ij6G4))qY-M>-#fICTT$H%CuMj?Xh zUvWA#kU^K~!29x^S6d##m$6z`D22y}RDTaD@oQ3Tlw#1OzDB8WR4<`6za@*qvxL;I z7!+025=3zQD^7>17<8!#Hg)__Q`PlK;XF-ht_M}~D^j;8#h^$rgqoogUTTqAgF#UZ?19%Ixc(KVLjxIfsRlN+>Jihx zKqSFg+V`-j zs-;q)P-8r((um;tS4uJHQorIf7gyCtp+0_H7KaTFHZaM9T8USgxc(KVLsbmA)LffN z5Nd={*qkD@6oaA~cr_xp{*_V;x>R|aTKte{;NKf$aoF7?RmX$c`#GsVDF$6?4ffXJ z8hE)-iyh%wa(}Sx18L1IU zG3ZiBHZ@JCAJ@s^@GdW@vL4hMh~WBHc;UmU7<8$nyf5z@SW2j=O5xubka`}2q8ixs zQ&NkRV$h}P*wix*mMm9+IrNgs)bNbA;&)8p9+!Mk3msY zP1#TCO-`plm+Ea(d+s+?HCGD%DvQ)852^$rxc-$=47$__yyT60tZou&_p7ov{A)i_ zi5}F7eWZTmbf}6!m&&xMzm}M)hAM@BnMrC121PZn86vp;l~N44R9Tz4N2s%}$l~x% zdr8&wpmu#is-#j3y43Ud@g%N+)r4B06#j8FsZAIZRn-tgaQ!PzhXyj}Qmt+3^~H$^ z8L?U^$Yp2(R1c+UmHvbai`6KUkgzQ+r4qjXC8Vy$UkRzaQUsBVSk=ZDof>}3JbpbO zVvX5H+@Z^gCM0aA)EU8G1$N`&`fv#rhZm`H*Gf6~jSBW*9k=Wv-UR4}2(JIY>98sW z-99w4sh96FRC%TFJ3XX2c~HOXCB=P2QVhD(F8rJuS5>-DYhIQFekqF7Aq7 zEy19u1~&VE)KsMybg8m7b&pVIpOeKk5vryKwF?ov{!@xUmwFz1YHPeIm)i7&RaH}=9)CvqkS5e#42r615+b<%DW^jN8FZ;0Hud2=Q&nT7+6gt> zgDSS2RA;3abg3f;tf~eJ^}*A!xNC$uhe1(QEk^{`Kjn0&ib0o}Zd0e{nyLmV)n2H3 zJ*Y<8NZqa!gDzFtrgDTj`IIcKgHY8ysP_@U^-q;z(4|&>j!E+l_Dh9|DU~7A8yFPT zz=7|QdYsdtfegCT)i(9o9Mix`N_7&ds|WSR3Q~=gV$h}bVoxoustloCL5_>NR;VAi z@fPtq@UC}AeZc87=u*RN>R^_ss)JHpgqq?(RYW8V1}Vj$OP#}>T3l7P3H9}pvbb(S zmGGd}ZY6b+)1fK`UFu$&Dk{_jrLGfd1qMYmustHU{;5(7x>R+WS~lA>u&`1+glgtN zeYJ&DC8Zd2sWMPWI z532s#q$ViEpi7moscfNsT`r63CsY*=Y8xWB{;5(7y3`7@-Ij#iHWXek_Y$GzDs_WU z>o6#)f&DgXAoMEbJr__x?P4b}1A%gucr5JRnGuR=Et7@E32Og8f z4H2rC2eo=5sb4uAs$$Tk=G)YHLXA;ss8Gu>D5`;N5yACOm15APs@T-S(@g`Dl)6c% zMjq7XZ;>jm6oW3c4*P0x4Xh{B(nqBa!-aaEn{N@{8b>37>z{HuG>}1;>SR;zPBT^2 zQR-%)26|8h-XhgbDF$8Y5cbyMs_HA$)<G3ZjoY${8r6A#PcZWXGc2ekzeT>n%l23=}7w(;T`c(G8K zN{ta}Ee1t3u-6-;mU22YkU^JfWK%CrH4QAQ)L5a~dr-$8B2`Bz23_j?T~<|TLOqWh z7dKw0uP`X8s#&j-+REuP=u!i1>a!`Ps@6(P5Nf;!RR)nT=&clkF7?NUR#mqOwSTEB z?lz$cc~Gl1kUGKXP!)qNb(c-$7iyGJlZ1K%gQ6OkiU_WMsuY7RRnev%xWhCsQK`v7 z)%T$GttVAhDF$6?E%w&p4)$6?EqPG-aEDOaxB(Y&wit;Bu7Aqu&_D)Vs=ZCUJ=s)M zQ>m#!_4A+-){|z^vcpi4c1ZM?V!UMSRb zrDh4W8iS%5*!?w9OE?`G$e>Hrx2fkQnFf|tDpRPo9#rlUQZ~6@LXGyIN+A*kJ(Ob5rGD9ARdusadl$>% z<_T56gL>u_Qb#x)s$$Tk=Gas?(Nr~DscfMh#Gt4Kwm<~eKUIoBmnvsd_X&0GK3QB$ zsM;RXp0%V(E5)Eot;XJ3+`)dCQ1>czw@_QS0T*$$xCs$l|CH0AfegA-Tbp`of@xrN zrREFO%Y*vsWl~ow#h^=lj=i}>#h^=#wyDG8 zO;uf$x<{y49#plLNex$uL6<6EQ+EpW!@aV&dxa|FLA{O$u78THI#$J?OFhW@@_uT( zK&UB7-6zy442o)CmzPN0s}zGSRokYX9%mX@LaD_H(ofdQi!Tgh5xO7<8%QTdk^w3AJmX zEN-b#2_Dpwt4aO9=};AeE;Y-h{v2bf8lu!gLM_Iis0KDg1lK=Rib0nuV^a%-I{W%DuhgSLZR7@A#MxpnBKUVyoDL0S(4|ssYQt#L zz$!{D6RNuhb@~NT&6Hx$rS@TOEv~9-g<3aX7PnleTyDTcRF#7Wu7AquP!)qNHPWWO zyVX?HNvS7}w zBga0h6lxC!MOAg%DpKn>od#X1yG`vJWvXhV)U!g}&ZnUbpQK2fC#RC%IVNR23@L!O}%=v zX<$XAUJ|N{2le|(QjL^i(53cZZ!NB>jzX=?mc_j+)c4$gi>N9a5nTV2)1fK`UFs&A z`euZws=ZRL2z7@Cb;(Ln1C?UXrT)U+T3l5Vh5BlqEbdjIl0B%G5yACOIUTBE(53FN zsUkv+S8AP5PhwD11Jj=&b(c~Mx>PlrdTh99U?HW}3)R$v`f@I*ib^r)Qm?;mHSkKI z9zl+McwMLuF(|64aZi(4%jq=eQeA9n$4#cH`bxba)L;+lJVe5vy;2Oi)b|^#s%{W! z+ZPhUa#XYYo2sKBkw}pC*8*mY4i@u29`lp-@4P?-zn%dM$ zLrnwADYaFo3=it(CrQ;;ib0q95PNHJRb3<0>e;fmcZ52~4Y-J^vJk=bPdOc`V$h`q z+te3BOjT`_+9uR(9@NE8lIo`vgD!O%duwr3jTP$iOj+D^p^AD?FCv2LpK>}>#h^>& z*i=EGMl1E6P|GkVs)1=wkeZ_ugD!QcO+7T&G_ZhD?+ew?gZgY1sd7p&=u)q}YBjK~ zP!A%ek0et>$zZbg2xRdgn${Rc)m{6l#D6l^>BXXsZ;1E_Lt~tExUi zZJ8;H+bz`Z7!+021ItN$&goDUgD!QOP5m^;RMktVkA%whplTt4>z^vcpi33Cso6pu zpCOCeE7T<()Z5EQ6;O&nms++Klji-z{HuRK=i6 zW!qFfQ1~UFk;w5Ed6aq>gG_MyfBKEJoS+p~7ppasL(MM%eesX>Uw&n#=%fE*blfii z9baR$Vb~2u*mb8=^QE*Q;h(kr7v@Psu>ZyB&;|zGHq5fAKl>YMh*Dn*wHSj;@V`(^ zA0ahGDF$7tj7=>R>daJG+&4m9?m>Ns2=>2}V$h{lt;VEz&-tpL@LP%Vk>hU_Dzy=V z7_2qzVUCXd$H&9! z#r~s_6TFKEUjK1ARK=j%hbLcTW&i#IunI!WQR;i4Uc(?0{4Z4Bhe$ob=|C~)QcZ2@ zrM?&%t5r^^AB4*ApnkrCRDGowbg2)qrxsV$HA1aMj*B}i)In~%MV$MxmXg}W=``q4 zgKg@IKBlU+O63Z5n+J6LsL@It6KWX-MKv%D5xo9Wib0pU)TSQlZ5miWsS`pq^q@X_fK)l97<8%ER#^?K zE7XIxOCNp`>OBmKs_Irm@cNI_p@9s#REABx)5}yNuxERSddR zwoT;|YNS$s3-vGtMK!P$B4IE~DF$8Y5}UfeyJ=v8QVIFZZ_(HDpgvhds*F+$y41^0 zTMfKIsKw)@4~as(i$PIU-Hb>Wtm1TNAcHQIZd04DGgV!#RDPlQdQjoLq*9e)(51e7 z%Bt#mp*D__#T5|hXAFv}>OMrmU>~PLRSde+IGZ}!%~aK0se(dfc~F<#OKPN247${L zHZ?=2+_AE_^MtzCgL(^*Fi22}L6>@X1t!fq2L?h-Q>w5~FJe$s1FyS>)M8GD1~TYU z^=#_duBL&dlqw=rng?}w45`bNV$h}D#hzMRRV{^j201RSm{6Z_<1OMGc;`Y=8#$c@ zU8=85ecZ)V)k3M_Lfz^?U4Td!bXST&m--odYH?MK5Ngk8SzNME`8}wo7m&*3bf}6! zm&&rKzpph_-K10rp&r1Xs0LnzNEl30ib0pU*rpZ<_1CSkxC?};=i9U91>OQqS=o1IMqt0@%-)zgFeGlx_Qr5JRn z&z4(Nbrb6KTV!z;3Uv&FqN-YmNEqzlbf}6!m%7!ae(Yqb>Y`Lxp=NqeRdYz)q!fcL zmEWeO3ibUcS=>cJUFbn=KqL(QT9y}dsRwvp-eFNvs5_J@C)9Ho6xG0M?zq!5 zF4fbfc6T&YHC3vjP&a!}=OYpZU6f+brH)~HEUv1dLVb9%EUvOpA@|TCs(RusQr~kr zRK=i6&9ter9ZXe&mAX`@`#h*7h=jo%N-^kC7uwVUp-zvG#ia;!nFqBqn^dw=47${F z*o}*8V2V&VN>vr=EpFLGH1I}5!r)0xhXyj}Qmt%ieS6cuOO>iF)O8-zsd=Qhk4TC^ zm-@tP)cw1i)mf<5hD)M`P=_%ns;avY34;$g9ViA}>SmkzHr-T}q15F<-RVJ9o=0l1 zQVhCOh`qJA$Le;W4&Ee-t0~k49@MLdgu!V}hpHHKsrziIxKOt#RZFO+F(|5m9p{qD zQHnvAy3D2?zs5AMs8Y3sy2^w4W*DhUm15AP-dbuku(43fkYgX}3iS~NMO8I%4yo5T zod#X%I-B~SovEs!QuTxy=0O!fBn&c?V$h`yKWJ4oNT~OQ%HkRb^(O{JRrOdFse_yj zRWayNciPm+wx+59N?j?`LJ#UnM8e=Ur5JRn3v4PT)bB%NagBtk>Ot+8O{%C;47$|Q z*jtNxUR4q*TdBrEZQur6#5wQ=M8aShr$Yl7bg8Rs>XkIpz)O^BD%7I#k7=OD(ji!a|Kxs-;j*U{F*8ubD+E zTPX%zs;W&r+S)YmJf&I*)x?APVi2iIlw#1OHY~Op*g&XC0D z)K2WJ#Z}c#s26XL#bpTf4L9H-&VjQL34?bz9jao`rEavT16P}>(v<2f)I<;JqG_c1 zD#f5nox&O9m15APUR`K4u#QjNgCEs_OnJq(0+x zsER?CnrKrenwzS6D%DGs=&P|x+2#oZv(7ubf}6!m%72G_BS?dhDq}d_L@T7r_?Z^-oc=#szyvA z^&F=|0~vIwYiw#$V^h^-O5G$>9}nv9>q)gzib0q9;x4PI9zwl^92Yl2sGl$>s;Wh| zk@|$wY0#y{+SHLormE|dx>=~%9#joP!r*457<8$EHZ@(S!#!kiqlCK1gL-o!sW3Y) z=u!{yzP!Vtv`}{{b&F6hU{F*8yCD(=_bJ7oOVzchl?_b;FHmZK*K@#Z`5+P)~Q4K8z9SQ*OXToCBvK5(aN^Ivf@Zx>O&V+Iyv`>MEti33ZDHRdNEU z>y%>9rGCQRT3l7bh5G0^S=@M`@_A5CArc0MIUTBE(4}VE)VT(xs$oh^6zYBqifUl< z@ucolib0pU$foWU>d$VnxZ8xf!h_n4NElq86oW4H!W>MRcd%C%YN1lM3$+=8qN*A? zj?~kf4h>|`rP|ok8}&^Ct12~FsOvqbvt3DDr4)lM^=Xz>Rac=lAjic`5$Y%gMOC$6 zEUAw;od#X%7MuE^o~i0urKSos!-GmeBn*Zr#h^>&v#BXUeb+@6H%+L(gIYg^)St8S zf-ZGG@5?(ZN(ePssp&#Ji$PHh?2JelEL4g?m%75HR@5~OJYT7qLbdduz8y`fs!|NP z)Mo6h#Z}czs3)$KKFkv8V{X7joC9x1Bn&oiIvf@Zy43YHwX2S)s)qpgP&+%z;%dK{53_>R+|Dh6F@hE1KRZK}FaskuTe@}L^u zN@}uF47yZcQ}cy7)kzjNPpBFm)CY)!!TCxt=u*$l#H4u#dljMXR_ZRH-o&7&1`fJ~ z)DxTz4P?-zTH4gQTBd=Om5K?~&4W6bL8^&T47$|EGpwpQ3H2&+TwIP&KVnc+Rk2Z| zc5*rmy3`1pI&_7ps-sf#g_`O?RYD{TZd8gvm->6URn;V+zUe57TPRdX59*bXq)u@< zRK=i6EwZU%LQPca9-*GXpr{6RKqL(AR*FHFs$o;hYnlcYQEHJ;%{{2EZzfe)DF$8Y zP3*139qf&SdaQ%=;Xa{ua|15o95?}yFnE>Ip@9s#R5zP?|8i5+l}arUYN!WQ_-0Za zm15APe#G8dTvY>w+ROr9vcu);S zkea9zgDzFlrtT8zw{%(DQlU~jsO^Y^K@p`Gbg8GNV$!^GU`3(kDfO^W>oF**f&GV* zdW_SdfegA-bDLUQ!!)phQjZAL*@OD^8d6s(#h^>=o?=ziUZ|IlRSwos%q{{ zq;_yR4Z74&oBFD{sp=Y~mI-yc2UQ-CFt|Y}23_jx9adH2h5DkMEbeikihEFNhLQS> z)1fK`U21_%6%uN!Qcno=I0i*EupJ^{Fi$B4T`I+<9;s#;SWu}ILN)fF4h$t#K`91Z zYCZPW;tuxuLOs-0`tX!cA8-RM;v6^zkuZ3P)1iS3x>RSI+E&$6RadEJgc{^QB@HEY zjZzG{)VJ7Mi>s=iQ17J4;#LZEk{fUlRV_s%48GuWsER?Cy4|LJNikLRQR+FNVjfhT zA*9AC#h^T3*&sw(qF zQtxm&4Z74IoBI4xQ&k(KUJ`182UQl4FzBNcgD!P)qE*#sp*~HO#jO>pum|=0AW}bZ zI#k7=OT}!efKaz6^@>oBVo+2ATO$$%vz21dr7GFfgOyDK^C|V3Pz^k&{R2r|q!fcL z^$PaZ;tuxOLfzj=`mj!@?c9KiI9rTDBn)2QbZ8)hF4e)Nwp21zU7^$lq56AJi33Ts zQHnvA`Wky{aaHvaYI93j-0MR9$_==Ps+J%U2A^^|RK=i6O|Yrs6-`ywEA^&Ob3Le< z14!MX6oW2R*rsL)b@Xak+*?AG_njhJgAlZNFC*L zsER?Cnrl-DLXA*rn@~$JD5`;1BZBLnD#f5nmA9$I^* z)Eexq#U1RI3$;k8_k`NY4Y-K2#c)J${Zmed1~TYU?QCjeIa5^)r9Kd#pO;u`-P|ssfR0F&A zCbdW@23@L-O+9mwX<$jE_6pV7gZiNfsTxW#=u%rpSyiM7*dhfjpsk3msYP3cAI zO-`plm+Ea(d&-)snk%(Ws8JqN2}E%HQ>7SmsS_ius%{c$cVk)Hr$QxqP%C403!ye~11{ohF$57@|CH0AfegA-YnyugLesz$rM?oXhX-}02dU;t zG3ZkJv9}giRTrVwHPeIm)dlbRaH}=9!HLS_))067!+02r0Ynn z=X4r$sU9}H3DyGy4q2AyIT*TR8AR@T_DW^jN8FZrh%1|`dO&19@HOQNHtc9 zL6_Q#y|uWiGK6}it}N~sp?=^7TtroOA%g3laynGSpi2$6se>gZEpwvmBR$x$61KVFq zDy9^JE>+#8mL;187FOz%P|ZB3uWFO3q!fcL^~Q}>0~-qUD01w>8KHJzP*hdpJCk~a z(`nG9y4uux=bNe;D0Nn-As$pAL~#96r5JRn9|l=f4G?O3Em_=OLY={&sHz_6MCxl! zhpHHKsVO$~dvQ}$f2Ga|HQ$4(j|i@RsuY7RRl=sSh5GdhSzIVo6%T4#2C2eIG3Zh& zu(uZXyt+iFxk@DzF#qG7b=-i9I9v2X1lK?1bZ8)hF4fGYUM^-DSYD}oLUr<>e(6Z6 zfl>^*)Gq9;#Z{Fq)S8;IxcovL;s#tqRdW!*^-nn+s$$TkhS=1XMNL)hlu8n6k_T0; zBdPvMG3Zifu(uXh)i|LJTrP_%C{!^IYBeIb{wb$JRSde+e49E?s4+?v5^6aHMK!Q( z2U2sDV$h|k*wn*COaqgYDlAkZ59;&FNR?NLL6=(B-)dkzp_U@YJ`@$|eGH1KYIJ*2 zYdD<-U8<8!y<6B+RY$2}LJjnw3Lt{(pDM+mOC9QGRn=FhtugPhHs@_T^3w4(VRT~jp|5PakU8E!U7rQi?&B zTHY6v=Kaufu~3;xT_Dt242o)CFGO(tQ%;8lGU!r`Z0g1HOasd*Ra&U_9@O!6r0OWe zpi8}vy|uWi(u8`xnk+65>ML%*MVtd?A%g3laynGSpi2$3sm}_Us#+^mMyT-~RGD_9 zdMm}COZ|bpwYaKo6>5J~SzKA63VBeg5W)3NIUTBE(53FOsr*8XQtD!%9>Jif2Bx+p zm8leiE>+Q{9!N3`OjN3zQ1v~ieJP~MD#f5nt?gwsu$E9ukYgVz2(=A^qN*C1M(TM^ zr$Lu$Z&PmJp*)c~A+6;QFUZG3ZiX^|Y$$Db%Javbah@{enSJRV{8qYCoq# zRSde+c$+$w-&EB@smeml@t`h81lK=Rib0nuWK%PRI&!Hju8L6QJgAMWNhK=9pi4b+ zJtoaN2VN-Dbfr>+T8%+b4eX8xu7Aqu&_D)Vs=iGGRkadoWo21hb)i1z23*8Ba2g`G{wb$JRSddRKb!g_(NuM{QkMxe+Jh>UN~(ua z47$`W*jtOM>Sm$#R+7bCE>r;z>KR0E{Zmedsu*;sIW`q0n5u>=b%jt5Vo+2ATeKoI zT`2}#s+>*TC)Bx$vbb78)%KwFAcE_kD#f5nt-cPE<{j*p33abhb%ff2K~YuR)RNRn zPKO3E=u&NM>aCDJP}QogR9&HZc~E~{Lh5Rz7<8%6yIECTC)69radGv9I*vh6Ro!zn zslA*|gDy4NrVjsYs_Lp#1EFSlP}NLT!8okIOkK^E6gs4^bZ>n&K-xvqIZ zmwJ%*PbX!{Rd8msu*;sSvK|OpQfrIN?k3~VhoCEVAJNL zrYOarOO>&yg+iUVSQgh(sLMU54-vujACzLyrB-#e8dz1R`AVe!JtcZx2c_{OjV7P>Lk?vVed|$qbk<+(RTuY zAYed6aX=!1f*K&qs3?I1Iv5~87!2!BJ^{cmfXLomP4mH6C)zDNmP$>po zstRjs5mk*7>eXXqaD#;U1C6|@T8aqvKV^5Qib0pU!lr&bV5%CcRJu?LeNbJyk(#Cy zgD!QnOUK1EZ1pA*V#h^=_W>a_nWF|0HsWC$J^g-?IM5?7y47$|wSX+xY zTXYfX&ZA@=E*9zyF2H%51B(#B{-^8?6Ud-TrQ6iYKbopKDHReb%Li58RF$d}gD&+s z*484bx~p?+v0gUb@Cl@DqQBG~^*DF$8Y{$y(cTL^WPQaM7cL?f>W9Nm%Bo$L-1$e>I0 zw5g}QHxqcGQsae6^Fe(dN2-%j47$`CeXXkc3-ttY9Na{qK1L(2s^)hfwUymz(513$ zYR`A3suZPig_`PvI>A&mMkxke>R=zMs!N61b)*b#vQQ0uP%GNAsvp=Ls$$TkDr~Br zPz6fm3AGfBye2Ri5$u1Z6oW3+%BF7r)=XesrSgU9>Vx_qfz*jgG3Zh&v9=a*w&*C- zt&L?K3WR!v3veFizRrgN?j^c$Ol!&RF$F>gD&+k*484b zx=5%^jbv~|LjBAIIFG89AcFm`*d3~3(50r@)OTN-szxal7OKn#m2eiR0;L#qsfIQ+ zPpEGi%HT?bI@t%c5fSWvr4)lMwG_*E5fga4P;-@+_hvk!O;+mEB?3%Xkr0^%d$-RpGQ@5&LFjk-D%LJ zLN@jOSEi~Yr7jby$Om<-scMu`47$|MSjLN}YKlwLV)be($>Kk^4su*;s zGMkDPYO+#S2z3VS)(?f=qLm7&yCLe2LI$XGgj&J1K930;b{eV0><$yi zpi3p%)Uq$k1Rkx_wL&HPpekcXouL$iF0}>Aco9{dFVw@xad6iO^#RxVJgU094XGE| zod#WMv`xM9xv8p`QWZkw`=E|8Rb?o}pi6y^WxR;0a)sJaR|a>3P<4Dz54C1hRqPH` zG3ZkBZR)SjOjQ$Tc?sbS}6uy zY6aHTA||k{Pz#k>B-BPOzFl+tj*G%>}mrRvz!ETKM+lEK|7)UiIOHHcvUD=gKqDh6F@37@N7{BA1LOr>rYYB|^XJSK4P zDWn!E#h^JFiL`=CAxkUC8%23=|+mhmF0I!CDck>fnvCDcx?^?6h^ zBc9ar>`sF&HPWWG?=w~PRO)V_Ci$R_G*zW5#h^=ljb*%us&a&SgBQIqxO;@EMkBAP z?rX`aK4*6tbg4Nub?75gRhCls3U!kYss|$2|4JzaUFukyx2XcNimsF4fznR)1(Fu$58|2{p_I z^=k`O)l(@3U1}%R)*`AJB-Bb?^v2*G7OIj9a2{1%hY0q+Vs{#JsYy2V>0VP+no`Sz zy4(kKim56~DF$7t8f$A2RZSP_V_x*e;Fb$@ln?4TM6mxAyVIac-DFdZg_^3=V?sTI zMqU$m!AX3rDwJZ-rP|rly?e|AHdN|yq0aR|?Zd@u?0=;cgD&*~mhmDcu)9!8dC?p5 z@PtrrajnneuqZi^Rjp)q8g!{)Huc(WQ&m@`o)l`l59$b0Rhm)^x>O~W@gl0q6zUaT z^v2+x7V392@~Z0Y6Ij*9>`sF&b-7I)*k!5;DfNs{3w%&r5W)UeN-^kCN7+=lP(SlZ zGzRyaP;GorFCWjU8Y;!0OFe|OwTKCf7phFDl|rrI0-VQTaS0;W|BBsVj10QexicB&r}suib0q9 z49j>CRb4F97GCtm;9e5yS2Xgf>h@-=YA?Ifpi9lLsULTmszxidMX2k1P#qD${#Qyd z=u$`8)YU?L&nwXw+%}<3@j<2X zcNimsF4e=Po_xnl;0a2-D%1r&sPB$uRf$S5=u)p^Z7rgzenPF_MQ;r5HKF!#0nX!K zpN9zczhZY9bg6MRwfk*TRkBiV2vy>PI^I+@S}6uy>Q}6-MO0NN)CauijlsPs)Db?Y z#}UE)SL{xME_Izv#R!$J)LTN`jYeJ**!L*DR`Zo&(4|hXsoQp#39O^k4xzgEpx(#D zYwUle6oW4H9G3ASCa{B0OL);6^YD&PFLSNWUi8M`-V^F4uJw6TbxRXg^#Qxnpi7n5)VJGBRU?&p zU#M$*P-h{6{jZc_(4~&BsZyc7=9Op+ZkJFkd{7(WSXCXR7<8$-v0@l8fz5@QqttGp zoSe60MO4*CsO7xq zjlq2=)E+Ltc^nqA5yAdf>`sF&b%{;A_lBvew^I9ry3_~N%v3c}DF$8YC#L#VW5b7na^?4i?xs6!Wa(0I?GU!tM zZEF2%rmA*IeJRvMKB&KN@f!PIDaD{m?ZGl$L{-CudVv?cF}NzBzT;Y-M^%d&vZ|fz zPJ=FWsZD+Ls;O$2QeO!*&j;1kR5eK{23@M2OP?Bh=+eeIwN4Tvqg$z@dm>|0|^!bg7Ou^~ft`0*_MaJE8jepuTLts@f^V zpi8}kwY7+<&J*e(Ui8KUelOJfT!8baY8E2c|BBsd(4{W2skdJ?Rh_HUk3voHK{Yj1 z4O5Cim--HCYY|mV6zVNr^v2+R5-QpU^&leH|BBsd(52?t)Suf-RpXWVMW|c2*5@&S z=fv{0x?Cv+UFvw7x>2a#c_kWyJ0R3qKB(=8VE-$n7<8$}v5Xfnfu{?#K&gX5ZQxp; zM^!oXS=B@A4r64{rTW^`+O1{++bH#$P$PU$hj8&4`(G)=pi8}vWxR;0h6uHW7rimK z--X)GwLXukZm7qq-ePwebg3ye^~Dxb)nKLm5Nfs$sk^*dGtYl1Fy3!ke!2R0FEhEml+E#tDH#{>>S1p8kp#h^=_Wm6BlWG3)P zrJ`bZx7YbTs88#%sy0e7=u#W7wiZ#<*+Sj-nM`1`Q15U7&ZDa7h+zLKc88f~(4|J$ z)SH`4RXvodD^#uzs!g~k-4ybic$=^)H)oJUn* zM6mxAyVIac4YjFPUo=&9QR-Nsa(qw?s!3g-6oW4HCDzs=sv0ZQ%e?4~!5t^mAuhmq zRCO03*#C;%Y0#x++0-vDn5r&O>Ug1U@IiI{i&TkH47yZPo4Qu0pLiu2gF8W});_3h zh+zLKr5JRn2eFJ7F@Y_Gx<;v!gj$V8UK4onpQP?)cNimsE_IGgJ+sbCU<;*M2sOwD z^&>7`WB)6q7<8%aSjLN}Dpjbbc+ne!Ybn&HT?8;R5eDZ zmw3?|gKH<$0WQFKRCOC7*#C;%Y0#yn+td%MO;r~ub*50|KBx}Ak-AhV23@MLOkybo$KBG~^*DF$8YUM%B9OyG$^%~PtqP|tF$&tn2FJV@$xc84)C=u+Kn z>WNim0*_a!gHQu}P~YO>HTJ(!ib0op4a;~DRiy~^I4^o*aEU^F#I-(;s!DM!9{XRh zI}N&2rcLcyX{ze0R41XrKB(r1VE-$n7<8!vSjLN}sz9jsdC?n#>mpPGAJk*!!uogY zPJ=F0Zc}xInxa%!q3%K>uL2Hib0o(x2ap7GZPrCRCl2|`=H)47uJtgib0op z7Hew}6WCs;TX@kM^Uy=6ZCrr!I4trI!Twk5PJ=Erz@|1mYpOa+sk4Q;*asE$3#q91;6-l??i`_h9uqkH zCsMbtJB*P*m+EX&k3MZCu$fXxLiO`OeT9qH*#Al?23=|!mhmF0N)l=rFM4BeeTCZ1 zwLXukuKbbI26m@Gm%7-d-hIkcb-q%`LKXU;jzI+bUn#|)OZ|vtyojnM3-t~!dSh_? zgo^P&Jz_4b?`L-!bg8RtDj-y@QvHRxjmwH26L=mX*#Al?23_hTn_B#&nZUnzB^rZE z6{>>|>h14IHB*W~mwFOwYY`K8hER)?8X(kWF2H$IH4zc)f5q-FMh0D~pH02^gsG~n zQfWe6=!5#xTv$I}DF$6?H`dl7s>%>*9WQ!ga07+>E<+*qsJl>Oz~^@tCRV9HmAGmFI(Mf(Z7%Qi?&B`WDN0 z5mikPYCA7_V{oH{it<4{fNSyC|BBsd(4|Uk>W@cFRXIvsDAdhdR`i&_vk}4mS4uJH zQq65@p-_i-B^rYpE!3Gls5i}p^`=TO=u(eiZ7pH~PZR0}rN#)go(pguRb?ZB{jb;^ z#>k*c^|7hvmzxP}t<)t#4fjF)W-hFsqZETK^&ZyNBC1LkYBeuzTAsVY;c$wJ-egX)F| z_P<(jO(523^sg)0!35-{&P^ck3s9!!O)m1&(>I*Kw zc~o^RBG~_m-JvQ5U239Def)r_Y5;Of6eEFa_?P*hT7FI{Qz-^r>QAh#MN~EQ0YiPn zi{7BZLN)P0J%b4LzhZY9bg3I{s-aL}rKSq?02+BsVCrY2%9Uc!rB1i0rT3ev8YneQ zsIz@gAL8OQ_PH(~+MI06< z3w5d&~r$q|{uY2Ku0WFc;Q4E5)Eoy@|E8 zh^m4@J;{sS7+k4PpKt-rqpGVA!Twk5PJ=F$ZBu)fnyUIC$HaW0rum>w+(+tSr5JRn z->|k8QB{#pyI&OQDxn(rpq@Yk`(Lp;jFCZ?y56Sh3stDpHA3BkMqU$`@)4=4m15AP zPPM5!?#8If6JnGq6RMjJYS#;-PEv|Nms<6sHGzpj-G&_JpT|}_|l~N44)F(e!RgD&E^Ew&a^+NrEMqX9jx|h^$c897M zbg5}J_5Gcustc96L8xneQ0)=H{#Qyd=u(YrYQ9k4u9d+p6sn~UYSSK4F-kG$Quln1 zPHW#9PY|k9sT+lQ293NXa1Dn|RV54c*z+>D#X^0^1vrm$;9Nwo{}sDKRSde+SeyFbc2iX!rEU?b*avmoZc-O2 z#h^?5g0;1Xs`7<;Z;cFYiBPdVs7DdO{#Wb{RWayN*V9c)K)a|s%r8Fq#k2; z8g!{to7#A*sp?Fn?hK>u4@2Hib0o(wW&EmeYH{scb`xv`k-EXk5rUW47${v z`_XCbIq+Dau2kxNp`Jh^uL;aR1p8mHJ4_&hF4f7VmfvC~@ED~Y6e`6BRrM~ZGnHb{ zrM6;iEuyO4LOt@F4DKPJc5wmD;~aPeBG~_m-JvQ5U22R??Y!Akb)Hg>2vy*NI{IBw z!iVD_Mg;p`u{%`7pi5n4Q`L)2RTGtZRH$3g$ZG<7 z?Id-jQVhD(i8ghUP=7ungL_P<_CBZ`h+zLKr5JRnCo0it?ZMtos2i19A=D-`@~UdW zJER_AcbGs1T`I+n@>n$txy3U)cuHH|0{L}ib0o}Yg4~3K-2Ps zY^7chYB3slRn>DlsmqjN(4~&EsRcs)wn7H?qEKh}px!_P`(G)=pi4daDLSovt=b56 zy;2*5dJ&Dhs>*tk)C24e6Ud-TCE3)P8_Wcrs?2gZlV2Ql~1#pi8}owY7+(!=_%p z&Q#S+sn>;?;Dc)T8mWOwG3ZiNA6Zq66YACFGPpN{`U8!;s#=N&_P=6xsER?Cy27S@ zEjLw-RcgCX3w=;sUnMn7DF$8YXq&oDs9%=J;NBAIG#}I}h+zLKr5JRnhd)H8wFmnt zLS3uW+d@5$MqU#bdWF<$yipiA|#spqaW6WCIzcZ5p!LH+y)scuR!=u$iOT2);j z)HBF&aPJEBIU0FYRrWHeSJ|BgU21|&?JF}?r7HEFP&0i{CnHkbY^+iYy3`+gtg1?c z`tV^H+y_F%`JkTOM(P)KhpHHKsf9Lmgiysw?GoyKH1e9jAR^fRN+||i>NK0W`x-NW zu}bX`s;3WX?^aSRm15APp2yl+#KGQ0s5>8$dDtt|8(e_%I0qIXg8i@99VU=LmrA#( zm#;QebyDghp|X5X^|z8rRf<8E`W$O(5mj9x)Yb=OaQlQhh(=yj-GK=9zhZZ&ib0o} zX;VL4WvUvZ)F(n!_@ENEkSbP+L6?fNscVG#;Q<-kr$V*zL2W?<`(G)=piABV0XnTc z2euIEDy2RbY9$(ZP2lL4NZrZqFo6uZR8O0FYQCAk6CaSo7f9giL7ETh`};|CQi?&B zdgFa`R$Ennp`JjFgR2zkV>I%rYW`+YTiKlkT`J3__RM1$2`Nfd2{qLRbpj&Q&BiFj zpi3Qm&#LNDp?2LTgWE4uLm$+NO{9Kccc_X%m#VO-dO{T_^|eq-(a388lM%uGS4uJH zQmt(2_EIx}b(Q*7sIES!4>poIQ7HypY9-dzA`Xj=Lfv|=%)@s=y}|`Jk8@x?BG~_m z-C+V5bg49(dTFkys=ZP_2o>@{)!9fYMJWbd>SL^}MO1Z>P@C?N!Tl)I&uHXT)e=Oo z{}sDKRSde+RGa#4j;U&tQa=k-=7UPuK&n6~23@M5P0bVPo24?iUxYf@2elCq?0=;c zgD$lc%XkqJc)U<^mHJhvr_so30!OYVbt}8W1TyGSU2W>|*=7QdQ|h2lK_ArDcav(b z6oW4H%G*{|eT8}yIS%fSPSm*q zV$h|2-eFZWMW}c0lEM8cRDB=R@)t;b!|qTOgDzEOQ_(_AR_ZUI?m#223G9ss_P;OYwXJs03S zs=5gg?0?1XP!)qNRb*59XPK%pl&UAxd>>T1wWKC1#h^>ox2f4eRoyOwt1r|EKByNE z!TwiDG3ZivU>PrB0`dP-;A?e-QVoP!fks{vIP7^+i`g9}kU^J9w5es68R}@Iju0x@ z2UU3+sWX&f(51G#VO4d$P!A)=!8H=<12pog>hd+DUSxL~bg9uc_0CLFRWGF)3zhGK zItr2MW*JH`=u+RmZdH{l)Q($aaB)J_@j*Sbnp73LLsbmA)O?%zYlf+6f>KR{T7pJi z6L>Bn*#Al?23_g|n_48)A4_C#M+ueSgL-QfsiT!*(4|&jZ7t$pZ!6S7rH&SABNyO2 z&KBbl!Twk54im_rOC{UXy6I*DPgAOyP$PX%zpo_KODP6j>I1B;MN~CZsON8y!5u5q z*Ia<}sA>Ts*#C;%p(+MlD&MBQoMx&@SE{*Cb9_*3R+5^a6oW2R$EIcp_4&;*xZ{O7 z)(5o)5$u2UN^Q`kmhidSZ;efbnyJ)@LM=xluL&Id9I1s$G3ZhWHud0CGl6kRog`Fm zAJk`yNu8z?gD$o4Wvi-lgt{L&zPcw1wG)lJs+#dEspr|923=~TO>HkRRrOS=rBIW6 zP)8zC-7H-x23_jwZB|t|LcMX54DJ-6s?o@+s{5WH^*OskRSde+9Gf~6HdSRQ)k>(F zd{8|Q!TwiDG3Zjq+SCm~9b6=XYb{heAJpqllZsP{L6=&-6`j@|?5%~WP^yhkFQAdv z1dc-l`(Lp;Odx|U)!U|47n=!erPS#{4f8?$`V^_2N-^kCJGWR>4H9bQjWW2lLRF%X zS5?;`g8i@99jao`r6%#Y+6nx$2zSd9(v&&_34CV19F4rFQ=TG~#qK~c=u*`$S=4l) zK3*t^Gle?J2lX5x*#C;%fnw05ZnCMyLQPdFL8yn&$g8Rgo+MSF6oW3+&Zh3Y6r(Cn zXsA?sq0aR|?OQ;ql~N44)C-%f3G6P^Qsg)f9ff)ejl8NVd4kkRcBes?8fH_k6`HEL zDwQbIcpuaeh*URAQ;I>Cs@!B%l_}IKH^|^R3-vo1c~y1y3Q`}lJ5OI>bL2MSD8 zA*H$qwZI3}1rh9jr4)lMb(Bq&3-$B$GPrI+wedl{{5YwGN-^kC4`FRB;#W9cs4}Ix z3$=y|a2}tSEQg|)Sass;%4 zbcGD=Y@t5m0-Q%x*C2xZuh<=`V$h|=+tf!>OjSXp&J}8g530puq(VwD=u*F9Z7rgz zuuyxilfm^8>PR2dQ;1;yD|Uyf7<8!xHq}6=BBjn3>OM5`n!x^#k}6Y*L6>S{Q+MT= z39PSFZ=rhlp!SrLI$0?OU24sX)&zDI>JH>M4}FAs9gV!Ix^y|Ir`eqbU23pRZJTVW zN>r+^P~&`1^$@9U7F3Esm-_4ltE!8I+H$Q7E=8zc(a5W++n15r%kEGWgDy40rhc4c zsv50SKcTMkL3Km~`(G)=pi3QTQ&$W1eVGg{DAXxFsFxlgRbMFvUFyDd=(P4@%t=Dc zS1MJg=g`P&0xv=Y`(Lp;Odx|U)x)Nq%rz5uf>IXDn| zRTT>L!PPRj!9pG3gL)hh?0?1XP!)qNb)8Mc2$iqY5TWiyBd-bU`w*%5N-^kCr`XhO z6U+qGQEI4AU3^gQUq$K!r5JRn=hj#g*g>cz$Z;MrgnAi`ysDb=AgLAXPJ=FWflX~5 zZ>maAYPe9B_@JT@scx366oW3cZ?#p`h2ssialQ<0q)JV5FLc897Mbg2@X z`ZmW%_GKB)cokxEdCL6>?NYikiz z^$}`$sSNHCq4sbA&f^?78xib(#qLlQgD!Q6O}&?8s_L!OSfMWUK{dON)JUZmbg7@7 zv#QDyYUf-TT&7U}LvflTzb_dI^oZs>;2G)N*!*31rZv z`rFj{OjA`mr6ve=dB&=0xKJ-3$HCB(@`O6x2es~QQq@n_23_iQK398K z93#}_N=*^!aWwLpz@dm>|0|^!bg7Ou^+?D};898y2-VjI_2pfp+9}1LOTC1(wTPC`VMPr z5mikT>aELVaK%DJ`=A~~1p8mHJ5OU<*XKQA^_jaRBfs9Vs;YXZ-?lhox(G3Zjq z+tiIh{XRnb5BAfATA2Hib0n;%cdT<$V}joO3e}Kd>_=Ow~}h36oW3c0c&d! zRh=!=eKTYp<_h%=7vMZT2Tn%>`(Lp;92N|^)Cik;^FmWq52fY_mFt6Qd@HHJN-^kC z`yaKc$`2Xcc_X%mzr%;zl}0gjZ^Asp%(d|x-TI$Ln#Jb zs+mn)FVwHoWN_CA)z$~~8Y0;LN+||iYT0shT6?gcD%5pKT`SZ&H1e9j%v(s^$L=tJ z47$|$HnnP`nZQ$&Di>;~59+{FQazMn(52p4W>qy%sOOO5;3|ar5{+DX0 zE|qIjpNue7U7*zULe26)#UoPPY@AXIy3}8fSXE6EYF~*AZh=rueNfLXCiN@3LsbmA z)FPW|Bvgq~3x#?Rjl3ps03z7`N+||is;y1kGu%wz5lSr*>Kq@`M>ml=MJWbdY8}?r zA`bR$LfsvfdALca?OcHKI0uFi!Twk54im_rOAWQDS2IjiU6i_6s2m?ugPTZQpcI2H z^(EHUBB~lI)XT*(xLbreghpOf-GvDDzhZZ&ib0o}WmCTlGgV!p)U86@;DhSCh*XJE z47yZPo4Qu0pNeE~w+YqS2el0m?0=;cgD&;pgXpyO9N1E*Ym~Y}sMToXHGvo3Na}8O zhY4iRrOx4VwbV01ako67g;IASfxj*=2#vg`A1@`C78)!hfR z`vy`ilw#1OR%2}~;t1>{)a?Z_4-X3U8W-R^&Vhx9VE-$2hY4iRr3Ts5*1@K#j!Hc& zRHhFq<_1#zm15APKE>KvL{(#idMRH9_lQsjxB%x-)oqAi|0{Nfsu*;s={EJlAXC*v zN-YGqs z^(-2BP2hzUq;6+-m_PE_ z3iS~hc~w<<9jTYtod#Vh)24Q%nX39K^^{OyA5?Qhu>X}(47${TyRE7UgnECn4DK1B z8u*|dD<}0GyF*nBx>UJM)fH-rQqKx?7aDm@U>`)V|CLeUSP-FktUz-Xmb3f0*M z_1?9lj#r97mwFa!YY_)~d!cTbB=fLJsBK(;^Eg}NA%gv{*c~R2L6;g}Q=0~us?Jhs zjZhc+prWoN)mJG7UFsvOtwmHdN~jIFGPvi3`jHE89#!3p2=>2Xcc_X%mkQg|H>swo z5lXES>S`a-RJ*8~nJCACN?23@LyO+DP#Okh)`-WIBl59*6?q}nRQpi6DO*{Z6SP!A%< zdDtn`duZfU)n#)@tz&l@bg2t%YDXVa)j3MND^#8jstF?4|4JzaUFzG#R#g*(+MX$c zdtaz1AJhYLNPWrfP!)qNRccdzB$=vml=?uZo6*Q?0?$SS`(G)=pi4Eksf9uv8Y_d_ zE!3Gls5fVmYN`~2F7+7J)*=q}(}cP~sXaoi=K`F^*&-Ve?0?1XFo6uZR3DpqzPFjc z)=GUS)NmivZ!M=1tf>OHKjMO2k8)asB7?jxbTLL;xLu15s>U$Hw>#h^>&+0^If z2Lfs3Z3ZEiR`!WWU^(l`8fsf?Ah0#Lb$nDH5J*~${|O|m;{OIKnw`qg;onna)1AzI zT%%o6|6fDjUh%iqOB)6PYvS?c73024HEH4RrI zg7q(Ur$Kiba%}3u^9F8c~c#yqr6s(y$0FKfhq zeSyoqa+^lHx<4+k=3#O#+$~RdXN;6nDf1AcgDd}6qoUa(h~V=dyThm$bm!q}n+gb( ztJGIQ-G)Xc_#deAW|5k$6oW2xl1(i>*Hrb_Xc^pop*r}W-bMtU|CD0TrJlr^TEu~L zhER)?`bMbDTzK<1_f5Qv)G~I531rZv`q|Wr=a{P6D)p^U7y6+7yol8KN-^kCyRoJg zQB{Ue>yYE%z8C6SH1ewI#+jtvVRssIsY08oI@?q=RH+|?D)m8~jtD;gDaD{m#n{vp zLVbCm4DKhPn){&E&LH&{mgra&gD!O&pQ}B;94*u=rG6IbF*Nd;z#)j>^Pf@-x>N_7 zdbp>Vz@|za5UP(4>Wk^5+A77MOKrZ+s;ZYz4~~*~_*JO)(8#N*%MiimKX!)+WYDE9 zw5c6EOjYM7^_x(6KBy+sNexwsL6`cr+^TAVP}@h!;0_5D<%4n z_S1yAL8)q?)^p*_;~bbhmDGdm4im_rOZBm-=ewB+Y^_uPA9CiQB=+u5B4T`JF}KJUsh5(X(%N2n`(P^Th-{ZEx* z(50emYNk-1X2{@TggV9twJJ>N&^5I|m%5qH)t&?6gqp5YJ)s^!Bd-Y@hzRySRf<8E zI@6}^?_wsfu~M-@o#%u4q?lA|r5JRn^;cU}^%UyfVKNU5gnAo|ysDap2=+f^cQ`B< zbgAKdu66?7=#0DN3Eh=yhy)JyiD=|SH7X`Gh~0r=(51e*%A&G_dTppA8VU6$8hKIo zAcFl**&QebUFu4kI@k$K%M&t{I#Q?`eNf$sNKIFYL6$! zl1{3-QVhD(+gMYJs47jUXOZLJjuGk$F1&f11FtP4^%}d=pi51(sgFCFss9Qy42da)&zDH>aIaD4<`!sCK`EF zRg4JsKV^5AKn7iEh)uoH-c;3DsTM+I`=Da;NexhnL6`bsj#X7isBHsfa3>4(8yb04 zbtfX&|CHUKDh6HZGMoB2!BlmzQt?7v?}O?zg;ZE623@L&O_d4tW10-^6roP_L2X5( zx>*CI7<8!zW~0;EbKuEBU9HrqLajn0uL&HJN9rzihY4iRrOvjgr_VAIc#=}Bg&OFC z`r!gnot0wHrQW>KswybdlgM#!rwR258hKT9)nroJ*qsJlD%+;^o@uJ;r_|{}P4hvW zhzRySRf<8E`VC8D5wBH|P`d}n;Mxh*$OrYrBvL=JJ5OI>eM^@S=_>I|XoK_jmT zOhE+upDM+mOPy*{cbs7+Fh;4fgzDyl+LcR+>xit1L6=%(7U~YKizW(nTdE`ygnAW? zys9cd1pA+|J5UU|)Igis(#}-XL8%TxjrBp*%_Y@ODF$8Y6RfR892TR6+8mU@brk9s zH1ewIRz$G>DZ4{e47$`boBF=3sp>+dItg{H532n{QiVz}=u(YrYQ9k4_LsqR7OJHW zY7-*Z|5PakUFsez<3&v12||@B)m5lx(8y~7M@=Ag8@s~Y-+{nW&)e{mqa%t z@bw_o2lY)qQXQ0H(4}6TiOy=z?8!nsh8zdiL#PkY$g8Tk<4J91cN%o5u{QO=X)Gh5 zk5WB_D)vDghY0pRRf<8E`elYyRlZQ~rO4pU5h~UP^=J;MZ`mEHV$h|owW&HnI!~xhKB#xINi|oBL6>?4YikjQMS@T_C(AsX zFVt2pzYx247$`0VXLZ1LcQHv1~*Wsx<06f$CCPr-JvQ5UFs^Es*X2RO;l=- zP`9Fy*97)L1pA*V#h^=_Xj3-{_2>CAxOAb~`=EA&NFAdTgD&+1*482p_I5(usMHXl zHgN&Y;~Y2v5$u1;?l6H2x>Slyz0lH3;OR;Y6Ka$X>W@oEou?FoF0~75YY|lq6Kd^w zGPn$(zTpC#M^y_E!TzW04plMeQUx|ud9tZ$h*Bejn(Ko)?GjQGm15AP>e|%hLVeLo z1~*cu<9txhBZB=;FRcx_)UAB3_7l@lLS3fRg+e`wMqU$`ele*Vm15AP+S}AaEzATq zQR*V0l6+8~pG)d=r5JRnO@&rf=L+=za(s2i2=y)+c~v!Y45_v3PJ=Er%BJ2r$y9Z= zQWpy~*#{Me2=+f!ib0q9rogIdyijkRBZCVG74SjbKbq7R><(2i=u&fS>h}{(RoP07 z6>2dWc}-wXM6myOHH<^&yF`$4OD8PP*?b%T3tvgTPX%zDqvGHg!-h1 z3@%rwqkT{-5yAeaSgK=H47$`}K397VJW{A>N=+8(VKnlZz_d}Mu2+gdmpa3y?rUx) zu#r-ELiO@NecYYYsY)^EQZG)ns_G%sJ;-q$@`c)gMqX7-9Z6~xyVIacW!Ti~$C;|S zDODiU1RqpGM6myI$3s^;lEY zSfz@DTIhr7iU{^URf<8EI@+eL6Y7_)GPtl%r}>~>8BVH^QVhD(!&qC3IM`1S>RP2r zgnFI}a2{ui5F*(Bl-*$h8FZ;$HuYRHGl4CYnkH1b59;R(Qr(nd(4}@@Z7rgz3xs;6 ziwthMP@kibS5;+*VE<$!zF7^C)i|QiOoyajUTc|hC$cri(O6nPQr$LuW zx2czpLeuhuPD;%YD$55|9}(<-suY7R^?8m})g?l0O_afv3Uv^TysElm2&oU*9jao` zrDodHPfbl#W0aaFRD};J5fSWvsuY7R6=ze|2=zlp8QfJuwemr2NhcMn6oW2xKi1YF zj=&Z|U8U63LapQioX0tEG$Ppll-*$h8FZWBG~_w-JvQ5U8=&S>Iqe#)D1!{MI)~XOddq)Dy0~7 zsa7_1`;le>>ngQCsIES!4-!b7s1$=PwKCJ1z>Y%QiX7+RMxkCoBd@CR2a)Hi3!;Fbt=vJYzG1*GaK#h^Q%2dc2{Tz~hvgxfd+AGDNOTB`% zwTPU%f-ao=rm88^NLKXR-jvYX1lu``3 z)Xx`NRZS7<-F7m#yM?OngIbOV_CIBJsER?CDzm9*p(ZPJk5G4@k=F$FP9-&0DF$8Y zWShFBftkR7Quhj#=!4qXmeg@dG3ZiHkFh53ETI-7$9cG4s4ZyZRn?>*sYltJ23;y> zQyXGURc9#mfKa1-P}QfCN>YkJm)bkps%nH#FCxdmJtWlkXyjGZP5nu|%kDJjQbjhk z-}If3q13}d&G$jILj?PuD#f5n)we16ke*O=nhb84P$&4HUg$?EpcI2Hb;m{41U55$ zCtRV_a-mkBk=FzcLj?PuvO7#5gD#b5Q_Je1X?eoYNJsDnls-UFv(R ztwmIoE7XqGGPoy&s^f!t2odam%I;7VgDy4Srv9pHs+yqG(?TslBd-ZOH<{EGN-^kC zC)m^?q5e2k2KS6m2|lQ|5W)VZN-^kCD@LNz+Bf{RLM>G4IiWV9kylmY`;vN?-C+V5 zbg5*UT35$R;Au*&6l$ao>i1TpdMU-AOMNiHs%ofE&m+gdtrqHQH1euyK_5~(*qsJl zD&MBQj5bxJE446u6F3+V?0>2hgD#a|Qx8U&35-+fMWK58pg!wO>NKSobg7M4TZ^db z9HH)ymw8w()J`tId3+9>fe7|LWp_9%7<8$THnlxqs_LoKMxiG8ppNWKDqSfCUFvJB zt)VKM`Y@V$`zPWZ$99d-Zhs+W=l7GP$a6Vk<-I-|YHCH*z1SaL`v1_^7KHKlUy$KEp<_t| zF`hNJgXhNZxr&&P)z#H_Jvd94Al8Ff3UZdxQS2xdJMo{2O)JaB?4j(jQg##cY|1Xd z|98GObpFc-Js<_kySC%d-wjsuI*6fT+&%tn4$2Op?uKk_{$Yil94K<-)3KWIkCRq* zsCsABy+%oRwFNpyv0cpFUe63c_&q+*mEF9TR?+53w)l4*BgQ`(a2;|<)jJvNW`h60 zM?#x+uW6c&($_4#Dhe}vD4kr)*MI%%4D&d|A;?MOAvg?^9na}3pVJ)O1m~|0M_2E| zVf6h;IE*@xXttM~mM?mO>pKD;fj>$>n|OCYA1sDnF|9lc)ww zk{k4{EB|zbzU~?5U~B1goVaja;Q<{#IuefO936(I5yr0q;B0}L2ps(H;oXA?Py9Ey zEvQ=fuM+`xH1K}pP;nvGek#gi|9g0xfk*ut+!0hQJfCnA0~ZNwz98UVi$MOroa(AU ztT<@F3u^x0wT?|(kNJq>|XjPQFHf=YJk83#(KL-M(hw6ks zJ^c9i1)Ij3KkaLtAHk!iZE3GlQ9yL~h^;Zl@dp!(4j)x|C@OqDu(qa$(U)vm5UgmC z%MaoeUB~gJ=TG5%!SXsX5!-{Mn_}@$$v2Ua%61sp{xw5<1YBww5hy}4^(8dZktwtf2k!{_5mM`uPim0wAO8dWt%FmGNCj+9TUx7O7MW` z8xK_V!2@I1yzG69v*YMZ=mh%(r124)`8IdN6QlVO=<8G2pWT%nj5?k#T-!~NC8HK| zqU_Cgg&^M*@P@#57@R1_miDYSH6JtH>#RT^uH|f$hO#b=O)Jlg50>XP50=kpQn?#P z9o~S>LX%)caBOPX52H}Z=S7jqNXG7WN zg8Q5YzP@@qn`y!EXkOqRLA*M6zs%rNB)1-$R+iQp6NMMKvMP?HHs-or8Y)g&g(Ehw zhKGLThkObJwyoT38U@D&%XX!feP8)H?pAK+W2IkDA2@$K-<$AUxb?&|ykwZ8!eH4O z!Ls*Cj^QMYWgqyc!G}vXPv6ADB|d4DoLDXzfL?H_%PvoDom_gbZaBX5VDIpWX%%U$ z$0V)lZC;}czANJJ!TUE_;{^%gJ*w=7%6D-#s)^mq*g_La#$#iG<+Z7AQ!zzFm?G4< z2GffY)=;n9i+2V70w4bUMLnaE%VUP)orE>vMdwEr6x5q9e=ueKdL9eu;nV;10}U!1 zReQd`tKSY2iV<0l-BKk$JA!MNmIUP24nJbre!`*}+HDW=6966xRNnI^4ml7wcl84nS6aol*ouUn zMw-?7EFRA=l2+k+B4+bYFsiCNs!koA)o|dQRkL`o z8k!>tjSfG(f58Iw9JS5Z``?Od8Hl{U1k)I9if#9eha{Ygs<*E~tLg<+1Mt7SZbUAe+-s4Ecf%u9 zjqyM95_ebC$B&2KZ+o>cKF|2Qt~1Te(}#awO|STsGsDIB%zP;FK*Q4qc zJZ4UAGP7%sz%SPB@T$tJI(T#6R$aC1@bhMt?!fbWc+ug9O_}rc;aPb2Z|4u5GjQS< zBkvYCd*rq5&9g?3AJo$;+hIzBWn0Q)nsnw29SFCczrG|M$Hsar5!e`gDbv8)qF{i_m5^Dpab)v4Zn*6@i9EPqD?<@lW+qL z+Qi*BE&mi;9xVGVsol>>?S6SO-rRd{e+TBbB<~G0NG{9UTNl4(QRV54%8sj(9-CY? zAvOsgFUm&Z;jN8=rN76AkHx!m(Rc{H5%BOY#C|hq7A$Yk9Vf?N#qi1$9N6eQ9+QmDQ_D8Q&AXpR zQ$@+c^}aCQwR1b=-ySk_`cK63o$4;<1z-ZndOZus_wfzHA}d6;y>bpZTW_LAOi zE&B%RM|dQNKz!MjvTFSM5^UQ!8+Q)`&F@upHQp){zfMj3BQ^2o)KfO6mF-LIxhMGR z_HgsGvNl6FqOzEwxTzXf za^dL`^Ybo_FVyfH-tNOE z;eED{&+#Sgf@kqW1)iwNM{&sY!gDo&`FOnKO+45o;=w{bxCRflS* zv2^^n|2F&k0_USVrahj)Z+eWGm#r};)?_eERl9hKIb1*5<17&KEWd`8o6|#!>g{;o zem+o<9*eJ_IOv)!=3V?=ep=q_6Z}%m-@y+ojo;+&*Zh~mCvJ_|h9CI{`yThkgIi-( z=mVVAh)>k;(EEc7$_dS!+IRxuSq;L;JSg206FLd%_o<4;We8)x&-2kmd5inm6JFVK zu$B^}T;7!{Gl$RjumtM{4nA-|2`TUY<0WAW9kB&PCAyqGobac%Mat?~psP#&`xzomGCE%^)`zHd$w zkK=#&2kTr|xY_@zd_F0UxebrwwK{fd%ysyQFYV#(HsSZbqVcVG80+X5;r`tVhM7;_ z@#QgDCRP$x^PXMv{R79NXG=na>=(K zx|zr-L~6U6XGV+f#!Z4{eVSCBQy2a_ayO{J0K=TDDc*f#+9;w_sp*qT{me!Lm>+ z{=J`GtSIIwS{02;4cW{5dqrjan_yiAPbaR&M<(kJe;>xr={fMCaD7u+?51E=(z(H? z4Rd;?r{LG?tHkx==ger{Fgot0^%z>L`~91FO(e&y98xcCWzsPzIQ$dhR<6faps41F zFYm{D*!s4~<-HrFlx;}u`PQ@s$#r_iruE!dbVTWfsN|k+6@8>MJ}=04dSxy9vl?S+ zs!!C;M=-0|d_0G@oj&HKZuFcUW}rLA&zX*4mb{K(^u{pKF^u)$7vom$z%ZILPu#IT zR$h8Hnr!=MGZ|2JBmJDu>U5UhQc?L_ioKs>(}iYDqHd;i#(qN zBR?+adWn*`2@sY^i8O5)5DyflK1d(9Kes5sHe@% z;g@5bz8ufSt(<6H4V+pY!HbcIwqu$!-`}w2_px+S+nVnjKk`FJ9USZUyglzSoF+=Q zM)mxzXiwD?{H#ZzjnW?uo z>TRoYwdRuem&Ei;HO3Wxu!^NYrOR-a^F0O|EaF| zL2uppTUsjZd(V^GB^TQHXeVFu$@q2s6zM$u|M|O9il=53_2}3>J1;L_e(oOebJ#p= z<{(s@6AtC(PtDBB%?=f27G+M!3Fj1rCT8Ym=j9aDPSdo4qRF8tp^k_DBqnz1*{NHP z9v!=dI`!<{wQJYJ?p^Rz?QiY6BzEr7rAzlN-MWW5b?n|bu~Wyc{0rE*#ko^DghN^R z(D7qvT+YPyIn#66^I`VUA=2v9vqz^+-Me+}*gcfkGqGpq#2(#xc4?oTo1Gc18R6gD zf6N2|eb4SNyf~+*xWmlM{G7=hk_)m*rsU*@i#zns4F^lcbr_bC(6MvJ4q1hH*&X^0 z%4k1Tf&Xf}|2Q`udK6PH|Y~^zg82rsuz#?|*#FJjVZz88iM-xp}$y(>o+~ zY2Up=>adhh@-W_Xn3_|Rn^!QQLvc}7hY5M(^6W7u6-_WNOnh-}{)Cde%%a@zjQF<0 zQu`0W`XT-tem=WkN@i|;d`?kOK~a3$91`eh8%y4{Wb~eUc9N(tUgiNkx%!S1{CD{ciEw3OeGn`wHA75Bh z5H83n$cx9^7xRHO+3iK6_wTuJxna!z%$&&f?F;eComry>&&u4iB`4c8hIXu$Z z?EfEsN?%a>j=28T=)mk7qXQim);@ObZP9_Ti=qQf@OaIiS$M4XO||!H9_Q^7`uH}z z-KV$T>TUF5$u-y8_Ii7s-VW8Fol&y-RP`=KpD!tvPx3B2!J9_(}-d5`Ek9zxu-qu@UzmCV~{f>H@thb}| zwoq@c(c3%pcCFrS*V{_H4d9sKpXPeoMQ>~7^M8*QnVZ&*$i-%|p222${@S>!$(_%qP2C?_W^cU)0s(TqU7@s6c3RCmP7bFGVFptbA4(CkCzBLLb6j3dUCnAYjxzz2LRt)O6XNnuT6OgN@Au#eB^ zhlJtz({l4MPebbr%Lxz9mv0BzDburZ3i&FbO1wsaOX>{E%gHGWjIWcCQ#2(vKQo+D z^I`<1)XB&z#uSXm%qz(WOs(^;odmA0qbRar90m9`U-O75HxL*U2!-&yrXW9OdTux{ z1otN7g!w5jvuHwbU?}eAVs3Ntrv`@c=Ynye@tB7|;3C}1%PPp9YMu`S#^OG|{$v&w zhQc!na{_^J@<<83A?W9@0R9n^P-fPY?7aNIH2gHBctXgqfw_TDmi)9O5E?(FARGB# z0;X$B#*{#-s1QCGO%4=9g>; zet3Lfbo6)};ekL_^!RD`rNB=W(fmls){CO2ur$YEhje|1K%zBRXIt}=l>VN3J3sQy-N=fV7spgyd zF>wE<{xjBjKbisjO;PjLg#!Wn z9R~i<-}iR-|5*IfA89`ke{)FM7wYf*>VImC$E5wv_BHKm&Lj8>DF66J+85z(DoOw8 zdfvfb6aU9Q(*8yKWey#Ww%`1Zt!u_}G_GVz``-QKIRE26wx61IxP4IC^KWJS(;pd6 zok2D2)3yDrb^fV!&3IO3)U^Np0_p#o=>ODy1wMty`1cKx_6KS%m^d*RPZ^e{wSBsu z@h48JY5%>pzxhA*Klk#Q_6vqe|KtAS_`A%nX&)Fa?H~D%?UyaBY5&AXX`kTK`M-Dn z@6EtY#ANE5wFv~awZsZ4z997-nxWrU13%-Tk-7N;?MFAZ3Isv}QwQ}Q8A=^AEF&o` zEtH(nXL$e6z@&^|%Fv+!{+~NTA$(+?FfD}dgzPjlF*Uz9%&(t;KmXy`yu!Xy@TCgBOWL$G!JnqPBewFsE6?rj<-N4KWCbJ{lcA- z&C~1f^zxdgvkRu>=4EGP7G>j+K%g#;_~-!7bW`xFvm}ON3g_V)O?DVx{S)yQ5%KME zMm`=ZmhXw&09o&4=S&Z9??Q7IHRCH>6P!@Z-5h*DK9hGs)AR5Ti|`+mHb2e`TwK!x z-z&2N{5M!^A;d3%<1+DG@r9aDR^YvwAF~7B<42hq&O%E~^>_@|@kk8c?tqx~h! z)O_h*XFABv8J~#@IyDb6bD7EfjC0kWXksc0VVwits6*y(C@JJEzO08$56)BOyZ?QW z&tMtD{0dP=i+GVS@Yw}z)<-y z3y)5&`Ee%0`m4kDybAmdjK_cc;*3QTxyV`j7WWJe@ex%KqUKq%$dD6xg&FTk=!tKByU_0K zBiR<0%&g4H*%*gn*IRta^nVHY*g3yG#f^nI7p)5>AaiEhqw)ipzhMFI1 z8}O7;95@}(@mb-4yd)n^!&RyQdX;Lj>78$~E79bD>0N#+GSY?}J}FEzorZ8NPk#Br z6N@lAQwng*P0pDSGN-LQ|Ih}@9kmTu>j=a3oEHfEj-Rf_f1%LO;e#?#2d0G5houZp z9vm8)k~X++C@pnZMkr-aawrsd#iLaS&*Kb&|DpqY_dgS_(t3P|3Sps-*XMImr({mZ z>63|nZtV~s?uT{6^pu*_-K0kDLn&A|3RLl7EEcAx)TYPa|Bw+%Egn>m&($!lXQt-s zimz!D7{J5E>b}p6LHO$oW`Lc{07Ewg0vDj~SoR<4n=yE(lo|@OGmj)kJi^!zlj4Vz zfp{;ylD{yuFTvrt|H6LUeQ7!&8p0cIh@MTuQIcgMbrQSIAzFb5#794Fvt?V$hhuV!QgI*i0I zC`}W1*?wFJ-dNeJ_UncI^&-O2AuPR_>)SYvn#9y8!P`M$xF{43)H(S+JYP4qJ}=qg z5>|2;@kE>^oOs(IPjEWZxaty|yH>c`f{L;p02*u(A2aO%ZggqJ!tif7IS=8HwW*gX7vL?~rc-<0%> z)WL)B3)FY;(B#09*fUPS^fx@bMBbO{!_jSH=inDL`qYRg{xA041ir4S+8^KNoZRFj z_cl}0Hl1iXP)ea~IzgcYk~T?`k~FtTTGEQ#rb*gFI>e^w;7@HuR7BL4K~SJAs0=dI z84<852q-E}=zC8TpA+KLhwuFy-}CqV?!ERo=MD+2@PF^`@8|urIcu%G_S*B>`>egs z+6`N~V6=A6l#sl{VMugm`%J{0?uQcWwu5_?Ukq*GPA`qG9@@64cPEZAm{U6tbGC@7 z4C8TUg4S&Arnqzb4`WB(onECz`T72t$YOD7MeFL0`pypZOrC!hvlma!Z`^@#Ghz))@#iXTrdG?c?aDUBx=8Ojl%RK}Bw4dtj%s^ZCW4COYV%!~5W>B_z~=@L?gGPXgTiyLSj-83_#_+$n?$NmvQO zT|%fKp&f*~g|IwcFB$oWq+1uS+gYvLdnCV&K<6v>UO|&U7by2WK|6siRPOzPZUlOc zavu=159qndJtpWtybTL1_oIUDjkk3J{g_6*=?9#6TMzJ$3w{;wO~5}P_(9-<1IqoR z;5RWG_@@Lv%J6>Wep>LmfcF4@Q1D~Gmnio$f<6fJJmo$l=y9O)QS8qO{v>gf`(eSK zA&!DSBKY@+qvVeY{ygzT%Ke<+FA!g>+~b0u0N#(>eqL~Vkk*E7iDJ9)b=dORv9nD! z7?k_Zu`5kbz67zy?A)@rTO8ee9XoWA&q>&^ zXjsF}9ouy14+OiTpzeKAsUA#D88Go-9;e-6d26u}yO^kiAQqRxfXDZC0^v z>J4xC`i^=P+p1-kLt}^ z+uXW(Wh&Xxrt;@dx0=+?S)}q0YBag7wW&_I*H9^WD;jItlzRg;qQ`j3y^*Jr^Zkj? zD@e2*V%$3x5^1bmUDsT%+@~2aMrISCz($w@f{|V@4pGrN*%3d{k)zXL)>`G zE6vmDRnUC@b}*GW9u{%fddYe4u;86v0#CmGH2(U|ukg1pi2G@Oi?2~wr(uxY*?vfpNjdb533PZ))vDzORG}Nnv>c%^|wiwbo zV{AxwdS1K@Ys#IwdwVd9t`=e}uD6?82l#5F+;>Uzd?9b%%vGp+ji@3_1>Br&$DGX( zqTF{2e%3304d!q-adN<&DsbPZmv z-0OroDc-<7Yey{9>GitCFv-L_w{45iZ-_mPn)IeqIZ16mNxU!i9Ygih7&aMs#-4@7 zEigDkHD02|urhyY@sx^XHkW&2Y%xTPJL7uM-Dibzz++~pp}#AXgVGpO%ewL%Rf)0i1+9$7H*DS2jsptH{bG!*0URB` zP#)8i3I7SQX};^Ngz+ktv`Y>5N3rQpC}Vzu_WYz}C|0qob(A|-udrgt?2u~z1;otv z89%xLOSU#)J=4~%O8v5hc;zq)ss{gS;A8vCilYri3J&F!K68kbRy6fMhTG`>MyJBR z6MeTmegGoJH}qrO#Q~<=jyP9it`TZ!ynSd>yPPiBq}(>4SC(`jQyg!# zJ8_nhCt`TUIVtuR_Y&coh4s$X&C2bJx9Fs+)ugnQ>q@-#wbe$Ku};3vyyyEY_2^Vn zOYKUW-)(JAHP+YS5UZ+j&o2HNUd-aXFr94a@5TbN*sRY;>fW>!ll)wvxTFA|CwLz5 zom&y7S}6INqibhh4+iXfA^ITVmBbu;a2E)*h}5pVLR~1-1gJRq<1P}sm^hMLEO;sK z?!lpL$~{LY<)CmSa<1SNnnSru1Ro20>*g+<&GUp-3EGa`+jl7Ue4$JL1vUfaF4eW+ zPM<`U-fjKpU(1ANhLCsw!o5ISN&jJMr!lbF(i;8JEaHlU*V_2zV z&|?u}llX5eUZ`SOE#uY{x1;4^$y^~*@v5BfGu*amLsN6TZPW_)=3*DG@$r_f?mpUW z-CHEPO5QkHH_Hn=ihQetVba5vKgL8ax-RS+?%`symd}ezN9@?qzHi&69b3&|B=?Af z`=L-B44FqIC=qYR@u=3#t@@Nv*H){{Z<91j<16qw*wqayBgRyJH=^DyLFKkiq9t=b zSbPSGZVO#k+fkdUYr-oV-uu@p-~CSUW|mvd9|bR5&q{VMRFNGhNn0|TMus5wd&QF= z$0pON-wbP;ZT&v)e!uw5*e65%>LK$3Tf2$3Lvgr2EH+C9H}O-V&kO1$wC(XnHtobc z4E+TF|+PWki1?Xmw&K6Z);7 z3le{3=m|+^QDQVh|4q;(i8hA5B@dve3Rqye|5;40NcsL-hwm|1#-DIYN^U_-*gjKQB5Kz3nX;0!K2t8J^qJ8m zG7?RnsSs59%ostX&x{pR`ph^%D@^aGEHNwTO4B>WOQ`gY34%)Rm?)W)-Z4o~=^c{= zmELihpwc_02r9j!N>J$?Qzaqk9n%Dr-Z5QJ=^Zl!mELi>h?3qhQ&8z0XGj61cgzwr zX?n+*f_9qTFnFZ(=Ql z7go!qVo&&Em`RH88e3ZH*5XAr_9WxQk{zwdRCE2h`sT<22r~uNjjHe$dCXO;c|@ic z^Cm<3=oI!y+d9@Jn^rfp)~de3oAQ4*7IojfNfo|7-;6pH-#TDlcU0jA^3T(Ax({ky z@8IP)+^7m~7Gf!g9oXUPRfV?*sWRTPr&AT)D#EIOu2+SJ1)afAJt-9)5z;Jj7}8N8 zolP!%G?>%npenpg0;u zi;LZ4Y_+Pw59b@*RD)`;1z(^F?-26xNPU2OXZ~Yod3Snaysv#%Pt*2+p1!p>vWI&6BhBaBNy_sXj)xgrzVqNOhu;|@Di4J3SqH<&mfF< zF)jOaB5N%+lNeWK+94v>>+~W~9jIc? zO`RN&e6Me-Z%_vg=&ph)t#4b0`Z;jGbeZPn`ju$Gzy4MCoF;>|)V5y?9wWJ9eX^be zpvp@n*EOtDMPqJ3_XpBMWb7UwQ}c@6_Dgiq)9hh~qPGV+&u%^I!_`Iacm{0K{s4uT zS9I{A3Fyq+hvBBH`P!gIQvw_(Inc|^k$KDDs_BehbnRauDwaa`g~2fXF^?9*84mYm zyLz?^z8>G);ss|_%;7eHcP)}Sy;TfOtF&=wCO%hG?!_@057imneZAfIhPZ1xHUx1P zdtdJCG}+R2&WaE%qxF#kEH zI}dZkI4RYE6Ku{bXSMw<%TA}HURa$`JBBh7n{B(X z6QP4KC*2NFXOcBN2U$tqBT1iN(jC~TXMNsdQZ-*v0HYi=yJu)%D?Xgrg>x4JIR1}j z9aPRkj^nJEgt%SZMA&0a@7hg})R|qow<_mhXVN&p{mOYnGtAtsoJTe7teFV@q9$@8 zCEMBICcd`Z276D9^1-6ed#Fmyg=Cl)2F#Hi=iQDy_}nR( zz_AfEPcsS2R$Z`-5`0ftjn3%n@psQ;91dZ3?uit@**>2f3qA(=!pHIFZeR<)3*aS^ ze+dA;*|vU=5<3&V72!8BJa&_kPx4c!sZ<~A&@f9n|BMFuGLoCQxhdJGobNmTOr#I2 z%6ZNy#sr3i(1%b_q+`Mbp6@flJRDl$k%P#IF6U#qM8~8gv&YncTdAd}wJ+fPZ_(C) z!8f31VgQ=sSk5O%{uP238}Qc*;Y%FleCj|pgaFaviYJs zok#u+XSdE>k9y1u!l+%c8}#!s0~Wpw)G7~RaWsZk=>A589S6br+;kLg$s0jlaykE8 zfSjSbApOhtSD|zlIGL?{w{GQXY29Xdc0kGnPWFL5=N8SL6t=DMyawzSrnYP!k`9U? z8JyK|8XSlFK?A~j=C~T2p~n#C!78OLGJTp+dT0U#Kgp+~bJXnEioR`CL8H?FF~1`n zHX1$Zo3!Ms(2r}N3Gmg<=7AyQtTIJ{Zj@trOLN$McY|_ zBSIt{iu+6aGfS9eefO|jyE`w z>#X7nELb13tR`!6KG*4Ey&|~ksHAFx<+QHT&q9L17lwMnkbQ{NnV=Pb<799IM_DoT zn_5U`2oJP6ld>7GjMIl$oyiiWK7>Kys{I}<53lr4lpSd!9*eSHQP}54Tx7t;R8GNB zj@m+RK>Dy}4lb!Xbv_?>Rq|=iKA%!PU)1@GDwy&Qnyenk$Z9_}`dszHS0$@BaXmOR za8emPQ$7cojf6ALnGJia%#&kfUgohfFXu5bX$?H|;RSupBID>7j<9*Tj*fXw#?i5u zpRdnKD0dvz$12|(4skbW#2yZDyZ;51>;;EFn&s5S?lTYtnU5a-@S?{*@(rVR4H@(0 z%!cf+IXY^F&+`lVLT$4EohBLhK)On zFdh*Jj7OT&8jpxXjYq`AT14Yf1PzQwq(zNK`NnvZH|8to-K9?Ss}`r%p=zjC0f#5m?5DsVmDrCVHb0jcJp}{8IdCml{sPkI z#Tw-hTY$-7^lXj32527-g)n2$g_Cj`wRHQzvDNH{!F)83-bm?xr8q6UkML}K^oD@jjT#jynqhzk8U&>9mljxmhh&vQBt;_ z2b%z_N^h5dMw_K!*Ki-!IVkk5KOi-c&Ka)d?hwYCaxzBNbax8lEyAeYk2g?PorWfS z1Uj3|NrA^BUnO=v}lO=?dSpd&iB!AhFudB1oNCb;O3+F zK9r8ka|F#iM~L&Beqx^Elk=Q@VxHrZ^BkXMo+D)DIZX@ZIbt%;X%;=t5enuxBEdXI zB$(%jaGui~oaYRHdCmZs=QIoEIYYoarwN?r42AO?Av4br3g$T?dY&T?ndgYwd5&<} zJV%1ea|Sf?9AP`p5f0`#BH=toEHcj#m3fX}FwYsp&U0ZoU$ zrvaJg2a3$C zT=Ba;SKa@rC?}KEzTU0aMi?AXMcMD@w~C75my3T7Dk|oml#&`&G37@U6TdnwKra!+ zM9?TELR3up3B|-G6_b8KG4V;o#HUeAgp6X+v_LTt6UC%iv|=I@C?+C-Vj>bKCL&Z! znuChT08mT@fMU`tP)vpZ#iR*TOol?mM93&6LV;o;q7@T?h+-mY6%*k!#YBQACIcG9 zMA#}O!hvEU5-KKQ5yeDQ6cfQfF&V@vrZ6a~m@H37dt5hV`U0F>S}|!r6cgbbiizat z0^G2PVj>bKCe3LT6OpK5A|6pp5j0Rtq(v2z?__@Z?2LB!`G*~(m&zb5!Kt+d^w~ll z{O`dxampD@KCHbVBjZzPXS|lNQR3Qxz7jI{5pbc7K3<|18O;%VRP9XpSEJ#M*)`Pd zz+J^dD6f1CwRhLvU9{njsG$ZVtfvP1Es#;t!gzOs+MpL zRjXr1)_{ghR4tJ})oM|3)s&{5)kTwR}lEudTHny@D`8*y= z%=bAW;tmd8(DQ_|M31Q|Nz-bR9blzX3Q2njN$vZRR_!fuUzwQgL{V&^{Ms0v`qXY zrJ&0H8`q_I{zp(^*ye*TxM{wRovgY3)moc+1MYufhk^@*f2>U-3X>IL6(->{g-OCc z)~2Y0yyv)w9m>p90bho~yBF!k2h0Hy zXT>S~81VCe!*s-Pljrlw*HIkG#V~B9yW?@I59%k@gM4y5$fsEk5;E&SO$*k8#AH3FS@e34P_P~(608S_1nWT}Tn}mvt_KZ( z^`HT;9@H#Y4;lj2gPOqgprLR*NXV=Q2?gsxB6>YYAfn!gTJ=UaO}&vI>dk;=JxJKD z2MGu3K_cOLkXU3rNL1E?1cUXULF{@k42rG?El)^$TsLLIY9bup zxEyK?FIgw>CuGlX2IeG${V`!zZ$Wfd-Tta5Kkb0;R?+BU*j737+<;7o>!$Y z%w=D5v;)WyTg3WB{)PBEzWP*JBoF7Hw-0sWJ_g+4KqnbzJc)9|{8Wmb=5We72zefK zdz>#XU_bj~AmffQ^2QPU zy_0rPl7F*=_>mBMQ%n9W33?XA>tcd#e|Buw-=XH;n)t4!Rwi%)5Fe7{9~QQ%L=f|c zkY*%0coR$hQNd@a{9&`6e_JAsoR9LI^G9RhR@~Loqs?SXO(s+^eVg(dfMte|r}C^P zQ`4XEAsY&p!sRO4QoHeK$OSJ@T*9f}L2-low&B}`Oj#>MR`F*25vSmt90UVva&Y$1>yR4bnc3aR?eoD{Cf*@4T9oO>NBZLrBq zd$&RaecX;=$-%%{cowuVaBKJN0l2voz{LRmOdti|`}jEWv~2*Elq-Ch3t-9^ z{_*jr>ewnHU5TPTZ4an#0psN36wTL(PXp7xsU!J`#g`rPo(G%bjL2r($vV}RHT~O= z`G&0i9eHX^bejUF1%LhDA`jS`;0dq7h>HU;Fx-?s+eaf8)!PfaR)}{}4hSgyFhJa4p6Q#A zy!blAgLhIU0ykA5qn$b6o%AIwq_ilPcTyv!GK`nuopcTBetMNkz&mLvk{T89PMUuf zJs}r`-bsHfxedLO8j4>OdM7oM(xT8isi9OBh2BXGrK%|OPHHH#iZZ>En(S8>1>Q*w zVNnqri5l;u{6x~7zJvthozxJ{C&73pHH2j(81JNpa3KlCJEyVR=#L zoz$dTS0vs^O@14J!eo1I%%Dl2Fu>g>XeZEx3f@Ug=tiL0JE=kYfNJlg1|298@1zFZ zTO{5|iPAf1k$5LH_*KA-cT$5N1a7>O8vG`P!zI+GblP;Whj8tk)P&y!+;}H7_%Yzx zJE=h*1ggE08uU0&wKeHA>z%etTD9*QOfLE=TjW%w~XgVVc)R zLwm4hEiq0>^R^vBU%;n+>=7e;n_^Ou^($4QNe$nH#13jyK61H+8YwU8VU%lsv--6j zMvZ2*UPUuLUnz~a{=6JBYLU49EahtubeeVjX?z-Ey%xCsTndh|+^#?W2&0zP0uk4r zW;CiIE@ng5pN8rdMO=TfYT#a{$T~qmAH6zeh_NE=z9e-0X`<%~IdJ`H#yRwCrt43` zp9o~5zrRQHL~#+ntFfLK-WxMAN;Ng=`qPN02t;6r91;;@t%kc+VpkeM;QG_ZoK%$U z`ja&V4PFF?l4-6#3w@3gj{KN^>`$10FMDTz^V#q%o-Tbmcp0jCTD=O*b~; z`jf2zJmUJ3lySBAW18=KR>F8y8eBBcuy*wd{oAq+=Z|Dft4?mM0heb&6 zH5k0o2{o_CTt%wC9CKd~Dh9K?#~={z{vyWo%fE?!(}iSoQHo7JdcDO9RArufUCEum z$0qys4f5vMASc(Cu$PY&Z-Dw$*1bW~P#p7hSUbGJ(CfRn!<^+FD)~Cru0{IZ0r%Px zCd`i4(mx14m+vcK!dy0GdKkP>i*=_bikM9JZJc|P1YiMUym>;`%Xf3``z5R*62|vg z{jxr&+z&`NmO3^rui@FQoyH^lW(l7pD>Wn=jebjsQ4m!@a9ZqJC3srVij0rw+`}Sx z2CNe~>&ZP*@@rI6zR!vuA8aQU47l&WJJbZ2HN}!n&{)z5aXV2zv7N{#w-faf+lhQ~ zJCRSbok+-RCu&--ok&c!6E%z8P9zj;ClU#^6Nv=diA1=as5!WuXaH;{8UWjgng!d5 zhJfuvP2hH-p>R8qkl9Wo6l^CF(c6gxBHM{XttFjsnkAhCv7{T&SkejG?L@-Cb|R5* zJCRsqJCUetClU;{6Afaw6T_fz>+mt%Y?;T)ti14e87X=@Q3JA_NI1uKqK+LoUS`;2 zJCR7Rov1nOb|R6eC7pQ0k{&?=OFC)kmh`o&@l|e}wv^#jbo^YrWlt0zzqQ+Y%xjS| z!A$0ABFtF0QC6!6XQClG6SZTt*3Cv?@eKl$N%I%dMOQU++BPNP8)OrCi{Vr+QZ(90Qo#*EPPH!9aVd^{ zjeis3+=gOR(XxX4z|^&XvhWGrTbARAWI$T@833OG>y!cbv{lX`>hE6>Plb2SIEz1s z8ecpPtmT}tJ_@mVKAEDbdbbUw28^lfY$gYP2qM0mo#y))ta}aXoToMG(JM?&)U!Zc zbr;O`uiWmjWiW-$=y`k6h-MFa!{Dyn1$ylRmr>^XH?Rt zYNuCWeH-(CgEWdFR*l$Mj9m~~HI7SeL#u|N_ywU=!%#{KLaTL6laF0QVI;5RW`TQv-Rl;PT{Veq?v8>@!Fj{(+Y8d=U;wbmSx@6w;XNaTVj|l!f;wbr}f=ChQUt& zH&zXU|G|mRges#|qafR=(P@J4VN|A7!^A#XSdeMeFadWJ7UZyMn6P8fu)r$u11Z3R zCNvnmfmOpqKAsUA#D8Amn_07zSBF8#25t69{WVhS&ELG z7w@A5FkryH-Y(^icFf37{%$I&3WwLdaRC6{u}*gy@3?f{%7C{d)m+;K_x)H2)~|%0 zTi-2n=w`Asjz48X${nv$En73x)nk&K;Jkozkms~`?nIs9uzQW=5j)JEC2PEb<&Qeg zs=(S|C>zRFjSB2AUjg^%+;*6E;-ymSt%x1Q=nqwpZig{cw;*DNX*ac1U@Z?ARaeIh zF;f~!Y%=nU zanW@P49-xEm#7NX+h;7EQloO%VR#I7thU39g&HXc?Jz{~I$RLiVIsNIb{M9vb4Q~D z?J$M_)d>RX_RB(mwgdq+^%WsNJ%WI;JS_z1LlCqb#>jvI1VP(j3;~J{1Z{^ggoB!( z?J$OLR1>rv#t@Eag0aIG%5g(MUcY5?VkpS$w{2Do1-bo>P)-;Mviq!14tUIrvBOAi zq%o+ab>%y1oVLSIHLvb+F7d2U0^ zFCd~I(@c4^$Vq7(RhAuhzqr zFkAqR%6TPBvlhho(FI~~ol9w~>_&u{^{PT{Wioa z58s=8FXsFaq=KG3P}vyty}SwoN1m-JMgX;57*Zf1d1_Sf_6_$;AA^v}z#Ni4fIBp3 z9|_DM1dTa_5X~X_33CXaG>7OX%prWz9KxqDhY&L65KRlrA;iQSqFJ;#giv4(ArhEF zhy>;kA~c6+4w^#@0CR`|U=GnNFozfd%psaUbBLkP974#LLkI=t5F*+fLLg!eA!^Mb zgwxC+B#1f0fW{m`*qTEK2j&nW!CXI+SkNHHb=8YGgdu@B#30rj5(Y)hA(khkJs!vl z%^~E{<`4~tIfQTya|p@N)76Ge%ppVqbBN}&<`5!La|rQ>IV6Gx<`B}N=8y@#Gvz6C z#fh7Dr3PUl#nqfn71t`7uxsZ~H;ye#cm509ee-uY zUaPOioAPAL0Cy#aE^;KhE5Wm!mx*Di2qjrjw;NI=We1s zvM{x3ITey}d&xZPyGN1#6$HnfSa1ypFAMRW_#LSEa#@J?1U*g zWn%WS5bsIGO9T@#K7YRt$D&3-JIek9K{Fu};)JZ9n2`D8gsh*Kkon|<%%_=<37H95 z(}D?^m`uo;MNi0tf(e;OFd-8OCS)RB_0Al} zs-k~gV^ond9%mn+^~<&+1xyw5_$s&w#4=nGP)%eqoItB`ZZ$y7;ryv{LZXfpZMF{# z>8a6^AY@H+s)WTVzK??S2BbC`nV(DmCm&@}Lbf^JM)$Q0?(T*m#3|uE13YZM(`0n? z=AFI0DH~YIlESN=4Gcc{!WUjnvk9Cffd!PyB}N5(1G35NP4G_QHy}F>$kFTIol%B7 z7L&9|uo*SJiZx!r^P89+d7)z)Um0f30G!e z7B%M!>gt!TU8$<`j#k8Q7TDKEG@g5gESw;k|j+iU#e=G`s~E*Nn8 z3l{EjZKKNjUSd@Id z+}uuo{t|SD1kLcxZ zr|SDy{|@cs)gcmcOhOWV>mJ;0v!$btx6-J*k5=3#xu=-+-fdk2eLI+`k4Zo!a*2C0 zb_~HGMBc|M4w_PNZwAR1B}b_Lyt^v?2|R^98>}c7qsse)ZlV0l7F4kZ=_4E8o!Swc zy1aKEK0$FN@DTT?bh@f^CJcaF3?8@`H5IRljWgCMZ$t=Mt{6^@5WngQjh3nshXJMR zzsMq^7y4J>Z&?NYz@=-DFusA%iHkN-%fXVAcwd)iAEIzCdu(6b)~#lH z8?0=@&Fu?~w8f)713^rc{?m!ub&n8TQXObJu$QG=Ov|u+T(s6%bSM)Z@ zq>~;jUlLSai}-jG6rM8HLM2L;Vv6PyD`y4^Rp?zMJnHx0an;xGa`?)oEzH;RqF;^S z7N5b9H>A~C)BWu8CcYVu^yPtkQc&;(WEu1CQ7Hl@fo>d08aXb$TJR;_ZMNuVV6Jx6 zf4w?I$0^6|lPmAtmzZ+@$dq?z`{mnr?AeYNm(rN`vM$H$cL&(Lxfa#%>g~s${C5X- z)2$T!IheA&?iZ1reA$CLT?e(c)hVy<+stO*)25V8s@I+*lm(#Y2sFtA$Gf<<+dK8rHOs8n5zt1P}mvL?zfJ8 zr?>#~UE)3pdREevORZzywMDRkGh)ZSSNtR@%BO@y_^(^1BuFd!GTRfek`g*`mn(~7 zr6qQ>v~<00$4(q3y}Wm)9H~>WvJ#F!ce)#I>EE6eS}viUTnMgD_QXb)*jhKIW}Cu0 z4z(#aRv`?&L|YrZ2GOvL5tc+eJa9+Tux>{c8!N%3a%Hwjc$`ox;^7&wSY^pGx&)PR zS!LI5#SOgMdg*s;yhNzd7w|>`CP=^x)DkMCmDdL1JLfI!un+fc3u@3NBUvS%f+wLx$`()2WfzOuUt#NkCy8fN|*v&r7F(orF*m!HS=)LTL7Mokb z0cq7=PKF<1AQt!|u&LP6l1ITbD)*(*vHFreoTf*4?WNMO2FY^TrP8sLB~+)>gc+Ag z#~QUZ;HAKqj3#jY#)XRwrHp4X3EuQOB)W#6amdHvX-l3!x8B(Bfv$F42;vmU`vSv;>Fdtb?ikPu$AjK;iC3T!pCJ%}H> zNdihk?H`1_U&1OPVUbhzu@6XiWf&ekW*@s*!Y76OCK~+~>ETsDa9ZqJC3u>dJPwPn zVGriVj+F4MVu8;ZhW!r1x4#Gb*`bl>*D~8z&R8+ z`eDkR%Lt>dCphmvArrUOAhLaXm@jV=19+qQ6X;{B@#ikSUM&Ss*@8b`A=U{%jbU+W zB*wet>ENQYTI|Y63a_rb#c2{++QQsWL@MQ7I}IV z_7Yj@>qYtr*2b18&w*4`g;od)yB@5ju-LYBQY(9RCF>*2`X+~omP+QD<@sJjx)jF4 z$vR*A$?}9vvO;*0NXB`KN%BBik}sww*)+I%$98^}k8N3)s8$T^+=n-LAly{iyIEJYKV znB{}z5Nxvjd|I~u1a_&Z)7USrmRO}wY|eF4s?cat#^Fy@4G81d8OE_ARI(FPPgDG5 zc7)~ow1#nNbyqkc0_}_6W<>Jw?m2ZpxQHA9(Ufro%V@eoUDzG!S+W`A*t(Zw39Vf?Y$~dtvA4>4*7N z*}M#=g=WUAr7FWG3OdI(!ut1hEk9wT%s!kOEpu>$*J;F~+P01+n9AGgS0abC&CRfv zWd$}g*RG6k6onj2qOqx^wXPofjIBu(PY-I31SQggkdbQmo(a;Tq!rB_bvTrpM(bSB z2yf6OA+bH#+=M;R*3~OhkWt@`8*73Hxc9ye)VijI^{Gp+3s8?+Y;pzSPMd(7Y-??4 z?Wj+!(RsxsH$g~4EjA+1Fbz$exbr3;w>Pa`i5f?H0zjF^gh56|3ci6FaVJg?LuyEq z$A#oDWmayxs>}{*Y%)RP!=T!_x>S98GPOue$R;jP6GLMA+U3GIDVw-NO%922s5w>F zpqtXv>uF(lw3pP?C(+aES7SSVO32ZU<{O5qDxGWX>e}X}m8-G0%w$qrZ@1JotEuT+ zrj>QIOiK^pMs9O`dpjBc7tgh;>FL2ZF;Q0y-@mD3C+>`!5eAAMq?V?3v;#(*>FuY7 z3}}w#)|G2H_|dRBcWP!BT%T;p3O*wYZf|UA=$Nl&g%tMahK4o_a(sCm^aWOR8_pk~ z51VS9oihvvO=ZixU7ZzjG_rElnNj>@cW(MPq&4+U9ySC!{e8%RAKE zbV}3e6*%&s=7rQGhD}?2$J(~l?W#H?L#d^fR4-g|E;d|A0+@y)}%)pdi%3Fxd8d85m71Yn#=gFu1+B^%A^Dt!>7imW2zbbJgNu zf|sas!Vuk~TIQ$LHPxoj`saort6O>KBU#(jRtt5hmZXQ6jI!^m^TH6;o)n=W$tpmL zpa#y*$q@uE4TBrBQbS{5Mi51>mW9FS`l;HLYt;oIsTnFqU8oBC_hKgM+S%O~nWC<7 z?yz%IZ5ul93YJ#pCm|%MEoE+sl9nu&2}u(>p+aOH()jZBOKOww7R}bv1f7eRtb&f zqc$(oP#s>A0k_C>?oaoO|L_PJIVZ#lvFC&cqjR;@VRC{hg)Rr-A09zb34zyl)=2c6 z5b?-4p$HnB6Cy2oPRJ~N3q0S$ZR-PDd-qo1pimbaAC?A$o*hGY9aCOaK+(4y3%Mvb zXp}dr4=d653+&if{3j*@bGUIGJv`&x>;C}^*o-@{emP%+$Phh7ixA(Ps^W826ZaP~FfIeBgPIbRq44vt_(j>#m@3c+UD zNIPedSB>;rp9}1UJ?bp7gI($T46SEt=qah8Tk)3oZ6xXI?eWS*0KWs0Z+5UNp9dbF zfp|D$3$SPb{$NGT7-`Au%-o^cd;+ zC7=^1FbrsjG0qtY2b98?VnESohY~4>)c7bP6|wo1d;(}O!UP>faX8bWvFDMw32vD!AuC{J7ChoWgZ*<+unkKFl2~ zc1#v_8Za>XntRe{WPcfwp3Gtzxu;1a)B`g)CC?UE$Eccx7?WThn~GF}YM2J5;t-vV zI%PHJs+=*`xhSElKE@=fbiJJ(3+fGK7aSgD)95BRV=mGo5;D)4tI{PXb>?DSnAst- zk$YAgBj)Uo%8pQz&+3{JrbusxbLW~g<7Xrck5cm_8c0o}!-&I#iNL|Q>3w)830YxUdID#Uzb0~u%DTBE=H$uQh#*&zpc3vEPxEv)oKbj`_ zmy&^kmqkJl@q(agBDq%T!mtLSMY$+a2ar`0);mSlhIzgy!A`M!F-FyjD3vu=Hx<S$uhVxw9W9#kB$QT=<7bPfk zoY5hbQ99c)XLX-M^-*QyTRl~fUSi*txG-A7Md8S@eGhsvnjhx#Z_N*Klb(qJn+boo zYKP{wnx82bom5sbnO?5vzD!j{D=3^~Y`yxX`xT~_tjLxuK3vHXk&>Zrj0!W&f)+@u2VM#R!*M zvT&e69u-}Z6sPyAl2F;2l|pIQpP-q;_QNRP8e+6ibfzl8$l^ViNc@_^!P1GZv!rfBP-y9X+^XQR?Wc*7;R$~mnG3IQ5p!=jawGP z(P+8t)T3>u#=3J+(Xgj5V5}{2oxU}_usj1DfFl7vwAXmDj%==65Mp9uLZj5cXvC)Pb7j3x8^mZ9<+hu|@ zo-TEykuXf6O%x6$HjupSu?aA20u&XM*+52FPc@@;TF5(su8b-}lkDHtvO(49qQURK z%_keQz*e1at1f+|Wrt|otRE8TwKvMvUa=j)C1wPtEl|Tcizq?XS$VD^TD2%k*b2T2 zM3$sR^<*td|JE*##a^_N76g^0`x(1?MEi#`MYJ!+Z51YL6&hU>yt-!26Sl4}KXAmA znZ6NOtA`a9tqfFHh1JDlqJ7e?f%yV3HoaFyW(&*}VYj3D&sk+5i|Ltv+oCjDJ)yc! zt7zM?d|P{kw)R5%hi-$Hv47|W3p7D-R0YEdVp|WEkn|ceGc;e9f)!R|X=*0tAfzI! zRP@EU@}g~C`cdKLwHZ~-*L75~!N=*Dy`AY?0v&?TD?!d>7k1+ z_wT&i@AEEs()+x#%6r4XBS((h=9SO&9(eQI-Ypm3_HfVl-nZeX7eDOv-RphMdC8yp zXw$|1tS@_?f3tu1sXM#}oP$TbKfKxRTrlvpog2>Y%X7W!3O7Sf{M+t>fPY!A3h<44 z?|luBpB20W}L-4!q?cVGa&AtBnM_)w1H*}Pb?LGY2hu8ZTdVh2} ze|OWrulN4?rh^+k_pmq0f9T?-cdQ#4TDSWV@3YS4mHzamq3Xs9*ZcE6u)4$h>YIP! zea6}4J@Mu%yodC2SMLRW_0GQQKKp=oslVzIH+l^Z>Et@E^@aHh0|)ivPUqka-ZX!%SK9g655LA6^N@G{{wut% z<4m;kPmLFz?H${{*_}R?L0?q6yhrx0!m|@R%e-;Ve)hxHdDXkT8BTaZGM$E+CS`$N55Q#mT}cN?3^dnQ&kGzM{a{VWgHS@mpX##{*uwd#a=dY?9!=uU25$@6Xnd!4 z_kREI>kb{=;Ke(=H$3TAzxAy5dAlES3sALpAr7`#@%QAa`IQxuqjFob&FO#tqd+K0CO6 z!#JHQwXJvOPLuC#UAy{nYjS(3yjSe_ zk9d`x&$;K1@h)>`I$pw`yVo1o>?PbYoSXJ~dk^l_IlWHj^xdy&PKU4l$hGmD3Wx9b z|Gn9YTe zyw-?lTs`*Xwn6Wk(5n|6^lCf3i+-}ff5E@f8{N5jiC^_PE)QPsFY{C1^>6#$cfDQy zv))G?|E1=g{)OjnSmZtTW^_F7i1V!Xqcbx76S4?dkN^ z9Io{W=X!gtIM*v)=Ivcp=S}d-?>Xc>xPS8ngZ^tTe9r|9t1tHUb$WAO@}?g4N;hLJ z#`Nnwy1&yK?^j)bKJOJ``t0`0tG#lF{)xBlr3<{ttNfYX8!!tjch7d*1e$M&uJ;eU zy7i8QUgSE-Kr8~#@ z?1#N6x;8$#|FAntFFan4y12-_*kK(F-0SuD=`ba}T+bPn_bN ze|ptLR|07Z;t{IL`cY(cd4*SadvoYDd<;-(M9WYb!YdtRZlN-Kr$Xqj)q-;>ibC#4j&bX&K3NEUAu@P{Rn zNaTGZs*`oE6F+?39OrfW`@Ki~y_naF}s$k~C+DW~7sciR8rU&JI zkBW>+fZ@9n3v9i;c+cau*>3+LuXL5S(|_{I?(~YGzV+97dskugICRO7KWE#;Uim|p zdOIIl{{RNho@bAGWrw}(4|z2&?Zr^?`hW73-5Y*zkvE8e)A?m@=%p*ypXqh_qCUSN`LyTt~zN!C)I=S(rCPP zAcd1sXOW6#-P(^2y|GSm&mw5?A%Bs-ymikdesfFHf1ST!u{Yxi{hIW3b+4D0>)pBk zC;rs8d0$pnT;gBZ+_%Ad!>aSW^26Sd{Z!{aQggkV_g``3ZQjq-v)*-hyZWQ@tLAxE zX$Bs-5<^wT)5{B3PEt|H^>82++@BvkabXj%`Q{<+@KOnH)KnaFDz4Fx4c{o?7aOq%uh!vwTjK9nS&e?afvtq!^5W8_ z;>z0Ms@mdNwZ+x7#YO^_;CsE zmvEClYSCn2#Pp9MzI`h$3*?*j87bf2Lp=Kmo5+aAdSm!TdC$uXA5JdIQ|+QYB;H3v zF3MBp>6$bI$|qkHzIEe|^7ymuHk|yk!E+Gt?0el`bS%ajSYMWK%ksUlSYBCPtjvRH z8^7V?=<-pHEaNlVZMb+WUn%;iy>xsd6TvUNza*9Av)71!(Xb((2b`9&Jg>~lYb=Yo zishj^d(U@2^2A?V@y6~`DG$@T3v%qD_={*e{*W(JDXQL}Iz95)+pl;mpFgB`8HN)F z6^0fDm>y}v*B|p;nWvOpRsLB^(dm`tyDLWJ*P@WED5cN?e(`zzzlDx=16TfQqGe^! zaP`l2JIHip8Fnh|Mt?Nccj-%#e&#r%e9b2gN@ogRbUZWMdL`W)gq!g^T)t<3uMuZX z?P}`bAYO6mx@d&~)aNtiG#JBOzy6AEu2 zfjb?^SBCSZND9_tPZ(94?dY7QJCaJJdSQd>hxyyrP zRs{s9!>P}p8p&N#L;u#^(8rUxFb}fMDH;1dm%zS6!yyZlDcpnJ6!@%ke@%_?ooxQBc zxG^^4I$YkwNcXZT`YqPq{fPXZDFCK6{d3hG-@$rgb8j?a0 z26|DFx8=!EL9drI4g_gs72*pL^u3VfX9E5*B-w2IGRRKyHv;}OB*Ao`e>h65f5=g# zfYU>g2@C&TA+5JK5VY2E+dku{wIRQOdL`DPAXZ0qtd7iB)shXmk>ig8!@|uWEo+D; zC9EUl@h!YHq-Dh$kg(T>Jidi@hO|-(?+a;_7CsYq(eam4XW0a9l88_S7JMWiwOjDV z0jbS`yg`6JN45V&z}Z+_8d94DTLV)28UZ&4q&5q_Hz2hi67W+2sm+46JV?=`6aKwT za`5pWQ%Rf8UxlQFBC|e_o!lYd+d|Tp1^i)1`VRr~q(;#{iv^q#lEUm5=s`*O;V=g_ zGq%PcXtl_;PmtatB>M#EaUt2vf%I!3+022&+XwlxnFDEtkiyE#8p($we~$+F?68&h zr;v1=BzKcM9o1nQCd@(BxH0*`hqeN6+*sJY?{Y%1eD-MxcK&5N3)hCSvc`>#H(7Yl ztdcO17Y;U3Fd12KESZJvl*1aa@H&YJTBU{U8)D9p zosdcOnRRx0*|4s75fPRO7$3l`oSr6NNSaB9E z6E0R7<5}366>~PG@GCf_(?2w( z1$zThJNMl9yUjePlLZPMwh=5Va$Xe#3ScE(FF3?liFb#j%viR`J|O|DCksCo(yE7x zXFGF_acQIEYP!EjAgb+z<;!~33k9;T7SP7ak=&WW^7UT!Byl zHpn(UmKw8r!U{63=Z^0b_ zX^jO31JZg6z9}HBvEW++(s~QNJ0NAvm+Pg%_ka=}(mYALC1{K`oAxyUY0Y~DJQ|SNY_7f)l5E3{3hJTF zw&KE&WSi{LkYwA(mN18kwgsd=ef}_XtXboKha{Wz8-q->TbZ_d=TJ>KWLue5AY$19 zTY)Dhs9SPkV~-Fk(l^e&IU^d{{A^S3?7vd{wDpE8-}QRK!e10d$g0kkVkoCe09xPz z0r!QZTLk=iNcs-}?Vfm!rV|cT6~tO&W$y|}R`!=eQnu`@aV5-Zb`Y!6%6@Z5va-J& zlCovng_0E+JVV**vt_T(mc2e(_WD4W06YxD-wN|UcTT=fK%N@q52W83NLhg()k`Bb z$rGeY1?&n*+XNg6Ne2bIAtc=?;JqQq+URUwfq2P7@R%!*Edp4s5RxqdNZ%9Ei}D1? zc9BcMstWXDytp1c{ucdm@W=x)0YsyS47B-K92e=F`64 zK!sS)M$55uv(5Sg5d+D6nGzQY;T(CQm)Ir#g8^y1l@KPDHC)j#^)D~dxE8ik1seB+ z2n`<>NQ8CrbkwE$1hnx$3i;C^TYKzF79PoXVq;4RX{{n{s%HcXi$#aDN(;XvLQtIJ zR$lO|5NL@!(J{{#upuN_usI;DvEXY0Qo98=1*A0=>>6XR;#LaeP!la@3Qp-A@H9>oUb07s6xmWbziiXoPIrc;AtD80Z%KSx71p3Fpc) z$BH6b(3)&PYpftU2C)E^RDwsgpw4VTo!Npq0|^4uNM>up;`)s_1Y2d0JjH5h-nGE}2Ol)6}+ zIYir_?vUl}0$RyAVs#12RY9zzK*8gnK-bHYdA2a`CFCzxp6!94XHd>BF zp^bG%5NnNHp@y-t)P#){N$U$Dz^0iaty0N-MOX^o!p$MAR3rtDSrTTSIYiq@Wsb0- zzn(9km7GJ{C55F!o~&vM|0JYkWyr?+i147HKOx|!LsF1`0X9p}wt!{*wF2729F?`w zva$MtSjjsC{O6GL@@cJkgK%42mZLswT44^?Sne=~S)Jj82>y3@vKB3Tcc|u`$;_j& z5v}DF)o8)0K&N#q63~juq0`0+i#>z@rM@RCR+hH1v1SKpt=*XwYi(w(ZLEnwtmGO2 z_l2Y@1-v07WeT!*E@f#|Tdr|#<3%)~1#Pq(iYGhPn(SCvO1>NV{0W^G$+V)AB3kmwLZWp4N(eRPaps zc9~?CC&z^0o0*J)pDKTal+P|iss*=8jLc+CmA^ye*?HKG%d3T+DgRXYt(^CYJZruC z<}mV4l|M6o|G@ueYhdnX970DH_=faff5nHBPT->{En{yG%u!Xi&?YS?KyC)ad0z7< z9I)R#0IUlCsl+{ndw#a;22`0w=>}F2Pyi^NpQsKfjJ30Omzot&0H{yk|3>^bX#r5j z@t^xWCM^K!Y5YHiyoNkKl<<&;Z!*46k;83W{o9ckOA+$WYFQ0^PB})caMUK#C})7e zV}d5Fxo~r}3o`ga0BVd!%B-14lhs^YnA&APEj3rI&fPRPC@dyF&LWGZJ*@?aufU%3 z=A62?g&D!G2>(52%;`!y`O&1{kF|y*G!OURB$9B<-5F+@iFnS+AZ`@sMYJjq^6}qu zSik9VKu|kCV#r85`y|>Z@Oe%ZFxiz)#RBYCA$>wKsftW z_&b$RP=jd0mBRMSBzR2EYz-3;$d+OQ@URp$SuONqlPjiw>^}ctD|&RW(chyhSMd*%}Md(z-4TCuD0ZiJoL??4#)nyC6M&?PO*%B+Pv4a7R?S~sd32IFD)tdqy0P4RW zXWRmly2)AskGEnMH0+t14=Wf~|$u+W4&b3pk`K(TG1bPm}TTabzAOfdM_w%7=Y zE|*gS2!k#1vu&{vw3q9>9IMC#()w^jf&pz?90F?EBH~C;xNV*XFc7IAM$*qm5pMD< zks}YA=K;`6p7}TXNBz7X88-U4I-3C$&hMPn&HR2BDCwh{kvP9|RyXr|4M|x)&Xt@Y z&0IMJ5D1ZP54^*Tx@ zZ%`WpN_Ja(M#AurpB-OEft$Wc&7V;&S&LF{n6@Ydl>K90{ULH``l?--rt`6{_RX|? zbsG|!mCgW+eRVeEn7;aRP%`p^YMoJATU&z=Y68zm4+jY=5b$i+u%T`w9=M^J(b-(P z1U%tdl(EC#T*I>=3DU4UNXy1B`C*yT$Fs;;QIjSoJ>SAp*Z8tLb+tje=bCqPp6fPj zOp^m78x!!~j0+zS{$>1b1Bu!T4UYCA zlr4(uXRexM{hT2ooGX8c5Hr3)C!$g2YtVP9&yjg2J1gPpRP!)DWr&{6Xvf7dgqU%W z-kwa)>hPn0&A7Q2LMKq6{HsT-=Mmj6Cah zZ(70L7xvY39!g}pAH{#u?(F^9ZNYZ`E*jLdJ9)ByY#c}Yz zTw9!nAz)^r>g>coVOxwx$2KGG4p4Hh3RZ#qa;v6K1$8(Xa?y)ooh5(_?B&Av4=kf&U8D;snqH-h;3>$lC20xJ=P!8Dz$L- zkG1-_pjP<}Z)p}2d-&Bst?~#_c5&Imt5K__hi?Q=?jF8B=;8JtYI<_);ok~cCz&%X z_VD8fdAT0W<4B^Tj346kq|{f?f;F~vz?=EAqrmX6z5W80bPe#gwO4lCFm1M12j*@w z3O=8i9gVZSMqg-0!H*y)_q>xryPHw)5yVR`oPE#zKfPTIY-HC}e%nAWRYMKhf+-al z+6K8T*^Is8pOyM!@2+?4;N7@uCv`*A>+#I9J>B_ZX8g0;pjx3Jo3==nSimi5YAUE! z5QK}0YC=?5K&7QBsVfDvv;n!O0yhNJZ6j)+KYZW)d2jBVvDZ6_a+Qteeec}!-Fwct z=id9~y*Hb%GfaSf4M2b^A-~Y4E0Ir!aS{g&g<0#1Xt2pzoE2oDPu~P0Gw<-as1&15 zcX8%sK4mN#pVqyY*-}RS>c9D3ZxeOc8*|OmDzJat46=>mn~ks6p~1#q%>1&@UoV|> z{`$eb{^B#v#$UfLl>ng)`OJ^8;Rk^LXeeBdy%U`>>#>f8v+;WD*CA(YSoaobD}5_A zWd1QW6jae#Ya6F-X2VZKHvEWG1cWyHHkKvF4hjPp;@bs4ALqX8qNJ~ z)?*JQZz^+Ykn9KRIrU)s0Wz#lpZ-(iQw-_`pSqdoamX2e#qZ{3eOLYwItFyiCz@Vs zl0Id``o-n3m&>PjBT&t_xYsALNA&5!sPpM><4EQo`m_(OHsfL(H32jf<|w|a!^}IF z$o9!m&qB$~*2YH>S!R66Ybm5bi$K=ULL=j{gyCYF z`GkzSuS~1BrTB^1kB~za#?Nb!B21R}Sks;{em*zh;%5)0^y8UY89)5(E0ZPOjw4@{ zi=Rz&)5MR=G}OcRxfaDw83^s-7sk)GU=ou_9+m5Y^pWhy8Wc)1S-$C)i z+57=m&dlbFBEPu!c>!`Je!SPwN5YSwE!$WY927sl2blriTd!DHg^GdPlkaroz0qbayos8C*37CSm+;2Xmm*0zS z8ZW=aC$dNM^5eMe#jG>00hO78Uj9|M*mxPKsfp$3WxfITW^0na$71wmYm!b_)_8gU zHOV&k_Vcpy;F}^3#=oghZ-jfmvwM&S=gw6ZaKlm3*;!XUiCX;;g6}wZS5B}y=*BXI z?t@HcBtzsOAc>PsMwO5CcJ=i4Y~zgfauge;J@hFb7vq(hxX9R`1t3;eTn30^Ltas& zIAi0HC^mlHS7P-sHo6vFZ1AODN9&l(N5;k{quBUofW9g>6Kx?j%uMt)p@RV9=Xw-B z%Rrj1WR76Kn)uoAiKqmHS>h~gZQ|#BK%^M61ixdwS^U7IHUr4ttsqwkJS*0x`;EcJ zAv54b!Y6=CdB{^hu6W2_0~tHzqJ>;rK(;*O+thQ~$+#KBw1@FCjn*evH7YKCc7f=q zlctGsOVnol!zq!9=mX>D58w!sTaGu;WcIL+{DzC4&%vSoj7DXQpCu#>lUouvlByUR ze7m&CE$gU7&-sNj$Twf_V&gG}nkbBoKZYI?8zr2VT#Su@L&V0rqu3zLYrSA~z6gfs zo#y%ZR(!GMV&e$CCJTM>Eaqq9i=-3)!m)hfL(Uif2gGZ#u0vm}A}1JMj5O6l8y-bf zV?*An1fZ_C+{*`1?0(Sw8cCfLu8omi+b7X<|4!jP$P73cUHdGM6%Y9}Anj+|D4AdBc+UiXtL*Zd!}9=Pqu8CLU(izq&kWFjj5Efpu|e7b)2+6MaK*>z2b< z{-e0R&RG6q4O+}0E&nHL&hqE1U3^hm{y!qi|1M5St8z4Lqqk<>nS_jNH%HSa-sDEp zk9-n)L=O%{9^?agl8d8h4K3YoG`R@hb`c(3m!LA*`?hHAj%*kl%kehBH}~x!csS4@ zW00P8M1qH;mZ8T(dh=+rMeH5pt+DS2_7%ZCCD?lf`%X)Je^Icn3idg{e(%e~enYUA z1^a+t|6fF%Z~4~x{+wXvd$K(*r}_o^3xa*OCCh(9u=6=BpM6rW^EJ1A-}hQ#Phv~! zarGoHd_5$!E7-py*n?p^r`*zrd$VBY`(AwZ8-o2g!QR#qd#7OMdwYELZNdJpg1x;Z z_Lqd;d5zI$=QVE+>75qr9WAl%3idU@UKZ^94%)WI=DI{n?B5sc8-o3cU|$gIoh`8+ z6@K3l>>Gl8U9cxxV*eGv&g*`jms8xedr0q&VDE2`B4?yqIMsTVih$ecux7Yl8i% z==)Sl?9Yq7FA4Tx!G617pKFP|L-c)Dux|?X4Z&V+i9I3Mxj^>fFtsJvzbM$3T4L`P z>`B4Sg|XM3-rKRjwusI1%Pq0LMzG%ymcJ(Y{-o&pm6q7wAlUZ=`*p$oH-deoCH8i~ zzAf0-1^cH3`)W(W-|84g=p8-Ovdcmx_SLwNAD4SLI>)K-Pxt$HoLuE zU7Ky+`Cb2heRn&}SFm5HHaAY2Jt<&!to_c*`^)sb-Ni<;Z`K<9bxZ5{Ya-8gikRPn zH*9V(ZSJj!IqsT^mRn-~Bf*{!?7M>f0l~iB5_?&&Z#aLs>j|kVg8iK^g|&vY{6|4^jHNaO`*VVQt0nel1pAnX zx&*S7*Ph-liKx5L68nZ=9~SH#g8ga1zTFc0Rl&}5>-99XB`p6#Vfmew*jEL6n_#~o z*!jJTZJ`};yDhPQRlT%Q@{4$0Xyip z&29%>*Jj)I`wr;4+d;pA{YrJZak~HRxM$Lh?)_!@HeGBS;kVv?ad#1{MSbVn*os+q z_W-3|$-a}1ch}s7iy`KI02x4{J#NR^H|(wzIHYGF9$$8E)!sNRdXKg>W`M}cPEX&c z&^#eNLueion#Y9ZgwTBY5Sk~1=1HNsQ)r$%gyu=1c}i$b3eDw1Xnsg&o)en; zh2|@V&^#qHmxbm5q4|kJXwC}FOG5Lo(EQ9HG|vgm%R=**(7bgB&3U2uiqJeMH18Zj zb6IF!5t^rj=D_7BnQfvmSQDC8h2}Y-x#JL;mxN~KL%S-ld7~^er((@Urn?i_%d2&m z{F7R0)ZBBek-hKXsD;dsU%`6KmLG(+rt=Kk9w-qd@*0j zT%7dc`8mko*2_Bf{{pfR)gt?kW0Wj=GCu>P(~c(7i(dl568GXBBHl>3K*aOK`+;nO zFRrx+#C>;TG_L?jpkmywCxJ|Q$T|>LUUj7TouAJ_X4z}=4iJ|C3>Uu)@iqT6kdCO9 zQh3H%DNPke!kt`Fp%_HXELL*0FerO=PC>?f4`R3mfo$Pp9EVdt)^#lxy%iuUp3I{_ zf?%L7!mk2x*8z;?r-1Bu(Xzo>p5>nfawF2r_{kvuuoye5LRiR@F9mI0Fa8a+mOah? z4a8i{NZ1kA#+zzAzyGqm%{KP(gFtp8cCr?M4B&5^TP_2+=E+jACSvy&ug$1 znDS)a2xQDd?g3)%5;QI3Gjr!AcA+os#k(N0?ja*U+z#5bXNoc&`v-vVPJ`Iaj{#YQ zcpPJEKzOAh=K4I4INR`9yj-z1Gw1pJS;(xQJ+bDm0$KJne-Fr}humQw6^N~RCy-$; zetLj(LUY{0^FZ1>i_HS@eX#(9_KDl`TR^URW9KT6MAQqFN#~LMf|UqC_283`aqB2X z%S@q^4TDWj^D~f{^4k1mAXmJe{wI+3D59wOe}MFRwO)hA2(~QLr@(5kWJ7lF`PG1o_dY~9l^9J=qzEFzu2Rsno^r8eC>9E4)BF%beNn5S$9W2AQ(guNQ%gc?fHaMO>_P2aseWqpG>t zVmcorJ(;^8vl+`2jNb!KrW-Qx>Wi8Ofb2%Kh}=g+*D|98Z%YC)5LtsV{6WVJuhwHg z*wxt1Ye4)tm0A!idp*4!FT?vg z=wV!#*tiqOKvWBG@Gcf>G1Tt7f9gs{UVS#PtP)t4KKn!4rJ16^JjrlhH0*r#U%@*W`9yjmfU>*!{j*&YVMe#d%Nf$Vub{Zk+; zvCQ8BY4bEc49J6;Qq;(3uP9@kre za2*-ja0tj!#Ll+956GH_{3ehA5BU_3Rmd7WO7E4ViY2{RR*YgV=`OCF0Fr zw_~}O@MPWsq{EBVcK})QdO8V&YEe=9BnU23#vaj2LGU{kF>NODX&@^e*XM!kd7A$P z2uoe80`W7z9*`xk@2`4Y5V(7C4Eq~^>}VO+!h3+wfW{i{z|pa6I6Xg$UsgyLXTz#H zEYDB#uB_-dSDY!ibLr{nO1R*T^0{K@KF?HmCzsWTm~tOEYg*LOV6>UnI_8QC>3l9b z2L3`=3oFCvVm2RE0)^-KRi<24xguV1hX74iYPu2TgoO*~VtO{rrpsln(`tM;9BZL* z3eN+YOIKAUQ!7E8<16K};Zmkt!#PXTSm`~v;<*MrYPL9!c*}(4TCP-#syfqo_Ncd% zv5k4@)8JrWUJ-SQpN!Exd(|1K(fSR}@m)nb*HorhQ@Lucpr*sQW8qS$AC$+nVzpSR zTvP>>j7s;3{_gI+-s8zW)perl#PP2F-V-Tn&%yj+`chSO_o(B6vIku$)g7o)B@lES zS6%L7w@L;#Rok=yU0vNLx_kTklfA0@L|;!&Pgh^6+csbX-p-}-Ds`ekebY)!)u+0S zr&6iDRBxZ^PWBz|>Q44_bv3B(O{$(iIkKK^m9pLHNwVjTPISQ%1H8A(^j)vsoK~*-J$LRn>TGLcDNEt93)AD8!958|ldFs2B^?X?nT#=fWO6(=sxlC9(kh>;q7Tj?6QkqYmrSRz ztOlqSdsD_$tXF}f7s9Bj6i8>Y@!9&9iQP>W9m5YWKjT%SBL$?|lj$lPq|W4u*|DMV zFvEP9PJ z|8j*g7IM{`_65ce*Y~V);r{azBj<+H*!a->r|(l2hDPr@rA9}_C$OzxQ*CR;Dy2+V zt&Y%tc=8#x#Q!gbm13Ab-mTKmYTCshGm{eQD|LF}z6+5$r5mBrnL;)X@(Z>6U^aVZ zzNoD=!QQh9M(KPttVF|hh{OC8%#(vZW78U4Zj_Ih{D=q>lAsyI5STAJCMeW{T<07; zftt4By_Puyg+}JfW<#bhRMXjJUDnnaO;>9}HitwOMrK2dgcXJxXXOm84sxzG-8Wka zdq9UQ558M=@W%%e;#(2$<)l~87&oO?=5g1=k+nZ$2*;YaxmmDB533)daX(` zYfvjHDli8X71Hl7RaA9;x_Sv6E2xFAQssoNU5u|^ zd6g$J3^{El2%jru=kuXiX|ZY9lKa^P zCuDauIK=((bqzXT7!932?Rg3DRIYcD-ISr>(CP_!a12%v1R+1^q0?w=UHc4XjnUk6C9MZ& zj+s?WBellijM4l+4y)L7{{G@3vaRc#-u392N-sr`n`euh)Z&_O@I)?i@l*+ux~qj` zR=2chqWhrqcx*9DUp%JN0k%J;mz(uVZxd{zrF7QzlYz#?j~+j+U1+OKyc2U3Bp{u4 zRYQV84O|OHm@?-&KdISJFZZ#~;q<&C$DvEw5X8HM&mtb|Lbsa5XREXT*`4s#Q7pk9OM1eB>8Zd%U9A!KWq@S)m` zUVljGXm36YlhGCQ`wnNSaQy-8pQm>jFCqlsX|&o8rKG& zNRG9TjWKayH0cYsO;ek49n(pRj+Gx&-d?@pH@{mo~ZY=Vng1t zapCeqaeS_{h!o+x<@GbmWjH%&TMO6eq~coJ=vo^JoEglBE8}{K4M41nXVUX|q)@wQ z^i~bk`I5hA(03BJur>)QOg@b_ghs};H$PZoBfJ|{M4;SnwFP033XQlV z*FM6Ob}C)2Vf)CvJAZN0(k?{737}!LHqZDbiHKC+;HkNhBIYFwJxAoZs#Yp{vyxl; za?RJU0FI}wYz52midvY-RjM^r!&M&W@chCH2;O3D-!;E8O7o3}H>J1^+-yB-9a1+@ zYZBoqJ%{hVo^b0#<*tS>BK22mW(ym4IGSARJmT$`41RDfLX zPKfBFgsR%8qHT&Mv);6b&WI@3W`#Ks&5G!Jgwmv+fzp^0a~GuCj3R&zTclwMT8dC) zAYF5oMRY|(S4DJ9MAt=hLqzdse36-&Wppd5qIN-keDlihD?|xwqh9Rm69v7V(xN_w ze;^ml<89K$yb0!~iTUq>9++*6cO1FKyN)7}^`15y9`=iTEuaMq7YGcO1Pm38OGT~d zAG`KUP}xz?V@iu!mW?=mol%ZQU&Z(#5c@Oh*F}_!S4tbRH0Gy?S?I9GY0%?@7Cr}Y zT}hw&|5PuTpNvP%XI<#~K?nJh4>QGC`p)HO*lO@8w~23KWcTE^E&2G!4KiCD$Fzr| z*gkg1-=<;9KTPniDfWlpzfkTg4YoJ(--qDel!E$2r5Z55SS;6TCGW&mf78D@56&*D l%-{D83gwD(YRm5gtyVV(3(T+Qt2NgvJGOl0CFlRn{|379DdGSC diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c deleted file mode 100644 index 295c61f..0000000 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c +++ /dev/null @@ -1,12995 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cnt.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Initial_49_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 3168U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - -LAB4: xsi_set_current_line(50, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(51, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(52, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(55, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(55, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(58, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(58, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(60, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(60, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(61, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(63, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(63, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(64, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(64, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(66, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(66, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(67, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(67, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(69, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(69, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(70, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(70, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(72, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(72, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(73, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(73, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(75, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(75, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(76, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(76, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(142, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(142, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: xsi_set_current_line(144, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(144, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB65; - goto LAB1; - -LAB65: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB66; - goto LAB1; - -LAB66: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB67; - goto LAB1; - -LAB67: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB68; - goto LAB1; - -LAB68: xsi_set_current_line(150, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(150, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB69; - goto LAB1; - -LAB69: xsi_set_current_line(151, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(151, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB70; - goto LAB1; - -LAB70: xsi_set_current_line(153, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB71; - goto LAB1; - -LAB71: xsi_set_current_line(154, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB72; - goto LAB1; - -LAB72: xsi_set_current_line(156, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(156, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB73; - goto LAB1; - -LAB73: xsi_set_current_line(157, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB74; - goto LAB1; - -LAB74: xsi_set_current_line(159, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(159, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB75; - goto LAB1; - -LAB75: xsi_set_current_line(160, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(160, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB76; - goto LAB1; - -LAB76: xsi_set_current_line(162, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(162, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB77; - goto LAB1; - -LAB77: xsi_set_current_line(163, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(163, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB78; - goto LAB1; - -LAB78: xsi_set_current_line(165, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(165, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB79; - goto LAB1; - -LAB79: xsi_set_current_line(166, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(166, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB80; - goto LAB1; - -LAB80: xsi_set_current_line(168, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(168, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB81; - goto LAB1; - -LAB81: xsi_set_current_line(169, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(169, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB82; - goto LAB1; - -LAB82: xsi_set_current_line(171, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(171, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB83; - goto LAB1; - -LAB83: xsi_set_current_line(172, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(172, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB84; - goto LAB1; - -LAB84: xsi_set_current_line(174, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(174, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB85; - goto LAB1; - -LAB85: xsi_set_current_line(175, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(175, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB86; - goto LAB1; - -LAB86: xsi_set_current_line(177, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(177, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB87; - goto LAB1; - -LAB87: xsi_set_current_line(178, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(178, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB88; - goto LAB1; - -LAB88: xsi_set_current_line(180, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(180, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB89; - goto LAB1; - -LAB89: xsi_set_current_line(181, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(181, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB90; - goto LAB1; - -LAB90: xsi_set_current_line(183, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(183, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB91; - goto LAB1; - -LAB91: xsi_set_current_line(184, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(184, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB92; - goto LAB1; - -LAB92: xsi_set_current_line(186, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(186, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB93; - goto LAB1; - -LAB93: xsi_set_current_line(187, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(187, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB94; - goto LAB1; - -LAB94: xsi_set_current_line(189, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(189, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB95; - goto LAB1; - -LAB95: xsi_set_current_line(190, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(190, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB96; - goto LAB1; - -LAB96: xsi_set_current_line(192, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(192, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB97; - goto LAB1; - -LAB97: xsi_set_current_line(193, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(193, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB98; - goto LAB1; - -LAB98: xsi_set_current_line(195, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(195, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB99; - goto LAB1; - -LAB99: xsi_set_current_line(196, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(196, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB100; - goto LAB1; - -LAB100: xsi_set_current_line(198, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(198, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB101; - goto LAB1; - -LAB101: xsi_set_current_line(199, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(199, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB102; - goto LAB1; - -LAB102: xsi_set_current_line(201, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(201, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB103; - goto LAB1; - -LAB103: xsi_set_current_line(202, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(202, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB104; - goto LAB1; - -LAB104: xsi_set_current_line(204, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(204, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB105; - goto LAB1; - -LAB105: xsi_set_current_line(205, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(205, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB106; - goto LAB1; - -LAB106: xsi_set_current_line(207, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(207, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB107; - goto LAB1; - -LAB107: xsi_set_current_line(208, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(208, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB108; - goto LAB1; - -LAB108: xsi_set_current_line(210, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(210, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB109; - goto LAB1; - -LAB109: xsi_set_current_line(211, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(211, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB110; - goto LAB1; - -LAB110: xsi_set_current_line(213, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(213, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB111; - goto LAB1; - -LAB111: xsi_set_current_line(214, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(214, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB112; - goto LAB1; - -LAB112: xsi_set_current_line(216, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(216, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB113; - goto LAB1; - -LAB113: xsi_set_current_line(217, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(217, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB114; - goto LAB1; - -LAB114: xsi_set_current_line(219, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(219, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB115; - goto LAB1; - -LAB115: xsi_set_current_line(220, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(220, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB116; - goto LAB1; - -LAB116: xsi_set_current_line(222, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(222, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB117; - goto LAB1; - -LAB117: xsi_set_current_line(223, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(223, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB118; - goto LAB1; - -LAB118: xsi_set_current_line(225, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(225, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB119; - goto LAB1; - -LAB119: xsi_set_current_line(226, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(226, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB120; - goto LAB1; - -LAB120: xsi_set_current_line(228, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(228, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB121; - goto LAB1; - -LAB121: xsi_set_current_line(229, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(229, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB122; - goto LAB1; - -LAB122: xsi_set_current_line(231, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(231, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB123; - goto LAB1; - -LAB123: xsi_set_current_line(232, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(232, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB124; - goto LAB1; - -LAB124: xsi_set_current_line(234, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(234, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB125; - goto LAB1; - -LAB125: xsi_set_current_line(235, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(235, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB126; - goto LAB1; - -LAB126: xsi_set_current_line(237, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(237, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB127; - goto LAB1; - -LAB127: xsi_set_current_line(238, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(238, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB128; - goto LAB1; - -LAB128: xsi_set_current_line(240, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(240, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB129; - goto LAB1; - -LAB129: xsi_set_current_line(241, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(241, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB130; - goto LAB1; - -LAB130: xsi_set_current_line(243, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(243, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB131; - goto LAB1; - -LAB131: xsi_set_current_line(244, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(244, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB132; - goto LAB1; - -LAB132: xsi_set_current_line(246, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(246, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB133; - goto LAB1; - -LAB133: xsi_set_current_line(247, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(247, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB134; - goto LAB1; - -LAB134: xsi_set_current_line(249, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(249, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB135; - goto LAB1; - -LAB135: xsi_set_current_line(250, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(250, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB136; - goto LAB1; - -LAB136: xsi_set_current_line(252, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(252, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB137; - goto LAB1; - -LAB137: xsi_set_current_line(253, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(253, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB138; - goto LAB1; - -LAB138: xsi_set_current_line(255, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(255, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB139; - goto LAB1; - -LAB139: xsi_set_current_line(256, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(256, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB140; - goto LAB1; - -LAB140: xsi_set_current_line(258, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(258, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB141; - goto LAB1; - -LAB141: xsi_set_current_line(259, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(259, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB142; - goto LAB1; - -LAB142: xsi_set_current_line(261, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(261, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB143; - goto LAB1; - -LAB143: xsi_set_current_line(262, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(262, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB144; - goto LAB1; - -LAB144: xsi_set_current_line(264, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(264, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB145; - goto LAB1; - -LAB145: xsi_set_current_line(265, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(265, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB146; - goto LAB1; - -LAB146: xsi_set_current_line(267, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(267, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB147; - goto LAB1; - -LAB147: xsi_set_current_line(268, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(268, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB148; - goto LAB1; - -LAB148: xsi_set_current_line(270, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(270, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB149; - goto LAB1; - -LAB149: xsi_set_current_line(271, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(271, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB150; - goto LAB1; - -LAB150: xsi_set_current_line(273, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(273, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB151; - goto LAB1; - -LAB151: xsi_set_current_line(274, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(274, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB152; - goto LAB1; - -LAB152: xsi_set_current_line(276, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(276, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB153; - goto LAB1; - -LAB153: xsi_set_current_line(277, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(277, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB154; - goto LAB1; - -LAB154: xsi_set_current_line(279, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(279, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB155; - goto LAB1; - -LAB155: xsi_set_current_line(280, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(280, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB156; - goto LAB1; - -LAB156: xsi_set_current_line(282, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(282, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB157; - goto LAB1; - -LAB157: xsi_set_current_line(283, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(283, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB158; - goto LAB1; - -LAB158: xsi_set_current_line(285, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(285, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB159; - goto LAB1; - -LAB159: xsi_set_current_line(286, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(286, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB160; - goto LAB1; - -LAB160: xsi_set_current_line(288, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(288, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB161; - goto LAB1; - -LAB161: xsi_set_current_line(289, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(289, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB162; - goto LAB1; - -LAB162: xsi_set_current_line(291, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(291, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB163; - goto LAB1; - -LAB163: xsi_set_current_line(292, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(292, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB164; - goto LAB1; - -LAB164: xsi_set_current_line(294, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(294, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB165; - goto LAB1; - -LAB165: xsi_set_current_line(295, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(295, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB166; - goto LAB1; - -LAB166: xsi_set_current_line(297, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(297, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB167; - goto LAB1; - -LAB167: xsi_set_current_line(298, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(298, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB168; - goto LAB1; - -LAB168: xsi_set_current_line(300, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(300, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB169; - goto LAB1; - -LAB169: xsi_set_current_line(301, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(301, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB170; - goto LAB1; - -LAB170: xsi_set_current_line(303, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(303, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB171; - goto LAB1; - -LAB171: xsi_set_current_line(304, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(304, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB172; - goto LAB1; - -LAB172: xsi_set_current_line(306, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(306, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB173; - goto LAB1; - -LAB173: xsi_set_current_line(307, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(307, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB174; - goto LAB1; - -LAB174: xsi_set_current_line(309, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(309, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB175; - goto LAB1; - -LAB175: xsi_set_current_line(310, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(310, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB176; - goto LAB1; - -LAB176: xsi_set_current_line(312, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(312, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB177; - goto LAB1; - -LAB177: xsi_set_current_line(313, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(313, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB178; - goto LAB1; - -LAB178: xsi_set_current_line(315, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(315, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB179; - goto LAB1; - -LAB179: xsi_set_current_line(316, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(316, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB180; - goto LAB1; - -LAB180: xsi_set_current_line(318, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(318, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB181; - goto LAB1; - -LAB181: xsi_set_current_line(319, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(319, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB182; - goto LAB1; - -LAB182: xsi_set_current_line(321, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(321, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB183; - goto LAB1; - -LAB183: xsi_set_current_line(322, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(322, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB184; - goto LAB1; - -LAB184: xsi_set_current_line(324, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(324, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB185; - goto LAB1; - -LAB185: xsi_set_current_line(325, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(325, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB186; - goto LAB1; - -LAB186: xsi_set_current_line(327, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(327, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB187; - goto LAB1; - -LAB187: xsi_set_current_line(328, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(328, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB188; - goto LAB1; - -LAB188: xsi_set_current_line(330, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(330, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB189; - goto LAB1; - -LAB189: xsi_set_current_line(331, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(331, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB190; - goto LAB1; - -LAB190: xsi_set_current_line(333, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(333, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB191; - goto LAB1; - -LAB191: xsi_set_current_line(334, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(334, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB192; - goto LAB1; - -LAB192: xsi_set_current_line(336, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(336, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB193; - goto LAB1; - -LAB193: xsi_set_current_line(337, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(337, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB194; - goto LAB1; - -LAB194: xsi_set_current_line(339, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(339, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB195; - goto LAB1; - -LAB195: xsi_set_current_line(340, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(340, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB196; - goto LAB1; - -LAB196: xsi_set_current_line(342, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(342, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB197; - goto LAB1; - -LAB197: xsi_set_current_line(343, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(343, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB198; - goto LAB1; - -LAB198: xsi_set_current_line(345, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(345, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB199; - goto LAB1; - -LAB199: xsi_set_current_line(346, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(346, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB200; - goto LAB1; - -LAB200: xsi_set_current_line(348, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(348, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB201; - goto LAB1; - -LAB201: xsi_set_current_line(349, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(349, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB202; - goto LAB1; - -LAB202: xsi_set_current_line(351, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(351, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB203; - goto LAB1; - -LAB203: xsi_set_current_line(352, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(352, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB204; - goto LAB1; - -LAB204: xsi_set_current_line(354, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(354, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB205; - goto LAB1; - -LAB205: xsi_set_current_line(355, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(355, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB206; - goto LAB1; - -LAB206: xsi_set_current_line(357, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(357, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB207; - goto LAB1; - -LAB207: xsi_set_current_line(358, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(358, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB208; - goto LAB1; - -LAB208: xsi_set_current_line(360, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(360, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB209; - goto LAB1; - -LAB209: xsi_set_current_line(361, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(361, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB210; - goto LAB1; - -LAB210: xsi_set_current_line(363, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(363, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB211; - goto LAB1; - -LAB211: xsi_set_current_line(364, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(364, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB212; - goto LAB1; - -LAB212: xsi_set_current_line(366, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(366, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB213; - goto LAB1; - -LAB213: xsi_set_current_line(367, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(367, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB214; - goto LAB1; - -LAB214: xsi_set_current_line(369, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(369, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB215; - goto LAB1; - -LAB215: xsi_set_current_line(370, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(370, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB216; - goto LAB1; - -LAB216: xsi_set_current_line(372, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(372, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB217; - goto LAB1; - -LAB217: xsi_set_current_line(373, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(373, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB218; - goto LAB1; - -LAB218: xsi_set_current_line(375, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(375, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB219; - goto LAB1; - -LAB219: xsi_set_current_line(376, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(376, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB220; - goto LAB1; - -LAB220: xsi_set_current_line(378, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(378, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB221; - goto LAB1; - -LAB221: xsi_set_current_line(379, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(379, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB222; - goto LAB1; - -LAB222: xsi_set_current_line(381, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(381, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB223; - goto LAB1; - -LAB223: xsi_set_current_line(382, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(382, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB224; - goto LAB1; - -LAB224: xsi_set_current_line(384, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(384, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB225; - goto LAB1; - -LAB225: xsi_set_current_line(385, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(385, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB226; - goto LAB1; - -LAB226: xsi_set_current_line(387, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(387, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB227; - goto LAB1; - -LAB227: xsi_set_current_line(388, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(388, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB228; - goto LAB1; - -LAB228: xsi_set_current_line(390, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(390, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB229; - goto LAB1; - -LAB229: xsi_set_current_line(391, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(391, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB230; - goto LAB1; - -LAB230: xsi_set_current_line(393, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(393, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB231; - goto LAB1; - -LAB231: xsi_set_current_line(394, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(394, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB232; - goto LAB1; - -LAB232: xsi_set_current_line(396, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(396, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB233; - goto LAB1; - -LAB233: xsi_set_current_line(397, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(397, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB234; - goto LAB1; - -LAB234: xsi_set_current_line(399, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(399, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB235; - goto LAB1; - -LAB235: xsi_set_current_line(400, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(400, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB236; - goto LAB1; - -LAB236: xsi_set_current_line(402, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(402, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB237; - goto LAB1; - -LAB237: xsi_set_current_line(403, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(403, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB238; - goto LAB1; - -LAB238: xsi_set_current_line(405, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(405, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB239; - goto LAB1; - -LAB239: xsi_set_current_line(406, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(406, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB240; - goto LAB1; - -LAB240: xsi_set_current_line(408, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(408, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB241; - goto LAB1; - -LAB241: xsi_set_current_line(409, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(409, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB242; - goto LAB1; - -LAB242: xsi_set_current_line(411, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(411, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB243; - goto LAB1; - -LAB243: xsi_set_current_line(412, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(412, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB244; - goto LAB1; - -LAB244: xsi_set_current_line(414, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(414, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB245; - goto LAB1; - -LAB245: xsi_set_current_line(415, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(415, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB246; - goto LAB1; - -LAB246: xsi_set_current_line(417, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(417, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB247; - goto LAB1; - -LAB247: xsi_set_current_line(418, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(418, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB248; - goto LAB1; - -LAB248: xsi_set_current_line(420, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(420, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB249; - goto LAB1; - -LAB249: xsi_set_current_line(421, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(421, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB250; - goto LAB1; - -LAB250: xsi_set_current_line(423, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(423, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB251; - goto LAB1; - -LAB251: xsi_set_current_line(424, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(424, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB252; - goto LAB1; - -LAB252: xsi_set_current_line(426, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(426, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB253; - goto LAB1; - -LAB253: xsi_set_current_line(427, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(427, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB254; - goto LAB1; - -LAB254: xsi_set_current_line(429, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(429, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB255; - goto LAB1; - -LAB255: xsi_set_current_line(430, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(430, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB256; - goto LAB1; - -LAB256: xsi_set_current_line(432, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(432, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB257; - goto LAB1; - -LAB257: xsi_set_current_line(433, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(433, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB258; - goto LAB1; - -LAB258: xsi_set_current_line(435, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(435, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB259; - goto LAB1; - -LAB259: xsi_set_current_line(436, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(436, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB260; - goto LAB1; - -LAB260: xsi_set_current_line(438, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(438, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB261; - goto LAB1; - -LAB261: xsi_set_current_line(439, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(439, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB262; - goto LAB1; - -LAB262: xsi_set_current_line(441, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(441, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB263; - goto LAB1; - -LAB263: xsi_set_current_line(442, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(442, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB264; - goto LAB1; - -LAB264: xsi_set_current_line(444, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(444, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB265; - goto LAB1; - -LAB265: xsi_set_current_line(445, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(445, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB266; - goto LAB1; - -LAB266: xsi_set_current_line(447, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(447, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB267; - goto LAB1; - -LAB267: xsi_set_current_line(448, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(448, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB268; - goto LAB1; - -LAB268: xsi_set_current_line(450, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(450, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB269; - goto LAB1; - -LAB269: xsi_set_current_line(451, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(451, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB270; - goto LAB1; - -LAB270: xsi_set_current_line(453, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(453, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB271; - goto LAB1; - -LAB271: xsi_set_current_line(454, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(454, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB272; - goto LAB1; - -LAB272: xsi_set_current_line(456, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(456, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB273; - goto LAB1; - -LAB273: xsi_set_current_line(457, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(457, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB274; - goto LAB1; - -LAB274: xsi_set_current_line(459, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(459, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB275; - goto LAB1; - -LAB275: xsi_set_current_line(460, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(460, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB276; - goto LAB1; - -LAB276: xsi_set_current_line(462, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(462, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB277; - goto LAB1; - -LAB277: xsi_set_current_line(463, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(463, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB278; - goto LAB1; - -LAB278: xsi_set_current_line(465, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(465, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB279; - goto LAB1; - -LAB279: xsi_set_current_line(466, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(466, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB280; - goto LAB1; - -LAB280: xsi_set_current_line(468, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(468, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB281; - goto LAB1; - -LAB281: xsi_set_current_line(469, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(469, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB282; - goto LAB1; - -LAB282: xsi_set_current_line(471, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(471, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB283; - goto LAB1; - -LAB283: xsi_set_current_line(472, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(472, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB284; - goto LAB1; - -LAB284: xsi_set_current_line(474, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(474, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB285; - goto LAB1; - -LAB285: xsi_set_current_line(475, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(475, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB286; - goto LAB1; - -LAB286: xsi_set_current_line(477, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(477, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB287; - goto LAB1; - -LAB287: xsi_set_current_line(478, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(478, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB288; - goto LAB1; - -LAB288: xsi_set_current_line(480, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(480, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB289; - goto LAB1; - -LAB289: xsi_set_current_line(481, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(481, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB290; - goto LAB1; - -LAB290: xsi_set_current_line(483, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(483, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB291; - goto LAB1; - -LAB291: xsi_set_current_line(484, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(484, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB292; - goto LAB1; - -LAB292: xsi_set_current_line(486, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(486, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB293; - goto LAB1; - -LAB293: xsi_set_current_line(487, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(487, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB294; - goto LAB1; - -LAB294: xsi_set_current_line(489, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(489, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB295; - goto LAB1; - -LAB295: xsi_set_current_line(490, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(490, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB296; - goto LAB1; - -LAB296: xsi_set_current_line(492, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(492, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB297; - goto LAB1; - -LAB297: xsi_set_current_line(493, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(493, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB298; - goto LAB1; - -LAB298: xsi_set_current_line(495, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(495, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB299; - goto LAB1; - -LAB299: xsi_set_current_line(496, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(496, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB300; - goto LAB1; - -LAB300: xsi_set_current_line(498, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(498, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB301; - goto LAB1; - -LAB301: xsi_set_current_line(499, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(499, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB302; - goto LAB1; - -LAB302: xsi_set_current_line(501, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(501, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB303; - goto LAB1; - -LAB303: xsi_set_current_line(502, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(502, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB304; - goto LAB1; - -LAB304: xsi_set_current_line(504, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(504, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB305; - goto LAB1; - -LAB305: xsi_set_current_line(505, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(505, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB306; - goto LAB1; - -LAB306: xsi_set_current_line(507, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(507, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB307; - goto LAB1; - -LAB307: xsi_set_current_line(508, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(508, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB308; - goto LAB1; - -LAB308: xsi_set_current_line(510, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(510, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB309; - goto LAB1; - -LAB309: xsi_set_current_line(511, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(511, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB310; - goto LAB1; - -LAB310: xsi_set_current_line(513, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(513, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB311; - goto LAB1; - -LAB311: xsi_set_current_line(514, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(514, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB312; - goto LAB1; - -LAB312: xsi_set_current_line(516, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(516, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB313; - goto LAB1; - -LAB313: xsi_set_current_line(517, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(517, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB314; - goto LAB1; - -LAB314: xsi_set_current_line(519, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(519, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB315; - goto LAB1; - -LAB315: xsi_set_current_line(520, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(520, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB316; - goto LAB1; - -LAB316: xsi_set_current_line(522, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(522, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB317; - goto LAB1; - -LAB317: xsi_set_current_line(523, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(523, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB318; - goto LAB1; - -LAB318: xsi_set_current_line(525, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(525, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB319; - goto LAB1; - -LAB319: xsi_set_current_line(526, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(526, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB320; - goto LAB1; - -LAB320: xsi_set_current_line(528, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(528, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB321; - goto LAB1; - -LAB321: xsi_set_current_line(529, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(529, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB322; - goto LAB1; - -LAB322: xsi_set_current_line(531, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(531, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB323; - goto LAB1; - -LAB323: xsi_set_current_line(532, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(532, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB324; - goto LAB1; - -LAB324: xsi_set_current_line(534, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(534, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB325; - goto LAB1; - -LAB325: xsi_set_current_line(535, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(535, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB326; - goto LAB1; - -LAB326: xsi_set_current_line(535, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(537, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(537, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB327; - goto LAB1; - -LAB327: xsi_set_current_line(538, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(538, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB328; - goto LAB1; - -LAB328: xsi_set_current_line(540, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(540, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB329; - goto LAB1; - -LAB329: xsi_set_current_line(541, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(541, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB330; - goto LAB1; - -LAB330: xsi_set_current_line(543, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(543, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB331; - goto LAB1; - -LAB331: xsi_set_current_line(544, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(544, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB332; - goto LAB1; - -LAB332: xsi_set_current_line(544, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(546, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(546, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB333; - goto LAB1; - -LAB333: xsi_set_current_line(547, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(547, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB334; - goto LAB1; - -LAB334: xsi_set_current_line(549, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(549, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB335; - goto LAB1; - -LAB335: xsi_set_current_line(550, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(550, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB336; - goto LAB1; - -LAB336: xsi_set_current_line(552, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(552, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB337; - goto LAB1; - -LAB337: xsi_set_current_line(553, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(553, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB338; - goto LAB1; - -LAB338: xsi_set_current_line(555, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(555, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB339; - goto LAB1; - -LAB339: xsi_set_current_line(556, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(556, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB340; - goto LAB1; - -LAB340: xsi_set_current_line(558, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(558, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB341; - goto LAB1; - -LAB341: xsi_set_current_line(559, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(559, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB342; - goto LAB1; - -LAB342: xsi_set_current_line(561, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(561, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB343; - goto LAB1; - -LAB343: xsi_set_current_line(562, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(562, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB344; - goto LAB1; - -LAB344: xsi_set_current_line(564, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(564, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB345; - goto LAB1; - -LAB345: xsi_set_current_line(565, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(565, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB346; - goto LAB1; - -LAB346: xsi_set_current_line(567, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(567, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB347; - goto LAB1; - -LAB347: xsi_set_current_line(568, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(568, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB348; - goto LAB1; - -LAB348: xsi_set_current_line(570, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(570, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB349; - goto LAB1; - -LAB349: xsi_set_current_line(571, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(571, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB350; - goto LAB1; - -LAB350: xsi_set_current_line(573, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(573, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB351; - goto LAB1; - -LAB351: xsi_set_current_line(574, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(574, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB352; - goto LAB1; - -LAB352: xsi_set_current_line(576, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(576, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB353; - goto LAB1; - -LAB353: xsi_set_current_line(577, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(577, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB354; - goto LAB1; - -LAB354: xsi_set_current_line(579, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(579, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB355; - goto LAB1; - -LAB355: xsi_set_current_line(580, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(580, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB356; - goto LAB1; - -LAB356: xsi_set_current_line(582, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(582, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB357; - goto LAB1; - -LAB357: xsi_set_current_line(583, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(583, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB358; - goto LAB1; - -LAB358: xsi_set_current_line(585, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(585, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB359; - goto LAB1; - -LAB359: xsi_set_current_line(586, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(586, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB360; - goto LAB1; - -LAB360: xsi_set_current_line(588, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(588, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB361; - goto LAB1; - -LAB361: xsi_set_current_line(589, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(589, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB362; - goto LAB1; - -LAB362: xsi_set_current_line(591, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(591, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB363; - goto LAB1; - -LAB363: xsi_set_current_line(592, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(592, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB364; - goto LAB1; - -LAB364: xsi_set_current_line(594, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(594, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB365; - goto LAB1; - -LAB365: xsi_set_current_line(595, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(595, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB366; - goto LAB1; - -LAB366: xsi_set_current_line(597, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(597, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB367; - goto LAB1; - -LAB367: xsi_set_current_line(598, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(598, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB368; - goto LAB1; - -LAB368: xsi_set_current_line(600, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(600, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB369; - goto LAB1; - -LAB369: xsi_set_current_line(601, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(601, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB370; - goto LAB1; - -LAB370: xsi_set_current_line(603, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(603, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB371; - goto LAB1; - -LAB371: xsi_set_current_line(604, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(604, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB372; - goto LAB1; - -LAB372: xsi_set_current_line(606, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(606, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB373; - goto LAB1; - -LAB373: xsi_set_current_line(607, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(607, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB374; - goto LAB1; - -LAB374: xsi_set_current_line(609, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(609, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB375; - goto LAB1; - -LAB375: xsi_set_current_line(610, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(610, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB376; - goto LAB1; - -LAB376: xsi_set_current_line(612, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(612, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB377; - goto LAB1; - -LAB377: xsi_set_current_line(613, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(613, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB378; - goto LAB1; - -LAB378: xsi_set_current_line(615, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(615, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB379; - goto LAB1; - -LAB379: xsi_set_current_line(616, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(616, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB380; - goto LAB1; - -LAB380: xsi_set_current_line(618, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(618, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB381; - goto LAB1; - -LAB381: xsi_set_current_line(619, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(619, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB382; - goto LAB1; - -LAB382: xsi_set_current_line(621, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(621, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB383; - goto LAB1; - -LAB383: xsi_set_current_line(622, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(622, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB384; - goto LAB1; - -LAB384: xsi_set_current_line(624, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(624, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB385; - goto LAB1; - -LAB385: xsi_set_current_line(625, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(625, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB386; - goto LAB1; - -LAB386: xsi_set_current_line(627, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(627, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB387; - goto LAB1; - -LAB387: xsi_set_current_line(628, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(628, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB388; - goto LAB1; - -LAB388: xsi_set_current_line(630, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(630, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB389; - goto LAB1; - -LAB389: xsi_set_current_line(631, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(631, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB390; - goto LAB1; - -LAB390: xsi_set_current_line(633, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(633, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB391; - goto LAB1; - -LAB391: xsi_set_current_line(634, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(634, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB392; - goto LAB1; - -LAB392: xsi_set_current_line(636, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(636, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB393; - goto LAB1; - -LAB393: xsi_set_current_line(637, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(637, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB394; - goto LAB1; - -LAB394: xsi_set_current_line(639, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(639, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB395; - goto LAB1; - -LAB395: xsi_set_current_line(640, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(640, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB396; - goto LAB1; - -LAB396: xsi_set_current_line(642, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(642, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB397; - goto LAB1; - -LAB397: xsi_set_current_line(643, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(643, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB398; - goto LAB1; - -LAB398: xsi_set_current_line(645, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(645, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB399; - goto LAB1; - -LAB399: xsi_set_current_line(646, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(646, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB400; - goto LAB1; - -LAB400: xsi_set_current_line(648, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(648, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB401; - goto LAB1; - -LAB401: xsi_set_current_line(649, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(649, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB402; - goto LAB1; - -LAB402: xsi_set_current_line(651, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(651, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB403; - goto LAB1; - -LAB403: xsi_set_current_line(652, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(652, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB404; - goto LAB1; - -LAB404: xsi_set_current_line(654, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(654, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB405; - goto LAB1; - -LAB405: xsi_set_current_line(655, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(655, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB406; - goto LAB1; - -LAB406: xsi_set_current_line(657, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(657, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB407; - goto LAB1; - -LAB407: xsi_set_current_line(658, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(658, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB408; - goto LAB1; - -LAB408: xsi_set_current_line(660, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(660, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB409; - goto LAB1; - -LAB409: xsi_set_current_line(661, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(661, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB410; - goto LAB1; - -LAB410: xsi_set_current_line(663, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(663, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB411; - goto LAB1; - -LAB411: xsi_set_current_line(664, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(664, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB412; - goto LAB1; - -LAB412: xsi_set_current_line(666, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(666, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB413; - goto LAB1; - -LAB413: xsi_set_current_line(667, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(667, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB414; - goto LAB1; - -LAB414: xsi_set_current_line(669, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(669, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB415; - goto LAB1; - -LAB415: xsi_set_current_line(670, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(670, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB416; - goto LAB1; - -LAB416: xsi_set_current_line(672, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(672, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB417; - goto LAB1; - -LAB417: xsi_set_current_line(673, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(673, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB418; - goto LAB1; - -LAB418: xsi_set_current_line(675, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(675, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB419; - goto LAB1; - -LAB419: xsi_set_current_line(676, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(676, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB420; - goto LAB1; - -LAB420: xsi_set_current_line(678, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(678, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB421; - goto LAB1; - -LAB421: xsi_set_current_line(679, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(679, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB422; - goto LAB1; - -LAB422: xsi_set_current_line(681, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(681, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB423; - goto LAB1; - -LAB423: xsi_set_current_line(682, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(682, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB424; - goto LAB1; - -LAB424: xsi_set_current_line(684, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(684, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB425; - goto LAB1; - -LAB425: xsi_set_current_line(685, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(685, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB426; - goto LAB1; - -LAB426: xsi_set_current_line(687, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(687, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB427; - goto LAB1; - -LAB427: xsi_set_current_line(688, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(688, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB428; - goto LAB1; - -LAB428: xsi_set_current_line(690, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(690, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB429; - goto LAB1; - -LAB429: xsi_set_current_line(691, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(691, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB430; - goto LAB1; - -LAB430: xsi_set_current_line(693, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(693, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB431; - goto LAB1; - -LAB431: xsi_set_current_line(694, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(694, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB432; - goto LAB1; - -LAB432: xsi_set_current_line(696, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(696, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB433; - goto LAB1; - -LAB433: xsi_set_current_line(697, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(697, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB434; - goto LAB1; - -LAB434: xsi_set_current_line(699, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(699, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB435; - goto LAB1; - -LAB435: xsi_set_current_line(700, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(700, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB436; - goto LAB1; - -LAB436: xsi_set_current_line(702, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(702, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB437; - goto LAB1; - -LAB437: xsi_set_current_line(703, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(703, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB438; - goto LAB1; - -LAB438: xsi_set_current_line(705, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(705, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB439; - goto LAB1; - -LAB439: xsi_set_current_line(706, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(706, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB440; - goto LAB1; - -LAB440: xsi_set_current_line(708, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(708, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB441; - goto LAB1; - -LAB441: xsi_set_current_line(709, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(709, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB442; - goto LAB1; - -LAB442: xsi_set_current_line(711, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(711, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB443; - goto LAB1; - -LAB443: xsi_set_current_line(712, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(712, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB444; - goto LAB1; - -LAB444: xsi_set_current_line(714, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(714, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB445; - goto LAB1; - -LAB445: xsi_set_current_line(715, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(715, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB446; - goto LAB1; - -LAB446: xsi_set_current_line(717, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(717, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB447; - goto LAB1; - -LAB447: xsi_set_current_line(718, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(718, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB448; - goto LAB1; - -LAB448: xsi_set_current_line(720, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(720, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB449; - goto LAB1; - -LAB449: xsi_set_current_line(721, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(721, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB450; - goto LAB1; - -LAB450: xsi_set_current_line(723, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(723, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB451; - goto LAB1; - -LAB451: xsi_set_current_line(724, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(724, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB452; - goto LAB1; - -LAB452: xsi_set_current_line(726, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(726, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB453; - goto LAB1; - -LAB453: xsi_set_current_line(727, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(727, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB454; - goto LAB1; - -LAB454: xsi_set_current_line(729, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(729, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB455; - goto LAB1; - -LAB455: xsi_set_current_line(730, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(730, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB456; - goto LAB1; - -LAB456: xsi_set_current_line(730, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(732, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(732, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB457; - goto LAB1; - -LAB457: xsi_set_current_line(733, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(733, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB458; - goto LAB1; - -LAB458: xsi_set_current_line(735, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(735, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB459; - goto LAB1; - -LAB459: xsi_set_current_line(736, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(736, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB460; - goto LAB1; - -LAB460: xsi_set_current_line(736, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(738, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(738, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB461; - goto LAB1; - -LAB461: xsi_set_current_line(739, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(739, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB462; - goto LAB1; - -LAB462: xsi_set_current_line(741, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(741, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB463; - goto LAB1; - -LAB463: xsi_set_current_line(742, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(742, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB464; - goto LAB1; - -LAB464: xsi_set_current_line(744, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(744, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB465; - goto LAB1; - -LAB465: xsi_set_current_line(745, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(745, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB466; - goto LAB1; - -LAB466: xsi_set_current_line(747, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(747, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB467; - goto LAB1; - -LAB467: xsi_set_current_line(748, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(748, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB468; - goto LAB1; - -LAB468: xsi_set_current_line(750, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(750, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB469; - goto LAB1; - -LAB469: xsi_set_current_line(751, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(751, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB470; - goto LAB1; - -LAB470: xsi_set_current_line(753, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(753, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB471; - goto LAB1; - -LAB471: xsi_set_current_line(754, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(754, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB472; - goto LAB1; - -LAB472: xsi_set_current_line(756, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(756, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB473; - goto LAB1; - -LAB473: xsi_set_current_line(757, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(757, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB474; - goto LAB1; - -LAB474: xsi_set_current_line(759, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(759, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB475; - goto LAB1; - -LAB475: xsi_set_current_line(760, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(760, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB476; - goto LAB1; - -LAB476: xsi_set_current_line(762, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(762, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB477; - goto LAB1; - -LAB477: xsi_set_current_line(763, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(763, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB478; - goto LAB1; - -LAB478: xsi_set_current_line(765, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(765, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB479; - goto LAB1; - -LAB479: xsi_set_current_line(766, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(766, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB480; - goto LAB1; - -LAB480: xsi_set_current_line(768, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(768, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB481; - goto LAB1; - -LAB481: xsi_set_current_line(769, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(769, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB482; - goto LAB1; - -LAB482: xsi_set_current_line(771, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(771, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB483; - goto LAB1; - -LAB483: xsi_set_current_line(772, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(772, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB484; - goto LAB1; - -LAB484: xsi_set_current_line(774, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(774, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB485; - goto LAB1; - -LAB485: xsi_set_current_line(775, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(775, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB486; - goto LAB1; - -LAB486: xsi_set_current_line(777, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(777, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB487; - goto LAB1; - -LAB487: xsi_set_current_line(778, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(778, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB488; - goto LAB1; - -LAB488: xsi_set_current_line(780, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(780, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB489; - goto LAB1; - -LAB489: xsi_set_current_line(781, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(781, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB490; - goto LAB1; - -LAB490: xsi_set_current_line(783, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(783, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB491; - goto LAB1; - -LAB491: xsi_set_current_line(784, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(784, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB492; - goto LAB1; - -LAB492: xsi_set_current_line(786, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(786, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB493; - goto LAB1; - -LAB493: xsi_set_current_line(787, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(787, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB494; - goto LAB1; - -LAB494: xsi_set_current_line(789, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(789, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB495; - goto LAB1; - -LAB495: xsi_set_current_line(790, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(790, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB496; - goto LAB1; - -LAB496: xsi_set_current_line(792, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(792, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB497; - goto LAB1; - -LAB497: xsi_set_current_line(793, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(793, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB498; - goto LAB1; - -LAB498: xsi_set_current_line(795, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(795, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB499; - goto LAB1; - -LAB499: xsi_set_current_line(796, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(796, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB500; - goto LAB1; - -LAB500: xsi_set_current_line(798, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(798, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB501; - goto LAB1; - -LAB501: xsi_set_current_line(799, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(799, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB502; - goto LAB1; - -LAB502: xsi_set_current_line(801, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(801, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB503; - goto LAB1; - -LAB503: xsi_set_current_line(802, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(802, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB504; - goto LAB1; - -LAB504: xsi_set_current_line(804, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(804, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB505; - goto LAB1; - -LAB505: xsi_set_current_line(805, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(805, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB506; - goto LAB1; - -LAB506: xsi_set_current_line(807, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(807, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB507; - goto LAB1; - -LAB507: xsi_set_current_line(808, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(808, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB508; - goto LAB1; - -LAB508: xsi_set_current_line(810, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(810, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB509; - goto LAB1; - -LAB509: xsi_set_current_line(811, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(811, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB510; - goto LAB1; - -LAB510: xsi_set_current_line(813, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(813, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB511; - goto LAB1; - -LAB511: xsi_set_current_line(814, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(814, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB512; - goto LAB1; - -LAB512: xsi_set_current_line(816, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(816, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB513; - goto LAB1; - -LAB513: xsi_set_current_line(817, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(817, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB514; - goto LAB1; - -LAB514: xsi_set_current_line(819, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(819, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB515; - goto LAB1; - -LAB515: xsi_set_current_line(820, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(820, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB516; - goto LAB1; - -LAB516: xsi_set_current_line(822, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(822, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB517; - goto LAB1; - -LAB517: xsi_set_current_line(823, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(823, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB518; - goto LAB1; - -LAB518: xsi_set_current_line(825, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(825, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB519; - goto LAB1; - -LAB519: xsi_set_current_line(826, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(826, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB520; - goto LAB1; - -LAB520: xsi_set_current_line(828, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(828, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB521; - goto LAB1; - -LAB521: xsi_set_current_line(829, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(829, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB522; - goto LAB1; - -LAB522: xsi_set_current_line(831, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(831, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB523; - goto LAB1; - -LAB523: xsi_set_current_line(832, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(832, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB524; - goto LAB1; - -LAB524: xsi_set_current_line(834, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(834, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB525; - goto LAB1; - -LAB525: xsi_set_current_line(835, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(835, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB526; - goto LAB1; - -LAB526: xsi_set_current_line(837, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(837, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB527; - goto LAB1; - -LAB527: xsi_set_current_line(838, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(838, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB528; - goto LAB1; - -LAB528: xsi_set_current_line(840, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(840, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB529; - goto LAB1; - -LAB529: xsi_set_current_line(841, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(841, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB530; - goto LAB1; - -LAB530: xsi_set_current_line(843, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(843, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB531; - goto LAB1; - -LAB531: xsi_set_current_line(844, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(844, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB532; - goto LAB1; - -LAB532: xsi_set_current_line(846, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(846, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB533; - goto LAB1; - -LAB533: xsi_set_current_line(847, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(847, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB534; - goto LAB1; - -LAB534: xsi_set_current_line(849, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(849, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB535; - goto LAB1; - -LAB535: xsi_set_current_line(850, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(850, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB536; - goto LAB1; - -LAB536: xsi_set_current_line(852, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(852, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB537; - goto LAB1; - -LAB537: xsi_set_current_line(853, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(853, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB538; - goto LAB1; - -LAB538: xsi_set_current_line(855, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(855, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB539; - goto LAB1; - -LAB539: xsi_set_current_line(856, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(856, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB540; - goto LAB1; - -LAB540: xsi_set_current_line(858, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(858, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB541; - goto LAB1; - -LAB541: xsi_set_current_line(859, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(859, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB542; - goto LAB1; - -LAB542: xsi_set_current_line(861, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(861, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB543; - goto LAB1; - -LAB543: xsi_set_current_line(862, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(862, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB544; - goto LAB1; - -LAB544: xsi_set_current_line(864, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(864, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB545; - goto LAB1; - -LAB545: xsi_set_current_line(865, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(865, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB546; - goto LAB1; - -LAB546: xsi_set_current_line(867, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(867, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB547; - goto LAB1; - -LAB547: xsi_set_current_line(868, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(868, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB548; - goto LAB1; - -LAB548: xsi_set_current_line(870, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(870, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB549; - goto LAB1; - -LAB549: xsi_set_current_line(871, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(871, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB550; - goto LAB1; - -LAB550: xsi_set_current_line(873, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(873, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB551; - goto LAB1; - -LAB551: xsi_set_current_line(874, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(874, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB552; - goto LAB1; - -LAB552: xsi_set_current_line(876, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(876, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB553; - goto LAB1; - -LAB553: xsi_set_current_line(877, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(877, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB554; - goto LAB1; - -LAB554: xsi_set_current_line(879, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(879, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB555; - goto LAB1; - -LAB555: xsi_set_current_line(880, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(880, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB556; - goto LAB1; - -LAB556: xsi_set_current_line(882, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(882, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB557; - goto LAB1; - -LAB557: xsi_set_current_line(883, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(883, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB558; - goto LAB1; - -LAB558: xsi_set_current_line(885, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(885, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB559; - goto LAB1; - -LAB559: xsi_set_current_line(886, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(886, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB560; - goto LAB1; - -LAB560: xsi_set_current_line(888, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(888, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB561; - goto LAB1; - -LAB561: xsi_set_current_line(889, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(889, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB562; - goto LAB1; - -LAB562: xsi_set_current_line(891, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(891, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB563; - goto LAB1; - -LAB563: xsi_set_current_line(892, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(892, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB564; - goto LAB1; - -LAB564: xsi_set_current_line(894, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(894, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB565; - goto LAB1; - -LAB565: xsi_set_current_line(895, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(895, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB566; - goto LAB1; - -LAB566: xsi_set_current_line(897, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(897, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB567; - goto LAB1; - -LAB567: xsi_set_current_line(898, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(898, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB568; - goto LAB1; - -LAB568: xsi_set_current_line(900, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(900, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB569; - goto LAB1; - -LAB569: xsi_set_current_line(901, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(901, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB570; - goto LAB1; - -LAB570: xsi_set_current_line(903, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(903, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB571; - goto LAB1; - -LAB571: xsi_set_current_line(904, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(904, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB572; - goto LAB1; - -LAB572: xsi_set_current_line(906, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(906, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB573; - goto LAB1; - -LAB573: xsi_set_current_line(907, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(907, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB574; - goto LAB1; - -LAB574: xsi_set_current_line(909, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(909, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB575; - goto LAB1; - -LAB575: xsi_set_current_line(910, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(910, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB576; - goto LAB1; - -LAB576: xsi_set_current_line(912, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(912, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB577; - goto LAB1; - -LAB577: xsi_set_current_line(913, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(913, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB578; - goto LAB1; - -LAB578: xsi_set_current_line(915, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(915, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB579; - goto LAB1; - -LAB579: xsi_set_current_line(916, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(916, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB580; - goto LAB1; - -LAB580: xsi_set_current_line(918, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(918, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB581; - goto LAB1; - -LAB581: xsi_set_current_line(919, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(919, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB582; - goto LAB1; - -LAB582: xsi_set_current_line(921, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(921, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB583; - goto LAB1; - -LAB583: xsi_set_current_line(922, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(922, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB584; - goto LAB1; - -LAB584: xsi_set_current_line(924, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(924, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB585; - goto LAB1; - -LAB585: xsi_set_current_line(925, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(925, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB586; - goto LAB1; - -LAB586: xsi_set_current_line(927, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(927, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB587; - goto LAB1; - -LAB587: xsi_set_current_line(928, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(928, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB588; - goto LAB1; - -LAB588: xsi_set_current_line(930, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(930, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB589; - goto LAB1; - -LAB589: xsi_set_current_line(931, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(931, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB590; - goto LAB1; - -LAB590: xsi_set_current_line(933, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(933, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB591; - goto LAB1; - -LAB591: xsi_set_current_line(934, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(934, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB592; - goto LAB1; - -LAB592: xsi_set_current_line(936, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(936, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB593; - goto LAB1; - -LAB593: xsi_set_current_line(937, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(937, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB594; - goto LAB1; - -LAB594: xsi_set_current_line(939, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(939, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB595; - goto LAB1; - -LAB595: xsi_set_current_line(940, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(940, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB596; - goto LAB1; - -LAB596: xsi_set_current_line(942, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(942, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB597; - goto LAB1; - -LAB597: xsi_set_current_line(943, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(943, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB598; - goto LAB1; - -LAB598: xsi_set_current_line(945, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(945, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB599; - goto LAB1; - -LAB599: xsi_set_current_line(946, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(946, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB600; - goto LAB1; - -LAB600: xsi_set_current_line(948, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(948, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB601; - goto LAB1; - -LAB601: xsi_set_current_line(949, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(949, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB602; - goto LAB1; - -LAB602: xsi_set_current_line(951, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(951, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB603; - goto LAB1; - -LAB603: xsi_set_current_line(952, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(952, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB604; - goto LAB1; - -LAB604: xsi_set_current_line(954, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(954, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB605; - goto LAB1; - -LAB605: xsi_set_current_line(955, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(955, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB606; - goto LAB1; - -LAB606: xsi_set_current_line(957, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(957, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB607; - goto LAB1; - -LAB607: xsi_set_current_line(958, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(958, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB608; - goto LAB1; - -LAB608: xsi_set_current_line(960, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(960, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB609; - goto LAB1; - -LAB609: xsi_set_current_line(961, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(961, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB610; - goto LAB1; - -LAB610: xsi_set_current_line(963, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(963, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB611; - goto LAB1; - -LAB611: xsi_set_current_line(964, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(964, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB612; - goto LAB1; - -LAB612: xsi_set_current_line(966, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(966, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB613; - goto LAB1; - -LAB613: xsi_set_current_line(967, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(967, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB614; - goto LAB1; - -LAB614: xsi_set_current_line(969, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(969, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB615; - goto LAB1; - -LAB615: xsi_set_current_line(970, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(970, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB616; - goto LAB1; - -LAB616: xsi_set_current_line(972, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(972, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB617; - goto LAB1; - -LAB617: xsi_set_current_line(973, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(973, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB618; - goto LAB1; - -LAB618: xsi_set_current_line(975, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(975, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB619; - goto LAB1; - -LAB619: xsi_set_current_line(976, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(976, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB620; - goto LAB1; - -LAB620: xsi_set_current_line(978, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(978, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB621; - goto LAB1; - -LAB621: xsi_set_current_line(979, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(979, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB622; - goto LAB1; - -LAB622: xsi_set_current_line(981, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(981, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB623; - goto LAB1; - -LAB623: xsi_set_current_line(982, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(982, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB624; - goto LAB1; - -LAB624: xsi_set_current_line(984, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(984, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB625; - goto LAB1; - -LAB625: xsi_set_current_line(985, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(985, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB626; - goto LAB1; - -LAB626: xsi_set_current_line(987, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(987, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB627; - goto LAB1; - -LAB627: xsi_set_current_line(988, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(988, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB628; - goto LAB1; - -LAB628: xsi_set_current_line(990, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(990, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB629; - goto LAB1; - -LAB629: xsi_set_current_line(991, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(991, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB630; - goto LAB1; - -LAB630: xsi_set_current_line(993, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(993, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB631; - goto LAB1; - -LAB631: xsi_set_current_line(994, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(994, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB632; - goto LAB1; - -LAB632: xsi_set_current_line(996, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(996, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB633; - goto LAB1; - -LAB633: xsi_set_current_line(997, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(997, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB634; - goto LAB1; - -LAB634: xsi_set_current_line(999, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(999, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB635; - goto LAB1; - -LAB635: xsi_set_current_line(1000, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1000, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB636; - goto LAB1; - -LAB636: xsi_set_current_line(1002, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1002, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB637; - goto LAB1; - -LAB637: xsi_set_current_line(1003, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1003, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB638; - goto LAB1; - -LAB638: xsi_set_current_line(1005, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1005, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB639; - goto LAB1; - -LAB639: xsi_set_current_line(1006, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1006, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB640; - goto LAB1; - -LAB640: xsi_set_current_line(1008, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1008, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB641; - goto LAB1; - -LAB641: xsi_set_current_line(1009, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1009, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB642; - goto LAB1; - -LAB642: xsi_set_current_line(1011, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1011, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB643; - goto LAB1; - -LAB643: xsi_set_current_line(1012, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1012, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB644; - goto LAB1; - -LAB644: xsi_set_current_line(1014, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1014, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB645; - goto LAB1; - -LAB645: xsi_set_current_line(1015, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1015, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB646; - goto LAB1; - -LAB646: xsi_set_current_line(1017, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1017, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB647; - goto LAB1; - -LAB647: xsi_set_current_line(1018, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1018, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB648; - goto LAB1; - -LAB648: xsi_set_current_line(1020, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1020, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB649; - goto LAB1; - -LAB649: xsi_set_current_line(1021, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1021, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB650; - goto LAB1; - -LAB650: xsi_set_current_line(1023, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1023, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB651; - goto LAB1; - -LAB651: xsi_set_current_line(1024, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1024, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB652; - goto LAB1; - -LAB652: xsi_set_current_line(1026, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1026, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB653; - goto LAB1; - -LAB653: xsi_set_current_line(1027, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1027, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB654; - goto LAB1; - -LAB654: xsi_set_current_line(1029, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1029, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB655; - goto LAB1; - -LAB655: xsi_set_current_line(1030, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1030, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB656; - goto LAB1; - -LAB656: xsi_set_current_line(1032, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1032, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB657; - goto LAB1; - -LAB657: xsi_set_current_line(1033, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1033, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB658; - goto LAB1; - -LAB658: xsi_set_current_line(1035, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1035, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB659; - goto LAB1; - -LAB659: xsi_set_current_line(1036, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1036, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB660; - goto LAB1; - -LAB660: xsi_set_current_line(1038, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1038, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB661; - goto LAB1; - -LAB661: xsi_set_current_line(1039, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1039, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB662; - goto LAB1; - -LAB662: xsi_set_current_line(1041, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1041, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB663; - goto LAB1; - -LAB663: xsi_set_current_line(1042, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1042, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB664; - goto LAB1; - -LAB664: xsi_set_current_line(1044, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1044, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB665; - goto LAB1; - -LAB665: xsi_set_current_line(1045, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1045, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB666; - goto LAB1; - -LAB666: xsi_set_current_line(1047, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1047, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB667; - goto LAB1; - -LAB667: xsi_set_current_line(1048, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1048, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB668; - goto LAB1; - -LAB668: xsi_set_current_line(1050, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1050, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB669; - goto LAB1; - -LAB669: xsi_set_current_line(1051, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1051, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB670; - goto LAB1; - -LAB670: xsi_set_current_line(1053, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1053, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB671; - goto LAB1; - -LAB671: xsi_set_current_line(1054, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1054, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB672; - goto LAB1; - -LAB672: xsi_set_current_line(1056, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1056, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB673; - goto LAB1; - -LAB673: xsi_set_current_line(1057, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1057, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB674; - goto LAB1; - -LAB674: xsi_set_current_line(1059, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1059, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB675; - goto LAB1; - -LAB675: xsi_set_current_line(1060, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1060, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB676; - goto LAB1; - -LAB676: xsi_set_current_line(1062, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1062, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB677; - goto LAB1; - -LAB677: xsi_set_current_line(1063, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1063, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB678; - goto LAB1; - -LAB678: xsi_set_current_line(1065, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1065, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB679; - goto LAB1; - -LAB679: xsi_set_current_line(1066, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1066, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB680; - goto LAB1; - -LAB680: xsi_set_current_line(1068, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1068, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB681; - goto LAB1; - -LAB681: xsi_set_current_line(1069, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1069, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB682; - goto LAB1; - -LAB682: xsi_set_current_line(1071, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1071, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB683; - goto LAB1; - -LAB683: xsi_set_current_line(1072, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1072, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB684; - goto LAB1; - -LAB684: xsi_set_current_line(1074, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1074, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB685; - goto LAB1; - -LAB685: xsi_set_current_line(1075, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1075, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB686; - goto LAB1; - -LAB686: xsi_set_current_line(1077, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1077, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB687; - goto LAB1; - -LAB687: xsi_set_current_line(1078, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1078, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB688; - goto LAB1; - -LAB688: xsi_set_current_line(1080, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1080, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB689; - goto LAB1; - -LAB689: xsi_set_current_line(1081, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1081, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB690; - goto LAB1; - -LAB690: xsi_set_current_line(1083, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1083, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB691; - goto LAB1; - -LAB691: xsi_set_current_line(1084, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1084, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB692; - goto LAB1; - -LAB692: xsi_set_current_line(1086, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1086, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB693; - goto LAB1; - -LAB693: xsi_set_current_line(1087, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1087, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB694; - goto LAB1; - -LAB694: xsi_set_current_line(1089, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1089, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB695; - goto LAB1; - -LAB695: xsi_set_current_line(1090, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1090, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB696; - goto LAB1; - -LAB696: xsi_set_current_line(1092, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1092, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB697; - goto LAB1; - -LAB697: xsi_set_current_line(1093, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1093, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB698; - goto LAB1; - -LAB698: xsi_set_current_line(1095, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1095, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB699; - goto LAB1; - -LAB699: xsi_set_current_line(1096, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1096, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB700; - goto LAB1; - -LAB700: xsi_set_current_line(1098, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1098, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB701; - goto LAB1; - -LAB701: xsi_set_current_line(1099, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1099, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB702; - goto LAB1; - -LAB702: xsi_set_current_line(1101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1101, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB703; - goto LAB1; - -LAB703: xsi_set_current_line(1102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1102, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB704; - goto LAB1; - -LAB704: xsi_set_current_line(1104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1104, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB705; - goto LAB1; - -LAB705: xsi_set_current_line(1105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1105, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB706; - goto LAB1; - -LAB706: xsi_set_current_line(1107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1107, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB707; - goto LAB1; - -LAB707: xsi_set_current_line(1108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1108, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB708; - goto LAB1; - -LAB708: xsi_set_current_line(1110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1110, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB709; - goto LAB1; - -LAB709: xsi_set_current_line(1111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1111, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB710; - goto LAB1; - -LAB710: xsi_set_current_line(1113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1113, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB711; - goto LAB1; - -LAB711: xsi_set_current_line(1114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1114, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB712; - goto LAB1; - -LAB712: xsi_set_current_line(1116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1116, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB713; - goto LAB1; - -LAB713: xsi_set_current_line(1117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1117, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB714; - goto LAB1; - -LAB714: xsi_set_current_line(1119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1119, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB715; - goto LAB1; - -LAB715: xsi_set_current_line(1120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1120, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB716; - goto LAB1; - -LAB716: xsi_set_current_line(1122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1122, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB717; - goto LAB1; - -LAB717: xsi_set_current_line(1123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1123, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB718; - goto LAB1; - -LAB718: xsi_set_current_line(1125, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1125, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB719; - goto LAB1; - -LAB719: xsi_set_current_line(1126, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1126, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB720; - goto LAB1; - -LAB720: xsi_set_current_line(1128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1128, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB721; - goto LAB1; - -LAB721: xsi_set_current_line(1129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1129, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB722; - goto LAB1; - -LAB722: xsi_set_current_line(1131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1131, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB723; - goto LAB1; - -LAB723: xsi_set_current_line(1132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1132, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB724; - goto LAB1; - -LAB724: xsi_set_current_line(1134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1134, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB725; - goto LAB1; - -LAB725: xsi_set_current_line(1135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1135, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB726; - goto LAB1; - -LAB726: xsi_set_current_line(1137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1137, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB727; - goto LAB1; - -LAB727: xsi_set_current_line(1138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1138, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB728; - goto LAB1; - -LAB728: xsi_set_current_line(1140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1140, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB729; - goto LAB1; - -LAB729: xsi_set_current_line(1141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1141, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB730; - goto LAB1; - -LAB730: xsi_set_current_line(1143, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1143, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB731; - goto LAB1; - -LAB731: xsi_set_current_line(1144, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1144, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB732; - goto LAB1; - -LAB732: xsi_set_current_line(1146, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1146, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB733; - goto LAB1; - -LAB733: xsi_set_current_line(1147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1147, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB734; - goto LAB1; - -LAB734: xsi_set_current_line(1149, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1149, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB735; - goto LAB1; - -LAB735: xsi_set_current_line(1150, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1150, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB736; - goto LAB1; - -LAB736: xsi_set_current_line(1152, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1152, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB737; - goto LAB1; - -LAB737: xsi_set_current_line(1153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1153, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB738; - goto LAB1; - -LAB738: xsi_set_current_line(1155, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1155, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB739; - goto LAB1; - -LAB739: xsi_set_current_line(1156, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1156, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB740; - goto LAB1; - -LAB740: xsi_set_current_line(1158, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1158, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB741; - goto LAB1; - -LAB741: xsi_set_current_line(1159, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1159, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB742; - goto LAB1; - -LAB742: xsi_set_current_line(1161, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1161, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB743; - goto LAB1; - -LAB743: xsi_set_current_line(1162, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1162, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB744; - goto LAB1; - -LAB744: xsi_set_current_line(1164, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1164, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB745; - goto LAB1; - -LAB745: xsi_set_current_line(1165, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1165, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB746; - goto LAB1; - -LAB746: xsi_set_current_line(1167, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1167, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB747; - goto LAB1; - -LAB747: xsi_set_current_line(1168, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1168, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB748; - goto LAB1; - -LAB748: xsi_set_current_line(1170, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1170, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB749; - goto LAB1; - -LAB749: xsi_set_current_line(1171, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1171, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB750; - goto LAB1; - -LAB750: xsi_set_current_line(1173, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1173, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB751; - goto LAB1; - -LAB751: xsi_set_current_line(1174, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1174, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB752; - goto LAB1; - -LAB752: xsi_set_current_line(1176, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1176, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB753; - goto LAB1; - -LAB753: xsi_set_current_line(1177, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1177, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB754; - goto LAB1; - -LAB754: xsi_set_current_line(1179, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1179, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB755; - goto LAB1; - -LAB755: xsi_set_current_line(1180, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1180, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB756; - goto LAB1; - -LAB756: xsi_set_current_line(1182, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1182, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB757; - goto LAB1; - -LAB757: xsi_set_current_line(1183, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1183, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB758; - goto LAB1; - -LAB758: xsi_set_current_line(1185, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1185, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB759; - goto LAB1; - -LAB759: xsi_set_current_line(1186, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1186, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB760; - goto LAB1; - -LAB760: xsi_set_current_line(1188, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1188, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB761; - goto LAB1; - -LAB761: xsi_set_current_line(1189, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1189, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB762; - goto LAB1; - -LAB762: xsi_set_current_line(1191, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1191, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB763; - goto LAB1; - -LAB763: xsi_set_current_line(1192, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1192, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB764; - goto LAB1; - -LAB764: xsi_set_current_line(1194, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1194, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB765; - goto LAB1; - -LAB765: xsi_set_current_line(1195, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1195, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB766; - goto LAB1; - -LAB766: xsi_set_current_line(1197, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1197, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB767; - goto LAB1; - -LAB767: xsi_set_current_line(1198, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1198, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB768; - goto LAB1; - -LAB768: xsi_set_current_line(1200, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1200, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB769; - goto LAB1; - -LAB769: xsi_set_current_line(1201, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1201, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB770; - goto LAB1; - -LAB770: xsi_set_current_line(1203, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1203, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB771; - goto LAB1; - -LAB771: xsi_set_current_line(1204, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1204, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB772; - goto LAB1; - -LAB772: xsi_set_current_line(1206, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1206, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB773; - goto LAB1; - -LAB773: xsi_set_current_line(1207, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1207, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB774; - goto LAB1; - -LAB774: xsi_set_current_line(1209, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1209, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB775; - goto LAB1; - -LAB775: xsi_set_current_line(1210, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1210, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB776; - goto LAB1; - -LAB776: xsi_set_current_line(1212, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1212, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB777; - goto LAB1; - -LAB777: xsi_set_current_line(1213, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1213, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB778; - goto LAB1; - -LAB778: xsi_set_current_line(1215, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1215, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB779; - goto LAB1; - -LAB779: xsi_set_current_line(1216, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1216, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB780; - goto LAB1; - -LAB780: xsi_set_current_line(1218, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1218, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB781; - goto LAB1; - -LAB781: xsi_set_current_line(1219, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1219, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB782; - goto LAB1; - -LAB782: xsi_set_current_line(1221, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1221, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB783; - goto LAB1; - -LAB783: xsi_set_current_line(1222, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1222, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB784; - goto LAB1; - -LAB784: xsi_set_current_line(1224, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1224, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB785; - goto LAB1; - -LAB785: xsi_set_current_line(1225, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1225, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB786; - goto LAB1; - -LAB786: xsi_set_current_line(1227, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1227, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB787; - goto LAB1; - -LAB787: xsi_set_current_line(1228, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1228, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB788; - goto LAB1; - -LAB788: xsi_set_current_line(1230, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1230, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB789; - goto LAB1; - -LAB789: xsi_set_current_line(1231, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1231, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB790; - goto LAB1; - -LAB790: xsi_set_current_line(1233, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1233, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB791; - goto LAB1; - -LAB791: xsi_set_current_line(1234, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1234, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB792; - goto LAB1; - -LAB792: xsi_set_current_line(1236, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1236, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB793; - goto LAB1; - -LAB793: xsi_set_current_line(1237, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1237, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB794; - goto LAB1; - -LAB794: xsi_set_current_line(1239, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1239, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB795; - goto LAB1; - -LAB795: xsi_set_current_line(1240, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1240, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB796; - goto LAB1; - -LAB796: xsi_set_current_line(1242, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1242, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB797; - goto LAB1; - -LAB797: xsi_set_current_line(1243, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1243, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB798; - goto LAB1; - -LAB798: xsi_set_current_line(1245, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1245, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB799; - goto LAB1; - -LAB799: xsi_set_current_line(1246, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1246, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB800; - goto LAB1; - -LAB800: xsi_set_current_line(1248, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1248, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB801; - goto LAB1; - -LAB801: xsi_set_current_line(1249, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1249, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB802; - goto LAB1; - -LAB802: xsi_set_current_line(1251, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1251, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB803; - goto LAB1; - -LAB803: xsi_set_current_line(1252, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1252, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB804; - goto LAB1; - -LAB804: xsi_set_current_line(1254, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1254, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB805; - goto LAB1; - -LAB805: xsi_set_current_line(1255, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1255, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB806; - goto LAB1; - -LAB806: xsi_set_current_line(1257, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1257, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB807; - goto LAB1; - -LAB807: xsi_set_current_line(1258, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1258, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB808; - goto LAB1; - -LAB808: xsi_set_current_line(1260, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1260, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB809; - goto LAB1; - -LAB809: xsi_set_current_line(1261, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1261, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB810; - goto LAB1; - -LAB810: xsi_set_current_line(1263, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1263, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB811; - goto LAB1; - -LAB811: xsi_set_current_line(1264, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1264, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB812; - goto LAB1; - -LAB812: xsi_set_current_line(1266, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1266, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB813; - goto LAB1; - -LAB813: xsi_set_current_line(1267, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1267, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB814; - goto LAB1; - -LAB814: xsi_set_current_line(1269, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1269, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB815; - goto LAB1; - -LAB815: xsi_set_current_line(1270, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1270, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB816; - goto LAB1; - -LAB816: xsi_set_current_line(1272, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1272, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB817; - goto LAB1; - -LAB817: xsi_set_current_line(1273, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1273, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB818; - goto LAB1; - -LAB818: xsi_set_current_line(1275, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1275, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB819; - goto LAB1; - -LAB819: xsi_set_current_line(1276, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1276, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB820; - goto LAB1; - -LAB820: xsi_set_current_line(1278, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1278, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB821; - goto LAB1; - -LAB821: xsi_set_current_line(1279, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1279, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB822; - goto LAB1; - -LAB822: xsi_set_current_line(1281, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1281, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB823; - goto LAB1; - -LAB823: xsi_set_current_line(1282, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1282, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB824; - goto LAB1; - -LAB824: xsi_set_current_line(1284, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1284, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB825; - goto LAB1; - -LAB825: xsi_set_current_line(1285, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1285, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB826; - goto LAB1; - -LAB826: xsi_set_current_line(1287, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1287, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB827; - goto LAB1; - -LAB827: xsi_set_current_line(1288, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1288, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB828; - goto LAB1; - -LAB828: xsi_set_current_line(1290, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1290, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB829; - goto LAB1; - -LAB829: xsi_set_current_line(1291, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1291, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB830; - goto LAB1; - -LAB830: xsi_set_current_line(1293, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1293, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB831; - goto LAB1; - -LAB831: xsi_set_current_line(1294, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1294, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB832; - goto LAB1; - -LAB832: xsi_set_current_line(1296, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1296, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB833; - goto LAB1; - -LAB833: xsi_set_current_line(1297, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1297, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB834; - goto LAB1; - -LAB834: xsi_set_current_line(1299, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1299, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB835; - goto LAB1; - -LAB835: xsi_set_current_line(1300, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1300, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB836; - goto LAB1; - -LAB836: xsi_set_current_line(1302, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1302, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB837; - goto LAB1; - -LAB837: xsi_set_current_line(1303, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1303, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB838; - goto LAB1; - -LAB838: xsi_set_current_line(1305, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1305, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB839; - goto LAB1; - -LAB839: xsi_set_current_line(1306, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1306, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB840; - goto LAB1; - -LAB840: xsi_set_current_line(1308, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1308, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB841; - goto LAB1; - -LAB841: xsi_set_current_line(1309, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1309, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB842; - goto LAB1; - -LAB842: xsi_set_current_line(1311, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1311, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB843; - goto LAB1; - -LAB843: xsi_set_current_line(1312, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1312, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB844; - goto LAB1; - -LAB844: xsi_set_current_line(1314, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1314, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB845; - goto LAB1; - -LAB845: xsi_set_current_line(1315, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1315, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB846; - goto LAB1; - -LAB846: xsi_set_current_line(1317, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1317, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB847; - goto LAB1; - -LAB847: xsi_set_current_line(1318, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1318, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB848; - goto LAB1; - -LAB848: xsi_set_current_line(1320, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1320, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB849; - goto LAB1; - -LAB849: xsi_set_current_line(1321, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1321, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB850; - goto LAB1; - -LAB850: xsi_set_current_line(1323, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1323, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB851; - goto LAB1; - -LAB851: xsi_set_current_line(1324, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1324, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB852; - goto LAB1; - -LAB852: xsi_set_current_line(1326, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1326, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB853; - goto LAB1; - -LAB853: xsi_set_current_line(1327, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1327, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB854; - goto LAB1; - -LAB854: xsi_set_current_line(1329, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1329, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB855; - goto LAB1; - -LAB855: xsi_set_current_line(1330, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1330, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB856; - goto LAB1; - -LAB856: xsi_set_current_line(1332, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1332, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB857; - goto LAB1; - -LAB857: xsi_set_current_line(1333, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1333, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB858; - goto LAB1; - -LAB858: xsi_set_current_line(1335, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1335, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB859; - goto LAB1; - -LAB859: xsi_set_current_line(1336, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1336, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB860; - goto LAB1; - -LAB860: xsi_set_current_line(1338, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1338, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB861; - goto LAB1; - -LAB861: xsi_set_current_line(1339, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1339, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB862; - goto LAB1; - -LAB862: xsi_set_current_line(1341, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1341, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB863; - goto LAB1; - -LAB863: xsi_set_current_line(1342, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1342, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB864; - goto LAB1; - -LAB864: xsi_set_current_line(1344, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1344, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB865; - goto LAB1; - -LAB865: xsi_set_current_line(1345, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1345, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB866; - goto LAB1; - -LAB866: xsi_set_current_line(1347, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1347, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB867; - goto LAB1; - -LAB867: xsi_set_current_line(1348, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1348, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB868; - goto LAB1; - -LAB868: xsi_set_current_line(1350, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1350, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB869; - goto LAB1; - -LAB869: xsi_set_current_line(1351, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1351, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB870; - goto LAB1; - -LAB870: xsi_set_current_line(1353, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1353, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB871; - goto LAB1; - -LAB871: xsi_set_current_line(1354, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1354, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB872; - goto LAB1; - -LAB872: xsi_set_current_line(1356, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1356, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB873; - goto LAB1; - -LAB873: xsi_set_current_line(1357, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1357, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB874; - goto LAB1; - -LAB874: xsi_set_current_line(1359, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1359, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB875; - goto LAB1; - -LAB875: xsi_set_current_line(1360, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1360, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB876; - goto LAB1; - -LAB876: xsi_set_current_line(1362, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1362, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB877; - goto LAB1; - -LAB877: xsi_set_current_line(1363, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1363, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB878; - goto LAB1; - -LAB878: xsi_set_current_line(1365, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1365, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB879; - goto LAB1; - -LAB879: xsi_set_current_line(1366, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1366, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB880; - goto LAB1; - -LAB880: xsi_set_current_line(1368, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1368, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB881; - goto LAB1; - -LAB881: xsi_set_current_line(1369, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1369, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB882; - goto LAB1; - -LAB882: xsi_set_current_line(1371, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1371, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB883; - goto LAB1; - -LAB883: xsi_set_current_line(1372, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1372, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB884; - goto LAB1; - -LAB884: xsi_set_current_line(1374, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1374, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB885; - goto LAB1; - -LAB885: xsi_set_current_line(1375, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1375, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB886; - goto LAB1; - -LAB886: xsi_set_current_line(1377, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1377, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB887; - goto LAB1; - -LAB887: xsi_set_current_line(1378, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1378, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB888; - goto LAB1; - -LAB888: xsi_set_current_line(1380, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1380, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB889; - goto LAB1; - -LAB889: xsi_set_current_line(1381, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1381, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB890; - goto LAB1; - -LAB890: xsi_set_current_line(1383, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1383, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB891; - goto LAB1; - -LAB891: xsi_set_current_line(1384, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1384, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB892; - goto LAB1; - -LAB892: xsi_set_current_line(1386, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1386, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB893; - goto LAB1; - -LAB893: xsi_set_current_line(1387, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1387, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB894; - goto LAB1; - -LAB894: xsi_set_current_line(1389, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1389, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB895; - goto LAB1; - -LAB895: xsi_set_current_line(1390, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1390, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB896; - goto LAB1; - -LAB896: xsi_set_current_line(1392, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1392, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB897; - goto LAB1; - -LAB897: xsi_set_current_line(1393, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1393, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB898; - goto LAB1; - -LAB898: xsi_set_current_line(1395, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1395, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB899; - goto LAB1; - -LAB899: xsi_set_current_line(1396, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1396, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB900; - goto LAB1; - -LAB900: xsi_set_current_line(1398, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1398, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB901; - goto LAB1; - -LAB901: xsi_set_current_line(1399, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1399, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB902; - goto LAB1; - -LAB902: xsi_set_current_line(1401, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1401, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB903; - goto LAB1; - -LAB903: xsi_set_current_line(1402, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1402, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB904; - goto LAB1; - -LAB904: xsi_set_current_line(1404, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1404, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB905; - goto LAB1; - -LAB905: xsi_set_current_line(1405, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1405, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB906; - goto LAB1; - -LAB906: xsi_set_current_line(1407, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1407, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB907; - goto LAB1; - -LAB907: xsi_set_current_line(1408, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1408, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB908; - goto LAB1; - -LAB908: xsi_set_current_line(1410, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1410, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB909; - goto LAB1; - -LAB909: xsi_set_current_line(1411, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1411, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB910; - goto LAB1; - -LAB910: xsi_set_current_line(1413, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1413, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB911; - goto LAB1; - -LAB911: xsi_set_current_line(1414, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1414, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB912; - goto LAB1; - -LAB912: xsi_set_current_line(1416, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1416, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB913; - goto LAB1; - -LAB913: xsi_set_current_line(1417, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1417, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB914; - goto LAB1; - -LAB914: xsi_set_current_line(1419, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1419, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB915; - goto LAB1; - -LAB915: xsi_set_current_line(1420, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1420, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB916; - goto LAB1; - -LAB916: xsi_set_current_line(1422, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1422, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB917; - goto LAB1; - -LAB917: xsi_set_current_line(1423, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1423, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB918; - goto LAB1; - -LAB918: xsi_set_current_line(1425, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1425, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB919; - goto LAB1; - -LAB919: xsi_set_current_line(1426, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1426, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB920; - goto LAB1; - -LAB920: xsi_set_current_line(1428, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1428, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB921; - goto LAB1; - -LAB921: xsi_set_current_line(1429, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1429, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB922; - goto LAB1; - -LAB922: xsi_set_current_line(1431, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1431, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB923; - goto LAB1; - -LAB923: xsi_set_current_line(1432, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1432, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB924; - goto LAB1; - -LAB924: xsi_set_current_line(1434, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1434, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB925; - goto LAB1; - -LAB925: xsi_set_current_line(1435, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1435, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB926; - goto LAB1; - -LAB926: xsi_set_current_line(1437, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1437, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB927; - goto LAB1; - -LAB927: xsi_set_current_line(1438, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1438, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB928; - goto LAB1; - -LAB928: xsi_set_current_line(1440, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1440, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB929; - goto LAB1; - -LAB929: xsi_set_current_line(1441, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1441, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB930; - goto LAB1; - -LAB930: xsi_set_current_line(1443, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1443, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB931; - goto LAB1; - -LAB931: xsi_set_current_line(1444, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1444, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB932; - goto LAB1; - -LAB932: xsi_set_current_line(1446, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1446, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB933; - goto LAB1; - -LAB933: xsi_set_current_line(1447, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1447, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB934; - goto LAB1; - -LAB934: xsi_set_current_line(1449, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1449, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB935; - goto LAB1; - -LAB935: xsi_set_current_line(1450, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1450, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB936; - goto LAB1; - -LAB936: xsi_set_current_line(1452, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1452, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB937; - goto LAB1; - -LAB937: xsi_set_current_line(1453, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1453, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB938; - goto LAB1; - -LAB938: xsi_set_current_line(1455, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1455, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB939; - goto LAB1; - -LAB939: xsi_set_current_line(1456, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1456, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB940; - goto LAB1; - -LAB940: xsi_set_current_line(1458, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1458, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB941; - goto LAB1; - -LAB941: xsi_set_current_line(1459, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1459, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB942; - goto LAB1; - -LAB942: xsi_set_current_line(1461, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1461, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB943; - goto LAB1; - -LAB943: xsi_set_current_line(1462, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1462, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB944; - goto LAB1; - -LAB944: xsi_set_current_line(1464, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1464, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB945; - goto LAB1; - -LAB945: xsi_set_current_line(1465, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1465, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB946; - goto LAB1; - -LAB946: xsi_set_current_line(1467, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1467, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB947; - goto LAB1; - -LAB947: xsi_set_current_line(1468, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1468, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB948; - goto LAB1; - -LAB948: xsi_set_current_line(1470, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1470, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB949; - goto LAB1; - -LAB949: xsi_set_current_line(1471, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1471, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB950; - goto LAB1; - -LAB950: xsi_set_current_line(1473, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1473, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB951; - goto LAB1; - -LAB951: xsi_set_current_line(1474, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1474, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB952; - goto LAB1; - -LAB952: xsi_set_current_line(1476, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1476, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB953; - goto LAB1; - -LAB953: xsi_set_current_line(1477, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1477, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB954; - goto LAB1; - -LAB954: xsi_set_current_line(1479, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1479, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB955; - goto LAB1; - -LAB955: xsi_set_current_line(1480, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1480, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB956; - goto LAB1; - -LAB956: xsi_set_current_line(1482, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1482, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB957; - goto LAB1; - -LAB957: xsi_set_current_line(1483, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1483, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB958; - goto LAB1; - -LAB958: xsi_set_current_line(1485, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1485, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB959; - goto LAB1; - -LAB959: xsi_set_current_line(1486, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1486, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB960; - goto LAB1; - -LAB960: xsi_set_current_line(1488, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1488, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB961; - goto LAB1; - -LAB961: xsi_set_current_line(1489, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1489, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB962; - goto LAB1; - -LAB962: xsi_set_current_line(1491, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1491, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB963; - goto LAB1; - -LAB963: xsi_set_current_line(1492, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1492, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB964; - goto LAB1; - -LAB964: xsi_set_current_line(1494, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1494, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB965; - goto LAB1; - -LAB965: xsi_set_current_line(1495, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1495, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB966; - goto LAB1; - -LAB966: xsi_set_current_line(1497, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1497, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB967; - goto LAB1; - -LAB967: xsi_set_current_line(1498, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1498, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB968; - goto LAB1; - -LAB968: xsi_set_current_line(1500, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1500, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB969; - goto LAB1; - -LAB969: xsi_set_current_line(1501, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1501, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB970; - goto LAB1; - -LAB970: xsi_set_current_line(1503, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1503, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB971; - goto LAB1; - -LAB971: xsi_set_current_line(1504, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1504, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB972; - goto LAB1; - -LAB972: xsi_set_current_line(1506, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1506, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB973; - goto LAB1; - -LAB973: xsi_set_current_line(1507, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1507, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB974; - goto LAB1; - -LAB974: xsi_set_current_line(1509, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1509, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB975; - goto LAB1; - -LAB975: xsi_set_current_line(1510, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1510, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB976; - goto LAB1; - -LAB976: xsi_set_current_line(1512, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1512, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB977; - goto LAB1; - -LAB977: xsi_set_current_line(1513, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1513, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB978; - goto LAB1; - -LAB978: xsi_set_current_line(1515, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1515, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB979; - goto LAB1; - -LAB979: xsi_set_current_line(1516, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1516, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB980; - goto LAB1; - -LAB980: xsi_set_current_line(1518, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1518, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB981; - goto LAB1; - -LAB981: xsi_set_current_line(1519, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1519, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB982; - goto LAB1; - -LAB982: xsi_set_current_line(1521, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1521, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB983; - goto LAB1; - -LAB983: xsi_set_current_line(1522, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1522, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB984; - goto LAB1; - -LAB984: xsi_set_current_line(1524, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1524, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB985; - goto LAB1; - -LAB985: xsi_set_current_line(1525, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1525, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB986; - goto LAB1; - -LAB986: xsi_set_current_line(1527, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1527, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB987; - goto LAB1; - -LAB987: xsi_set_current_line(1528, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1528, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB988; - goto LAB1; - -LAB988: xsi_set_current_line(1530, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1530, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB989; - goto LAB1; - -LAB989: xsi_set_current_line(1531, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1531, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB990; - goto LAB1; - -LAB990: xsi_set_current_line(1533, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1533, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB991; - goto LAB1; - -LAB991: xsi_set_current_line(1534, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1534, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB992; - goto LAB1; - -LAB992: xsi_set_current_line(1536, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1536, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB993; - goto LAB1; - -LAB993: xsi_set_current_line(1537, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1537, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB994; - goto LAB1; - -LAB994: xsi_set_current_line(1539, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1539, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB995; - goto LAB1; - -LAB995: xsi_set_current_line(1540, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1540, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB996; - goto LAB1; - -LAB996: xsi_set_current_line(1542, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1542, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB997; - goto LAB1; - -LAB997: xsi_set_current_line(1543, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1543, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB998; - goto LAB1; - -LAB998: xsi_set_current_line(1545, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1545, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB999; - goto LAB1; - -LAB999: xsi_set_current_line(1546, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1546, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1000; - goto LAB1; - -LAB1000: xsi_set_current_line(1548, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1548, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1001; - goto LAB1; - -LAB1001: xsi_set_current_line(1549, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1549, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1002; - goto LAB1; - -LAB1002: xsi_set_current_line(1551, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1551, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1003; - goto LAB1; - -LAB1003: xsi_set_current_line(1552, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1552, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1004; - goto LAB1; - -LAB1004: xsi_set_current_line(1554, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1554, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1005; - goto LAB1; - -LAB1005: xsi_set_current_line(1555, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1555, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1006; - goto LAB1; - -LAB1006: xsi_set_current_line(1557, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1557, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1007; - goto LAB1; - -LAB1007: xsi_set_current_line(1558, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1558, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1008; - goto LAB1; - -LAB1008: xsi_set_current_line(1560, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1560, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1009; - goto LAB1; - -LAB1009: xsi_set_current_line(1561, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1561, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1010; - goto LAB1; - -LAB1010: xsi_set_current_line(1563, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1563, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1011; - goto LAB1; - -LAB1011: xsi_set_current_line(1564, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1564, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1012; - goto LAB1; - -LAB1012: xsi_set_current_line(1566, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1566, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1013; - goto LAB1; - -LAB1013: xsi_set_current_line(1567, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1567, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1014; - goto LAB1; - -LAB1014: xsi_set_current_line(1569, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1569, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1015; - goto LAB1; - -LAB1015: xsi_set_current_line(1570, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1570, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1016; - goto LAB1; - -LAB1016: xsi_set_current_line(1572, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1572, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1017; - goto LAB1; - -LAB1017: xsi_set_current_line(1573, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1573, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1018; - goto LAB1; - -LAB1018: xsi_set_current_line(1575, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1575, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1019; - goto LAB1; - -LAB1019: xsi_set_current_line(1576, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1576, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1020; - goto LAB1; - -LAB1020: xsi_set_current_line(1578, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1578, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1021; - goto LAB1; - -LAB1021: xsi_set_current_line(1579, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1579, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1022; - goto LAB1; - -LAB1022: xsi_set_current_line(1581, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1581, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1023; - goto LAB1; - -LAB1023: xsi_set_current_line(1582, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1582, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1024; - goto LAB1; - -LAB1024: xsi_set_current_line(1584, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1584, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1025; - goto LAB1; - -LAB1025: xsi_set_current_line(1585, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1585, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1026; - goto LAB1; - -LAB1026: xsi_set_current_line(1587, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1587, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1027; - goto LAB1; - -LAB1027: xsi_set_current_line(1588, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1588, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1028; - goto LAB1; - -LAB1028: xsi_set_current_line(1590, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1590, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1029; - goto LAB1; - -LAB1029: xsi_set_current_line(1591, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1591, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1030; - goto LAB1; - -LAB1030: xsi_set_current_line(1593, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1593, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1031; - goto LAB1; - -LAB1031: xsi_set_current_line(1594, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1594, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1032; - goto LAB1; - -LAB1032: xsi_set_current_line(1596, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1596, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1033; - goto LAB1; - -LAB1033: xsi_set_current_line(1597, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1597, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1034; - goto LAB1; - -LAB1034: xsi_set_current_line(1599, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1599, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1035; - goto LAB1; - -LAB1035: xsi_set_current_line(1600, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1600, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1036; - goto LAB1; - -LAB1036: xsi_set_current_line(1602, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1602, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1037; - goto LAB1; - -LAB1037: xsi_set_current_line(1603, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1603, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1038; - goto LAB1; - -LAB1038: xsi_set_current_line(1605, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1605, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1039; - goto LAB1; - -LAB1039: xsi_set_current_line(1606, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1606, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1040; - goto LAB1; - -LAB1040: xsi_set_current_line(1608, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1608, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1041; - goto LAB1; - -LAB1041: xsi_set_current_line(1609, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1609, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1042; - goto LAB1; - -LAB1042: xsi_set_current_line(1611, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1611, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1043; - goto LAB1; - -LAB1043: xsi_set_current_line(1612, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1612, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1044; - goto LAB1; - -LAB1044: xsi_set_current_line(1614, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1614, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1045; - goto LAB1; - -LAB1045: xsi_set_current_line(1615, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1615, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1046; - goto LAB1; - -LAB1046: xsi_set_current_line(1617, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1617, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1047; - goto LAB1; - -LAB1047: xsi_set_current_line(1618, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1618, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1048; - goto LAB1; - -LAB1048: xsi_set_current_line(1620, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1620, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1049; - goto LAB1; - -LAB1049: xsi_set_current_line(1621, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1621, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1050; - goto LAB1; - -LAB1050: xsi_set_current_line(1623, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1623, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1051; - goto LAB1; - -LAB1051: xsi_set_current_line(1624, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1624, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1052; - goto LAB1; - -LAB1052: xsi_set_current_line(1626, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1626, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1053; - goto LAB1; - -LAB1053: xsi_set_current_line(1627, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1627, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1054; - goto LAB1; - -LAB1054: xsi_set_current_line(1629, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1629, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1055; - goto LAB1; - -LAB1055: xsi_set_current_line(1630, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1630, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1056; - goto LAB1; - -LAB1056: xsi_set_current_line(1632, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1632, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1057; - goto LAB1; - -LAB1057: xsi_set_current_line(1633, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1633, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1058; - goto LAB1; - -LAB1058: xsi_set_current_line(1635, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1635, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1059; - goto LAB1; - -LAB1059: xsi_set_current_line(1636, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1636, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1060; - goto LAB1; - -LAB1060: xsi_set_current_line(1638, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1638, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1061; - goto LAB1; - -LAB1061: xsi_set_current_line(1639, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1639, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1062; - goto LAB1; - -LAB1062: xsi_set_current_line(1641, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1641, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1063; - goto LAB1; - -LAB1063: xsi_set_current_line(1642, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1642, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1064; - goto LAB1; - -LAB1064: xsi_set_current_line(1644, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1644, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1065; - goto LAB1; - -LAB1065: xsi_set_current_line(1645, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1645, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1066; - goto LAB1; - -LAB1066: xsi_set_current_line(1647, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1647, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1067; - goto LAB1; - -LAB1067: xsi_set_current_line(1648, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1648, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1068; - goto LAB1; - -LAB1068: xsi_set_current_line(1650, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1650, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1069; - goto LAB1; - -LAB1069: xsi_set_current_line(1651, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1651, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1070; - goto LAB1; - -LAB1070: xsi_set_current_line(1653, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1653, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1071; - goto LAB1; - -LAB1071: xsi_set_current_line(1654, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1654, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1072; - goto LAB1; - -LAB1072: xsi_set_current_line(1656, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1656, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1073; - goto LAB1; - -LAB1073: xsi_set_current_line(1657, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1657, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1074; - goto LAB1; - -LAB1074: xsi_set_current_line(1659, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1659, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1075; - goto LAB1; - -LAB1075: xsi_set_current_line(1660, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1660, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1076; - goto LAB1; - -LAB1076: xsi_set_current_line(1662, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1662, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1077; - goto LAB1; - -LAB1077: xsi_set_current_line(1663, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1663, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1078; - goto LAB1; - -LAB1078: xsi_set_current_line(1665, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1665, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1079; - goto LAB1; - -LAB1079: xsi_set_current_line(1666, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1666, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1080; - goto LAB1; - -LAB1080: xsi_set_current_line(1668, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1668, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1081; - goto LAB1; - -LAB1081: xsi_set_current_line(1669, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1669, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1082; - goto LAB1; - -LAB1082: xsi_set_current_line(1671, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1671, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1083; - goto LAB1; - -LAB1083: xsi_set_current_line(1672, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1672, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1084; - goto LAB1; - -LAB1084: xsi_set_current_line(1674, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1674, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1085; - goto LAB1; - -LAB1085: xsi_set_current_line(1675, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1675, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1086; - goto LAB1; - -LAB1086: xsi_set_current_line(1677, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1677, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1087; - goto LAB1; - -LAB1087: xsi_set_current_line(1678, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1678, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1088; - goto LAB1; - -LAB1088: xsi_set_current_line(1680, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1680, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1089; - goto LAB1; - -LAB1089: xsi_set_current_line(1681, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1681, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1090; - goto LAB1; - -LAB1090: xsi_set_current_line(1683, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1683, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1091; - goto LAB1; - -LAB1091: xsi_set_current_line(1684, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1684, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1092; - goto LAB1; - -LAB1092: xsi_set_current_line(1686, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1686, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1093; - goto LAB1; - -LAB1093: xsi_set_current_line(1687, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1687, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1094; - goto LAB1; - -LAB1094: xsi_set_current_line(1689, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1689, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1095; - goto LAB1; - -LAB1095: xsi_set_current_line(1690, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1690, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1096; - goto LAB1; - -LAB1096: xsi_set_current_line(1692, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1692, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1097; - goto LAB1; - -LAB1097: xsi_set_current_line(1693, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1693, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1098; - goto LAB1; - -LAB1098: xsi_set_current_line(1695, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1695, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1099; - goto LAB1; - -LAB1099: xsi_set_current_line(1696, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1696, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1100; - goto LAB1; - -LAB1100: xsi_set_current_line(1698, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1698, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1101; - goto LAB1; - -LAB1101: xsi_set_current_line(1699, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1699, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1102; - goto LAB1; - -LAB1102: xsi_set_current_line(1701, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1701, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1103; - goto LAB1; - -LAB1103: xsi_set_current_line(1702, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1702, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1104; - goto LAB1; - -LAB1104: xsi_set_current_line(1704, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1704, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1105; - goto LAB1; - -LAB1105: xsi_set_current_line(1705, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1705, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1106; - goto LAB1; - -LAB1106: xsi_set_current_line(1707, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1707, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1107; - goto LAB1; - -LAB1107: xsi_set_current_line(1708, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1708, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1108; - goto LAB1; - -LAB1108: xsi_set_current_line(1710, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1710, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1109; - goto LAB1; - -LAB1109: xsi_set_current_line(1711, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1711, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1110; - goto LAB1; - -LAB1110: xsi_set_current_line(1713, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1713, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1111; - goto LAB1; - -LAB1111: xsi_set_current_line(1714, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1714, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1112; - goto LAB1; - -LAB1112: xsi_set_current_line(1716, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1716, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1113; - goto LAB1; - -LAB1113: xsi_set_current_line(1717, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1717, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1114; - goto LAB1; - -LAB1114: xsi_set_current_line(1719, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1719, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1115; - goto LAB1; - -LAB1115: xsi_set_current_line(1720, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1720, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1116; - goto LAB1; - -LAB1116: xsi_set_current_line(1722, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1722, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1117; - goto LAB1; - -LAB1117: xsi_set_current_line(1723, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1723, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1118; - goto LAB1; - -LAB1118: xsi_set_current_line(1725, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1725, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1119; - goto LAB1; - -LAB1119: xsi_set_current_line(1726, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1726, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1120; - goto LAB1; - -LAB1120: xsi_set_current_line(1728, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1728, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1121; - goto LAB1; - -LAB1121: xsi_set_current_line(1729, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1729, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1122; - goto LAB1; - -LAB1122: xsi_set_current_line(1731, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1731, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1123; - goto LAB1; - -LAB1123: xsi_set_current_line(1732, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1732, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1124; - goto LAB1; - -LAB1124: xsi_set_current_line(1734, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1734, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1125; - goto LAB1; - -LAB1125: xsi_set_current_line(1735, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1735, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1126; - goto LAB1; - -LAB1126: xsi_set_current_line(1737, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1737, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1127; - goto LAB1; - -LAB1127: xsi_set_current_line(1738, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1738, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1128; - goto LAB1; - -LAB1128: xsi_set_current_line(1740, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1740, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1129; - goto LAB1; - -LAB1129: xsi_set_current_line(1741, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1741, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1130; - goto LAB1; - -LAB1130: xsi_set_current_line(1743, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1743, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1131; - goto LAB1; - -LAB1131: xsi_set_current_line(1744, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1744, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1132; - goto LAB1; - -LAB1132: xsi_set_current_line(1746, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1746, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1133; - goto LAB1; - -LAB1133: xsi_set_current_line(1747, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1747, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1134; - goto LAB1; - -LAB1134: xsi_set_current_line(1749, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1749, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1135; - goto LAB1; - -LAB1135: xsi_set_current_line(1750, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1750, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1136; - goto LAB1; - -LAB1136: xsi_set_current_line(1752, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1752, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1137; - goto LAB1; - -LAB1137: xsi_set_current_line(1753, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1753, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1138; - goto LAB1; - -LAB1138: xsi_set_current_line(1755, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1755, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1139; - goto LAB1; - -LAB1139: xsi_set_current_line(1756, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1756, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1140; - goto LAB1; - -LAB1140: xsi_set_current_line(1758, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1758, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1141; - goto LAB1; - -LAB1141: xsi_set_current_line(1759, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1759, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1142; - goto LAB1; - -LAB1142: xsi_set_current_line(1759, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1761, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1761, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1143; - goto LAB1; - -LAB1143: xsi_set_current_line(1762, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1762, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1144; - goto LAB1; - -LAB1144: xsi_set_current_line(1764, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1764, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1145; - goto LAB1; - -LAB1145: xsi_set_current_line(1765, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1765, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1146; - goto LAB1; - -LAB1146: xsi_set_current_line(1765, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1767, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1767, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1147; - goto LAB1; - -LAB1147: xsi_set_current_line(1768, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1768, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1148; - goto LAB1; - -LAB1148: xsi_set_current_line(1770, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1770, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1149; - goto LAB1; - -LAB1149: xsi_set_current_line(1771, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1771, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1150; - goto LAB1; - -LAB1150: xsi_set_current_line(1773, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1773, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1151; - goto LAB1; - -LAB1151: xsi_set_current_line(1774, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1774, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1152; - goto LAB1; - -LAB1152: xsi_set_current_line(1776, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1776, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1153; - goto LAB1; - -LAB1153: xsi_set_current_line(1777, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1777, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1154; - goto LAB1; - -LAB1154: xsi_set_current_line(1779, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1779, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1155; - goto LAB1; - -LAB1155: xsi_set_current_line(1780, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1780, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1156; - goto LAB1; - -LAB1156: xsi_set_current_line(1782, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1782, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1157; - goto LAB1; - -LAB1157: xsi_set_current_line(1783, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1783, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1158; - goto LAB1; - -LAB1158: xsi_set_current_line(1785, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1785, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1159; - goto LAB1; - -LAB1159: xsi_set_current_line(1786, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1786, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1160; - goto LAB1; - -LAB1160: xsi_set_current_line(1788, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1788, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1161; - goto LAB1; - -LAB1161: xsi_set_current_line(1789, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1789, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1162; - goto LAB1; - -LAB1162: xsi_set_current_line(1791, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1791, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1163; - goto LAB1; - -LAB1163: xsi_set_current_line(1792, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1792, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1164; - goto LAB1; - -LAB1164: xsi_set_current_line(1794, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1794, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1165; - goto LAB1; - -LAB1165: xsi_set_current_line(1795, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1795, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1166; - goto LAB1; - -LAB1166: xsi_set_current_line(1797, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1797, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1167; - goto LAB1; - -LAB1167: xsi_set_current_line(1798, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1798, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1168; - goto LAB1; - -LAB1168: xsi_set_current_line(1800, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1800, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1169; - goto LAB1; - -LAB1169: xsi_set_current_line(1801, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1801, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1170; - goto LAB1; - -LAB1170: xsi_set_current_line(1803, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1803, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1171; - goto LAB1; - -LAB1171: xsi_set_current_line(1804, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1804, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1172; - goto LAB1; - -LAB1172: xsi_set_current_line(1806, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1806, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1173; - goto LAB1; - -LAB1173: xsi_set_current_line(1807, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1807, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1174; - goto LAB1; - -LAB1174: xsi_set_current_line(1809, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1809, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1175; - goto LAB1; - -LAB1175: xsi_set_current_line(1810, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1810, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1176; - goto LAB1; - -LAB1176: xsi_set_current_line(1812, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1812, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1177; - goto LAB1; - -LAB1177: xsi_set_current_line(1813, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1813, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1178; - goto LAB1; - -LAB1178: xsi_set_current_line(1815, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1815, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1179; - goto LAB1; - -LAB1179: xsi_set_current_line(1816, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1816, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1180; - goto LAB1; - -LAB1180: xsi_set_current_line(1818, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1818, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1181; - goto LAB1; - -LAB1181: xsi_set_current_line(1819, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1819, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1182; - goto LAB1; - -LAB1182: xsi_set_current_line(1821, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1821, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1183; - goto LAB1; - -LAB1183: xsi_set_current_line(1822, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1822, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1184; - goto LAB1; - -LAB1184: xsi_set_current_line(1824, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1824, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1185; - goto LAB1; - -LAB1185: xsi_set_current_line(1825, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1825, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1186; - goto LAB1; - -LAB1186: xsi_set_current_line(1827, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1827, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1187; - goto LAB1; - -LAB1187: xsi_set_current_line(1828, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1828, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1188; - goto LAB1; - -LAB1188: xsi_set_current_line(1830, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1830, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1189; - goto LAB1; - -LAB1189: xsi_set_current_line(1831, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1831, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1190; - goto LAB1; - -LAB1190: xsi_set_current_line(1833, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1833, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1191; - goto LAB1; - -LAB1191: xsi_set_current_line(1834, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1834, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1192; - goto LAB1; - -LAB1192: xsi_set_current_line(1836, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1836, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1193; - goto LAB1; - -LAB1193: xsi_set_current_line(1837, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1837, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1194; - goto LAB1; - -LAB1194: xsi_set_current_line(1839, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1839, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1195; - goto LAB1; - -LAB1195: xsi_set_current_line(1840, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1840, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1196; - goto LAB1; - -LAB1196: xsi_set_current_line(1842, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1842, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1197; - goto LAB1; - -LAB1197: xsi_set_current_line(1843, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1843, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1198; - goto LAB1; - -LAB1198: xsi_set_current_line(1845, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1845, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1199; - goto LAB1; - -LAB1199: xsi_set_current_line(1846, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1846, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1200; - goto LAB1; - -LAB1200: xsi_set_current_line(1848, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1848, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1201; - goto LAB1; - -LAB1201: xsi_set_current_line(1849, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1849, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1202; - goto LAB1; - -LAB1202: xsi_set_current_line(1851, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1851, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1203; - goto LAB1; - -LAB1203: xsi_set_current_line(1852, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1852, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1204; - goto LAB1; - -LAB1204: xsi_set_current_line(1854, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1854, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1205; - goto LAB1; - -LAB1205: xsi_set_current_line(1855, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1855, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1206; - goto LAB1; - -LAB1206: xsi_set_current_line(1857, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1857, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1207; - goto LAB1; - -LAB1207: xsi_set_current_line(1858, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1858, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1208; - goto LAB1; - -LAB1208: xsi_set_current_line(1860, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1860, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1209; - goto LAB1; - -LAB1209: xsi_set_current_line(1861, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1861, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1210; - goto LAB1; - -LAB1210: xsi_set_current_line(1863, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1863, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1211; - goto LAB1; - -LAB1211: xsi_set_current_line(1864, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1864, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1212; - goto LAB1; - -LAB1212: xsi_set_current_line(1866, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1866, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1213; - goto LAB1; - -LAB1213: xsi_set_current_line(1867, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1867, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1214; - goto LAB1; - -LAB1214: xsi_set_current_line(1869, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1869, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1215; - goto LAB1; - -LAB1215: xsi_set_current_line(1870, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1870, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1216; - goto LAB1; - -LAB1216: xsi_set_current_line(1872, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1872, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1217; - goto LAB1; - -LAB1217: xsi_set_current_line(1873, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1873, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1218; - goto LAB1; - -LAB1218: xsi_set_current_line(1875, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1875, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1219; - goto LAB1; - -LAB1219: xsi_set_current_line(1876, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1876, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1220; - goto LAB1; - -LAB1220: xsi_set_current_line(1878, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1878, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1221; - goto LAB1; - -LAB1221: xsi_set_current_line(1879, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1879, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1222; - goto LAB1; - -LAB1222: xsi_set_current_line(1881, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1881, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1223; - goto LAB1; - -LAB1223: xsi_set_current_line(1882, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1882, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1224; - goto LAB1; - -LAB1224: xsi_set_current_line(1884, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1884, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1225; - goto LAB1; - -LAB1225: xsi_set_current_line(1885, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1885, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1226; - goto LAB1; - -LAB1226: xsi_set_current_line(1887, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1887, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1227; - goto LAB1; - -LAB1227: xsi_set_current_line(1888, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1888, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1228; - goto LAB1; - -LAB1228: xsi_set_current_line(1890, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1890, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1229; - goto LAB1; - -LAB1229: xsi_set_current_line(1891, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1891, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1230; - goto LAB1; - -LAB1230: xsi_set_current_line(1893, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1893, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1231; - goto LAB1; - -LAB1231: xsi_set_current_line(1894, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1894, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1232; - goto LAB1; - -LAB1232: xsi_set_current_line(1896, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1896, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1233; - goto LAB1; - -LAB1233: xsi_set_current_line(1897, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1897, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1234; - goto LAB1; - -LAB1234: xsi_set_current_line(1899, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1899, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1235; - goto LAB1; - -LAB1235: xsi_set_current_line(1900, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1900, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1236; - goto LAB1; - -LAB1236: xsi_set_current_line(1902, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1902, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1237; - goto LAB1; - -LAB1237: xsi_set_current_line(1903, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1903, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1238; - goto LAB1; - -LAB1238: xsi_set_current_line(1905, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1905, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1239; - goto LAB1; - -LAB1239: xsi_set_current_line(1906, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1906, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1240; - goto LAB1; - -LAB1240: xsi_set_current_line(1908, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1908, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1241; - goto LAB1; - -LAB1241: xsi_set_current_line(1909, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1909, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1242; - goto LAB1; - -LAB1242: xsi_set_current_line(1911, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1911, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1243; - goto LAB1; - -LAB1243: xsi_set_current_line(1912, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1912, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1244; - goto LAB1; - -LAB1244: xsi_set_current_line(1914, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1914, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1245; - goto LAB1; - -LAB1245: xsi_set_current_line(1915, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1915, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1246; - goto LAB1; - -LAB1246: xsi_set_current_line(1917, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1917, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1247; - goto LAB1; - -LAB1247: xsi_set_current_line(1918, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1918, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1248; - goto LAB1; - -LAB1248: xsi_set_current_line(1920, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1920, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1249; - goto LAB1; - -LAB1249: xsi_set_current_line(1921, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1921, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1250; - goto LAB1; - -LAB1250: xsi_set_current_line(1923, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1923, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1251; - goto LAB1; - -LAB1251: xsi_set_current_line(1924, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1924, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1252; - goto LAB1; - -LAB1252: xsi_set_current_line(1926, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1926, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1253; - goto LAB1; - -LAB1253: xsi_set_current_line(1927, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1927, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1254; - goto LAB1; - -LAB1254: xsi_set_current_line(1929, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1929, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1255; - goto LAB1; - -LAB1255: xsi_set_current_line(1930, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1930, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1256; - goto LAB1; - -LAB1256: xsi_set_current_line(1932, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1932, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1257; - goto LAB1; - -LAB1257: xsi_set_current_line(1933, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1933, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1258; - goto LAB1; - -LAB1258: xsi_set_current_line(1935, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1935, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1259; - goto LAB1; - -LAB1259: xsi_set_current_line(1936, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1936, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1260; - goto LAB1; - -LAB1260: xsi_set_current_line(1938, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1938, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1261; - goto LAB1; - -LAB1261: xsi_set_current_line(1939, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1939, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1262; - goto LAB1; - -LAB1262: xsi_set_current_line(1941, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1941, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1263; - goto LAB1; - -LAB1263: xsi_set_current_line(1942, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1942, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1264; - goto LAB1; - -LAB1264: xsi_set_current_line(1944, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1944, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1265; - goto LAB1; - -LAB1265: xsi_set_current_line(1945, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1945, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1266; - goto LAB1; - -LAB1266: xsi_set_current_line(1947, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1947, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1267; - goto LAB1; - -LAB1267: xsi_set_current_line(1948, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1948, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1268; - goto LAB1; - -LAB1268: xsi_set_current_line(1950, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1950, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1269; - goto LAB1; - -LAB1269: xsi_set_current_line(1951, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1951, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1270; - goto LAB1; - -LAB1270: xsi_set_current_line(1953, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1953, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1271; - goto LAB1; - -LAB1271: xsi_set_current_line(1954, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1954, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1272; - goto LAB1; - -LAB1272: xsi_set_current_line(1956, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1956, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1273; - goto LAB1; - -LAB1273: xsi_set_current_line(1957, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1957, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1274; - goto LAB1; - -LAB1274: xsi_set_current_line(1959, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1959, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1275; - goto LAB1; - -LAB1275: xsi_set_current_line(1960, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1960, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1276; - goto LAB1; - -LAB1276: xsi_set_current_line(1962, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1962, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1277; - goto LAB1; - -LAB1277: xsi_set_current_line(1963, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1963, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1278; - goto LAB1; - -LAB1278: xsi_set_current_line(1965, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1965, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1279; - goto LAB1; - -LAB1279: xsi_set_current_line(1966, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1966, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1280; - goto LAB1; - -LAB1280: xsi_set_current_line(1968, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1968, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1281; - goto LAB1; - -LAB1281: xsi_set_current_line(1969, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1969, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1282; - goto LAB1; - -LAB1282: xsi_set_current_line(1971, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1971, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1283; - goto LAB1; - -LAB1283: xsi_set_current_line(1972, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1972, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1284; - goto LAB1; - -LAB1284: xsi_set_current_line(1974, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1974, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1285; - goto LAB1; - -LAB1285: xsi_set_current_line(1975, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1975, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1286; - goto LAB1; - -LAB1286: xsi_set_current_line(1977, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1977, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1287; - goto LAB1; - -LAB1287: xsi_set_current_line(1978, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1978, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1288; - goto LAB1; - -LAB1288: xsi_set_current_line(1980, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1980, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1289; - goto LAB1; - -LAB1289: xsi_set_current_line(1981, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1981, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1290; - goto LAB1; - -LAB1290: xsi_set_current_line(1983, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1983, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1291; - goto LAB1; - -LAB1291: xsi_set_current_line(1984, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1984, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1292; - goto LAB1; - -LAB1292: xsi_set_current_line(1986, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1986, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1293; - goto LAB1; - -LAB1293: xsi_set_current_line(1987, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1987, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1294; - goto LAB1; - -LAB1294: goto LAB1; - -} - - -extern void work_m_00000000001129268806_2975551742_init() -{ - static char *pe[] = {(void *)Initial_49_0}; - xsi_register_didat("work_m_00000000001129268806_2975551742", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat deleted file mode 100644 index 7a427ce3e543c683a1332f39ddc2d2bc05e25fe1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8760 zcmeI%d7MsV-vIEtWJyYtw2`fpFpG6ima%VT%TgMQ!PuD@YebPHLSz?-QY5=lN~yGH zFH0LrX(OVPisyT2?&mXk>v?*gr@!8}-{TXG!qCB%A!u)59QJ0hBQQ&yJ&=bU@A_grsZTBEq4mP*^ie0t5lbheM0)LB09$J zwFz~3!%2zScCxl@@N4nOZM6LN((osXo`04ue=3`()d>;iBjmz1T6(RmIF^teIe2!M>6I;BxqpDq5EV-!ARjK6pPf6PEwv(X6outuX1EgyDDd361S z`akM(+bMmr9w+pR9wVe-Kg1ZamS;UqsLxs#_Cwr7*7C6Sqwz=QHdBK?>J$2At&5H| z|CI9Ry)jzM|mnzi3F-pjqu!8of_04kvi0+KHtxeE|!(pT#^txb_}mDa%;SqlD{e6>C__dLCl~Pq2}v z*u*nz<~d$q3or39;Zf*SUgr(oB3!5MvW*?=IzOAusvJ&-oOfAQy5mmr#hp6rm`^DZv$# zEyDj$b*M*u8q$a+H064l(SnxTKx^94j+#f5C%x%IKl+nS1_K$y5QZ|0;f!D;w=;@67{fTmGl7XrVlsC#m3x@Z zOlC2Mx!lWq?qeZ~Sj>Yg;bE5Y2+LW)N>;Omb*$%cHt-}Hd74c;%VwVE1zzMOUSTV* z@j7qv7Vq#b+u6Z;yw8Vx#BTQR348gBeSE=}e9eBo;UM2~nD6+WANY}<_?hFJ;Iz}N zRn8zgImtzC&f;v&;au`@9_Mob1-Xcexs*a&MiGipoXfd_E4hlRDNR{oh$EizRG<=- zsX|q*;aX}?lSFD$mwGgyA&qImbzDz#TF{CcXhU0WxlGbwZ>{I=4a9`vF& zed$LU=?q{XgBijt4C7Wta2vNXnmZWFIPPQucQJ`6+|4xZVFojq%^c=&FAKPj`&q;T zJjg>l%rYL~QC6^u)vRS5kMTH9@FY+1G|%uX&+$B4c#)TRg;#lvH+Yk`d53LmXD9FR z0Uxr9-F(a^e9C8h&KG>e*Bsy*4)HBV_>QCez%hQ}7mgFjX04KqGsrfHJjzN|v4*v* z=P@?$1RHsZO+3S9p5q0!@DeYxl~;M4H+YM;d6#YMU?=bM0Uxo8J$%ewK4l-D^Ce%g zp937^5QjO!_Z;O%j`1_UaDwnd&FN$#J2}Y3nVdx)&LJ=P$j|u{pdc4=F_%z?!W5w> z#VNrRl;kQ(QJONu5KBDes6a(3lR#CfaV^!UNiAwqhkDefA&qE4Q?92OEojLNw5BcX zxQX^8(Sc4RlR_7|kxCDG(wjc?qd)0nFpxnEVJO2G&Im?wJEORRF^pq86PU;(CUZAa zxrgb@WEOLn%e~C!J{Gcw#XQIo9%d}C(2u$Rx+#}|Cb*X-vT4)QIB`Ht`TfgkyapE=G6 zPRnksat7JSNiK487H1Rw{|WCx@^K#La{&dph>N+DLR>}>icy@)xq>UXimNG2Sz?GI zp7K|!?`^9i5w8K3h7U-2~u_=ZD#%MrfgC_iwFpZJC2L~>ZGWaA8SkdrgX zO&-oBFXxh<^C-XtT*yUS!le}EGKx}+5?oG6uA~%KQ--p{5=S}8Q;|v}P=#t-Lv?CU zi$v;Bm-;lI5shiebu^}`7PYBEJ?hhtMl_)**VBv^ zgm>aM(3-ZiBfKMTPZAyIL^3IKp&P06peMcQLqGbHP6h)R#1MuujNy!6B)2n)I~c<_ z#uMIuPh=94xtpon!*pgci#g2YUgmQj3t7Zs9%Km*vy?|z&I(qtnl-FrJ&&`2C)vo; zY~ooqGoVz9NMefhCHk(Q~w zQoI_h8O_v+{HD)u8)pvkKl}7biv4HACsdA)uT-&IY^9{Qgt&xqag{43l#gU&q(=t! z>E9#i-#2a0J2gGEW6z}W2}!a4`82qqem7FnQhWV6S4kn8)G4J~*_1&kWkYc|!I-Qk k7#A0x5MQx!<=Bcz@d=eGRHzVFseF9d&Z(U{ria1*0gRB{9smFU diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj deleted file mode 100644 index 5df15a2aa9ffc3447b3c3792e541f44f76f65606..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 204304 zcmbTf3Am1B8@9cS5h@`hQ78@OF+=7oLWq*cJY}AuNQI1<5|W4pO;V&nDMe8#Dx#7~ zgJ_;hgYP)k;kp0kc(}y}gwbmU-7EI)F{($}>q>(=oS8jiBHot$&tBayqwVI3 zJx7krIynBfQ_}z8INxlq8=-G-GoFpY+e`l+-d@AZNne-GoK?h*GiMcOi!Y9){=v?U z|HGuM<+tFK{WGU`DO)*n`lzzCGpCO)+c0zbpt6~*ruQz}wiT#TD?I3)IekawtTo;9 z1_DNMjPy~(R%xPtLX<@P5+_Q%nDgY$M%?84SZ~G=B%0J@#gt+j8-$tSs6E#Wu&M6?ShV{@J>R^IJU>!i&C}!$NTqxdG)`)Z>QjW zOS^_Tkkj9{|3^lz!9?d89ync6_2Q|lMf}vqjhpDC>S)S7rT^0^6P?t~;x;?-UJ_5~DifVlYfWXwQ+Q?CDifX5!P8__i+D;`ndqd(XzJ2<3a?CCWulYHuc=n? zl&&(-Nv$X%7l?l`MgK31SEj8p(Mi?R)MfFMt}@X{?IcdA@qr+7+Nndqci zXsUBOg;%DnGSNvLC@8D0j;C~$iB4*yrn<&ccxBou6P;8ZOaFVR*8&Bbt zX{$_hQd2b5FP_p>COWASni>#K;gxBtOmtFD6p#zNHlET|COWBRni>>O;gxBtOmtH3 z=a*H3<0)NbqLUh`siE-{UYWMaL?@L~Q^VpZU1g$^TAWWVa6~+XSEj8p(MeU*l>G?R zfBHJmL?^Z7L|HXDp292BR+;FeI%(> zL?=~LQ{&<(yfST-iB4)&9=X8r@szGI(MdJZ)P#5nuS{EIqLX^}1X*=cJf*8lbW#H~ zbxS;jSEj8p(Me@!YGOR4t4wrK3v$Z^PL8MW%CuD`I;rzDb!$AOt4wrKFXWO{Q{yST zGHsQKPO5{Zro~gb%0wr1IH#aGoI2_COWA?nwk|);gxBtOmtEY z<&X=U9Z%^h6P;9jP0fv`@XEARCOWCNv&*V^@szGI(Mk2w)ckk~uS{EIqLcb7o2 z%0wsi`LS&2tL~1c@XEARCOWAbG_^FI(p4rpsgpHzPdtTJrmZs3N!|aCT;OsT|LJ=o zCOWB$G<9!0g;%DnGSNxB`M0dPFP_qmm5ENOm!=+wr|`*6WAGHsQK zPU^MaWz|#hl&&(-Np;iIGw~E&nYPMAC-w7hvTA)irK?PIQqwiHF`mLJ(^i@2q|VgT zv+m^ zEhZD4)Cro}5>MfkX{$_hQg{C>7q~T^(vOviPO6HgUWuph%CuD`I;mHFl2zN{DP3iv zle$_{uf|h&W!fqeoz(X~%Bt7mDP3ivle$$?ug6n(W!fqeoz&@?dLy3FRVF&A$A6Fu z+!;^dm1(O?bW%+405Q+Q?CDifX5X`1>dp3+q&I;ls$mJ9qOp292BR+;Fe8fxlb zJf*8lbW(f1l2xC@Q+Q?CDifX5wVL`op3+q&I;mqvWYwW~3a?CCWulY1LsMVIQ@YAT zCv~2tj>J=VW!fqeoz(M(<^eG^aNm1(O?bW&e_DXYGXr*xHxPU=QY zeIHNZm1(O?bW*2k>W6qrSDEOf9y}x$_)|QESEj8p(Mi?Q)X(vht}@X{z4e8x`Zb=y zE7Mk)=%o5;>bH1GSDEOf{`_24{Si;$m1(O?bW(FQbu^yRRVF&Aa+>-pp292BR+;Fe zHhv}-_;);|t4wrKmuc!)JcU=LtuoO`efFuW%EBEKCw0B1vd2@p%0wr1lBROR zQ~I$o(MjERP%bc6Jf*8lbW*i7l{=o&RVF&AH$IV7dEzNuWulYnsj0m2l&&(-N&WV* ztjZTp=_(VQ)NPu|A5ZBj6P?sqnmQ?-(p4rpsi!}Z3p_cV(p4rpsY^9gFrLy?COWB) z56G%h<0)NbqLUh}slxG;t}@X{ov5iI@szGI(MjF&pDifX5%X?+jh4GZGGSNwO)>MUfN>`caq`rGsR#l3p zbd`xtYOL?=~TQ&r`caqy}rMc08r4OmtG&HFZ%urK?PIQj6Y^3#=PY=_(VQ)PE!qp3+q&I;kR>Y8p@JDifX5BfI1R zo5fSQ%0wsCKvT`*DP3ivliIygR%OOhy2?Z+H9%7><0)NbqLcdPO<8qmJf*8lbW-y* z)jFQiRVF&A@|tQBPw6TXoz$i``caq~>U+Pw6TXozz%O^^K==m5ENOfTsG#Q@YATCw1>Da)ATlDP3iv zld7euf$@~CGSNxB{<5qZ6i?|Y6P;8KO$~{sbd`xt>esEZYG^#At4wrKGc`3lp3+q& zI;ql{8WB(FDifX5Q(NQ$N5xaR%0wsCQd6VjDP3ivlltf-Sv4k}(p4rpsZp958&Byf z6P;9EP2CVr=_(VQ)Up@l0>{Nuy2?Z+RZUYj##6e=L?^XEs3Xem5EMjkf!dAr*xHxPAZ$G zmc>)L%0wr%@EN(ld*UfwWulY1KvOH?DP3ivliK{WthzUz(p4rpsg9buKc3Q6COWAj zPsyqW;wfEaqLaEwQxC>dy2?Z+RajFG#Z$ValoASDEOf`fF-+Jf*8lbW(q>l~qr~Q@YATCw04~*2Gh~%0wr1uBLFE(cel# zSDEOfp2NG7_S@g#^D&yzRVF&AcACQ1h|!d;GSNwWfsbd}D*P)Yn$lG!I;nA*!Z&K7 zDP3ivlR8CH_*P*wrK?PIQV%>X7l`kdL{qxTL?=~8Q}~WuG^MLdbW%Ip21%7BD zn$lG!I;q~8!Vd#QQ@YATC-n!uN={paA03RQbd`xtYPP2EBe~I(t}@X{mC+RbTS7FY zt4wrK>sQGI;y=bjQ@YATC)HY0+v6!+WulWhh;J06eOkO4Pw6TXozxgj?TDvzm5EL& zzouS~r*xHxPHF|d0he}xZ^l!)%0wqsQ&T(RDP3ivliKmHta>Y+(p4rpsqUJ3JD$>2 zCOWBK@a_7vkJavYN>`caq-JPpPdufoOmtGEG_^OL(p4rpsde~1SlR{di>GvziB778 zrrwXIbd`xt>cC1_^+7zPt4wrKBQ^D5Jf*8lbW(XVbs(P7RVF&ArT9^Rv`>qV<0)Nb zqLZqssZZi5U1g$^+J+xSNn7=4Jf*8lbW&Y3^;tZnt4wrKKins)zKExEm5EMjilz?5 zQ@YATCsjgIhvO+-WulXM0zZ(K_Gxh>p3+q&I;m!w`Z}J{RVF&A_wgg4X{)}8r*xHx zPHL#8zKf@Hm5EL&r>4G-r*xHxPHORTxxgReDP3ivld7nxpW-Q9WulYXf**@d`?UBa zp3+q&I;l>Y`Zb=?RVF&AZ}1;8(pLQ*Pw6TXozz54{Si;;DifVlQBD0BPw6TXoz$wO za)E!vQ@YATC)G$(|HM5b8pt}@X{719*`{LpAhSDEOf z9=cO55Ptw`G^MLdbW-&-g+Br~n$lG!I;po8$twIA%F&drGSNx((-i&`?`TR_ndqec zS}3dVC$2|Ry2?Z+HBVEg#Z$Vy2?Z+_3VOd8Lehk$b)$}79{wGzz z-gW$It2~}>Yg)E6{{5HHXoDR#vOg&zBXjzZRx`_G{qwQi<`+*D|Mg#V(~mUzpO-Gr zmyxltbQ`>@MY7)JtX%fqWKPe0_)NTWY2TbX{lDIWiOxMdd55IVh^Op*KK`EL0!(yL znVKpYPw7Y3L?`v(d|6d0p3+q&I;jzwDjiShDifX52}t47j-Rs!H~eqvUq4xA6|odP zp|Zy9X*K=ehO<+(fBk%&mGwz^Oke%)pRxmQmm4qhU$4PL=Nd9JRW6>=53Y$$YQa2N zbxu5`A6yfi)cKk!A5ZBj6P?rxb7j?e@szGI(MfgC)CKXBt}@X{9iAhrE{vyim5EMj zf~G3QQ@YATCsjyOmEtK~WulXMXtrEnm3T^5ndqeIYpQBIrK?PIQg6?aRn_AuU1g$^ z>Zhq1@szGI(MkPvo2;r8Pw6TXozy%{)sClhm5EO398J}Ur*xHxPU_j2a)EW@DP3iv zlWMD}`tg*mGSNwWK0{Vr98c*g6P?rznrawN=_(VQ)XAD^6i?|Y6P?uk)8zu2#8bM; zL??BTrkci6y2?Z+_2x8LbxAy>t4wrKy)@N4p3+q&I;r2M%BmLel&&(-NzKw!%Xmsx zndqd>)>Nx_N>`caq@I}~7uY(U(p4rpsaBf0ES}O;COWB4Zk1JS<0)NbqLaE#Q|;p^ zU1g$^%BQKz<0)NbqLW%aSuU_+Jf*8lbW$}mbwxa-t4wrKuT7Fwo#H87WulYnrm3sq zDP3ivllpn0thzd$(p4rpsp*>P5>M$W6P?tVnz|;Q(p4rpsV8rd3+xt8=_(VQRHmkS z#8bM;L?`v(&9bUzJf*8lbW$TU)jOWjRVF&A6ExK)p3+q&I;p#Fk_+q?Pw6TXom3S~ z^^d1?m5EO3l?k%y+IUJ=ndqdh*3`gwN>`caq`tpVRt=7)bd`xt>Q+q+iKldxiB9Tt zO%02ubd`xt>hbY%fy3h|U1g$^YO1M`@szGI(Mi2GPF9VIr*xHxPHKpzu8XI1m5EL& zho;8FQ@YATCw1oya)H;!Q@YATCsjdHH^fuA%0wsi()F@xd_1MAOmtFLYU;*#N>`ca zq`n?2t8R*?bd`xt>K0Ai98c*g6P?s)nwl6-=_(VQ)T3kM0w={&y2?Z+)lgHn##6e= zL?^Z9I$1R(p3+q&I;m?lH7%afRVF&AW20r&^ms~FndqeM(A3O$N>`caq|VdSZSj<@ zGSNvrKT0lec08r4OmtG0YidqBrK?PIQeTdgRrBI0U1g$^x=~ZN$5Xn>L??BsrtXNR zbd`xt>cJ6mfeYd(U1g$^s;8+%@szGI(Mi2ETvpu~Pw6TXom5{<-4##iDifX5pTlI; zl6Xp2ndqeEYHDdbrK?PIQsp$YES}O;COWB&L*)XO$5Xn>L??BbrdGsLy2?Z+_1O?v zbzeNCt4wrK*K6wjcuH59=%h~4)XI2DSDEOf?i(x@_+UJxt4wrKwKer{Jf*8lbW(2& zl2wnyQ@YATC)HC^tKunLWulY%ZJ?}rES}O;COWCxH1&8qrK?PIQfF!EiFis^ndqdR zzE&=9Z9Ju`OmtG0YU;^&N>`caq&^-XtDcIdbd`xtYP6=Fj;C~$iB9T7O|6fobd`xt z>Yn~`fg9o}U1g$^s;;SL<0)NbqLX^HpR9T=p3+q&I;m?k^?W>~t4wrKKlPPWFT_*2 z%0wqMO;az%Q@YATCsk5YFU3>3%0wr%wvSxk)_6)+ndqdNYwG2AN>`car1tlgRomhz zU1g$^8m_7B@szGI(Mjdj)NApSt}@X{E$Jl}xFep@RVF&A%9?s3p3+q&I;od?%BnZx zDP3ivlj^LgUGbEzGSNwW*F#pl6;J6Z6P?s#O}!IO=_(VQRB=u1j;C~$iB4*Dce%iK z<0)NbqLXT(slD-(t}@X{?dvA1-ixPnm5EMju%_ORr*xHxPAWT6X}<{Qe^cB4?N_Y* zUw#qL{!}gWi-3|>|NAcj>U)jc_=o@X8ccMq;m@v;`Y4{#53Y$$YOba}j;Hj4Yoe1X zhg90HO8lQx>Q^QH>&N(ie^uhaRPkRwz{#&lOkVo$AKhtPWjv*;OmtHFJIkuW@szGI(Mb*0)K~G8t}@X{<<`{K z@szGI(Mc`2N-pr*cuH59=%gxZ>brPKSDEOfUhX8Teu$@Zm5ENOv!;HGr*xHxPU^cW zW!2B|l&&(-Nln(&FY%PFGSNvD*VJ$El&&(-Nv*y@F7WqwN>`caq?%~zXgsB>OmtHF zI?Ad)<0)NbqLUh|slVeXU1g$^%C4z@;wfEaqLW(GK`t;Ohy5b*|L}YInCPS~)Ks>3 zN>`caq+YySR^^DN^kZeBle$7vIpZl^WulY%s=chr9Z%^h6P?t}nmQq#(p4rpsUn)n z8&Byf6P?r}?c@SajHh&!iB774rt-&Ay2?Z+wY#mXDiBZUDifX508O17Pw6TXozy>< z$*NQ0DP3ivlbWxoQ{yRJWulWRuc<=ul&&(-No{H)7g!{o(p4rpsrH&WEuPX`caq{eFMf_O?-ndqbnXsSXyrK?PI zQuj8O3#=GV=_(VQR4q+aj;C~$iB9VEOJr4*cuH59=%jjRs#-jyt4wrKzc!Oq)#E8$ zWulXssi~Url&&(-NtM=At$0dTndqdRYAP3aQ9PxqOmtE$HB~2`(p4rpsgIh-s(SI1 zt}@X{jnY*8cuH59=%n&$szE%Zt4wrK%NolCHjJlqm5ENOnx-1ZQ@YATC$+titZEWZ z=_(VQR98(ki>GvziB9UrhO+9CcuH59=%l77m5EO3gNtQVn|MlBndqd3Y3j0gN>`caq;hGhT|A|$OmtFr)t3uw zA5ZBj6P;8gO?8N;bd`xtYHK}N)iIvZRVF&At2A|GJf*8lbW-2el~tYMDP3ivlbWQd z&heD4GSNvD)6~`Rl&&(-Nj+9aF0gAnrK?PIQjIlrO+2NmOmtFvFOpT=<0)NbqLUh= zsUGo^t}@X{Wz$rzcuH59=%g0bmJ941Pw6TXozw-I>Kjk#DifX5=326Fal&&(-N%hy%$aqRundqect|qHS$5Xn>L??B-rml;pbd`xt z>Re5Yji+>#iB9Uds&awX$5Xn>L?_iwQ{&<(U1g$^`l5=g8Xr&TDifX5I89B6r*xHx zPU;j*-4svhDifX51C`|hZ;7XLm5ENOj;1EYQ@YATC$+PZtePB8=_(VQRBuh)8c*pe z6P?r_6=l`bcuH59=%i+AYFa#{t4wrKWi&M-p3+q&I;r&)`caq<$zX zs~(M~bd`xtYKo>-#Z$V)`CU1g$^dZLV6;N$U>t}@X{HPh6ZcuH59=%n61 zTUM=&r*xHxPHL#8*2Po0%0wrXQ&UgHQ@YATC$;!2xxi=QDP3ivld7nx_3@OhGSNwG zDJ`ou##6e=L?_irQ_sdzy2?Z+^-U>RwJDy`RVF&AiJE#op3+q&I;oK*(bd`xt zYQY(Dfv?6>y2?Z+b-t!vi>GvziB9T;60+*`cuH59=%hMm>Wz3xSDEOf4xcWocE(e> z%0wqMK~uZpDP3ivlPaXCx8o^YWulXMsJL9`caq~0zjtKN;L zbd`xts-LFz#Z$VaPvR+EWulY1K~o3gDP3ivlR8;bpT$$U%0wr1e_^@6 z&*LdwWulY1NK=R6DP3ivlX|m|tokyZ(p4rpsa~2o5>M$W6P?uWr^>3Y;wfEaqLZ4X zsc+&bU1g$^I$KlU##6e=L?`u3LAk*1<0)NbqLXT+sUPAgU1g$^`s5T@^;0~ht4wrK z*JeqNmSDEOfmY*ya_**=st4wrKH8k}{Jf*8lbW*RKB&&|bQ@YAT zC)G_;f5lU}%0wsia{*cPcRZ!5OmtGyHFYeW(p4rpsWUZ|ku&@o@8~KMoz#>0c}<&URym5EO3YE7LKPw6TXoz(YvWYx*>l&&(-N!_Zc zg7K8DGSNw$uBlVwDP3ivlY0CFxxm8ll&&(-Nj23}k$6g1ndqe6%Pp&l##6e=L?<;w zQ^n#bU1g$^%Au*#<0)NbqLaEamt0_pcuH59=%gxWs$@K+t4wrKFXfa~XU0>y%0wr1 zrKU>9Q@YATC-rp>S#?%CrK?PIQnzTTOgyElOmtGGX{u~IrK?PIQjcbr3p^*D(p4rp zsfL<5H=fc}COWA-*<{su@szGI(Mesasq^D0U1g$^I+h`;E{vyim5EO34oy{vr*xHx zPU<{ORf?x{m5EO3`D6ASstWk6@-s50AK6ejp3+q&I;qPwRW+W{RVF&AFaMEM)#52# zWulY1QByVIDP3ivlR8yXHRCB=WulXM@Nc=m+VPaGGSNxZ)6_-rl&&(-Nxk)#tg0JN z=_(VQR9{Wii>GvziB9UzKV{X$@szGI(MiqKRD*a*SDEOf%4w=mJf*8lbW$6S$^|x# zr*xHxPU`caq)yUQW;~^^^COWCwnz}Te(p4rpsW*O;RjuPGU1g$^>Zz$V@szGI(MkRGtE_4pPw6TXoz!ib zY8OxGDifX5S(>^$p3+q&I;p3Bkqhh)Pw6TXoz$h8x+0#^RVF&AkAId`SH@Gi%0wqM zT2oiWQ@YATCv~ExI>%GG%0wr1&rfoJUE(QSWulX+uBoo^l&&(-Nxk}`tm+m|=_(VQ z)HRyw9#82i6P?sgKgg<{@szGI(Me6yRIhkSSDEOfN@}W4Jf*8lbW&@-mkaD0Pw6TX zom6v8^^d1?m5EMj|97%#Ks=?ZOmtGiH8n7v(p4rpsoa_x6i?|Y6P?tOZ{-4q#8bM; zL?=~QQ$yn^U1g$^difh!H9VfuRVF&A&YBt#Pw6TXoz!<<%c@cFl&&(-Nln(&=y*z3 zndqd7Yidk9rK?PIQmem`3mh9y=_(VQR1;0z5KrkU6P?t)BeH5-Jf*8lbW(#gbz?lG zt4wrK*)=sGp3+q&I;lm6Sj$%ji+>#iB77Brl!YJy2?Z+^~e`;fivPMU1g$^YM`mx z;wfEaqLbSFxvZKMPw6TXozwtL&55UUm5EO3pU-5~+;~b?ndqeEYwGrRN>`caq{?e* zemteCOmtG4K9vhx5KrkU6P;9hO)ZS4bd`xt>d--1b!R-Kt4wrK<2AK7p3+q&I;n!1 zS`ts`DifX5%1`71?~bQ*m5ENOuBMj7Q@YATC$;NiS#?i5rK?PIQhhYFBA(J!COWC3 zAIYkF<0)NbqLZ4Vsr%z8U1g$^Dyyjn;wfEaqLbQiKrZmXcuH59=%m_c>Y;c_SDEOf zKK)QuJrYmpDifX5SWP_|Pw6TXom2r$Jr+;tDifX5z5C??SI1Mj%0wqsOH)t8Q@YAT zC-wRVvT98{rK?PIQav>FWIUy-OmtGezAvlR#Z$VutHfx8o^YWulX+q^aHUl&&(-No{>g zR_%$Wbd`xt>MBj`ji+>#iB9UL??A*hpajhPw6TX zozzX5`Z}J{RVF&A!kYRfp3+q&I;n?WlMDPVp3+q&I;o2_^?f|0t4wrK@4PCjevGGd zm5ENOzoveQr*xHxPU`RNvg((3N>`caq;A*LuknIZy7Jnc7gxgeg>RVF&ADVn-4p3+q&I;j$xsu)k{ zDifX56Zk$%+67jMr*xHxPO6!vs>D;e%0wsi{xh`RVF&A3{5qUr*xHxPHMp#xxg0jl&&(-Nu95$mhqIXGSNxB z@Pw>t6;J6Z6P;8CO|_1vbd`xt>hR;T>auuBSDEOfCTOZ{Jf*8lbW(*h)jpomRVF&A zhgQo4ULH^BDifVleNAKsjViKldxiB9U-N96*qiKldxiB77mrn<#by2?Z+_4y;Rsz*Gf zt4wrKH)yJ7Jf*8lbW$g4s&_o4t4wrK_dhHb*e9OSRVF&Ai!{|Qp3+q&I;l4wl2!fV zDP3ivlj^0ZYvUM$W6P?sEE9C-* z#Z$Vohegp3+q&I;nh`x-Op5RVF&A<@d`4 zj)|vqm5ENOhNiBMr*xHxPU^M$WYrDvl&&(-Np;iI_;^ZJndqc`zE@V=7*FXc6P?s_ zP2Chv=_(VQ)R~&PIiAv0COWAnSI7lUjHh&!iB2k0QL?`w5-Ex6<#8bM; zL?_i$Qw!oLU1g$^dT)uWS`<&|DifX55KY|~Pw6TXom37@-4##iDifX5op;FvE{Ugf zm5ENOf~J`car0&qvWAT)(GSNw$r>V!|DP3ivlY0IRxxgplDP3ivle%0} zYvU^^COWAbHT6_HrK?PIQm1O_>3B+4ndqb*yj?DEeLSVBOmtH9 zG_@g~(p4rpski3Is%PUVU1g$^>Z_^e;wfEaqLcb_uB>`Kp3+q&I;pvudLf?DRVF&A za+-QEp3+q&I;o9w`ca zq)yV*_IOHHndqeMn?+ zt4wrKA5WK6d*dlxWulWBt*Q6oDP3ivlR8mT@5fWR%0wr1&osHf{qdBpGSNv@*VKpc zl&&(-NxeE%R(%vt=_(VQ)HRy=IG)l~COWB~rpT&;@szGI(Me6y)Ti;3t}@X{mDJSd z@szGI(MhenRW9(0cuH59=%ku!>dSaaSDEOf_D_~ohvO+-WulWBuBor$DP3ivlgh2B zuj46QWulW>GD$A*+jvS>ndqb{YwEjrN>`caq+XsVtA2>5bd`xtsS#Qrt4wrK z`)-m|f5ua~%0wqMSW|z;Q@YATCzV}O|HM?s!U9ndqc$*3=2{l&&(- zNfpsl-grt^ndqb*87CKbVmzg*OmtEWG?hP|(p4rpsogiossizpt}@X{4bard@szGI z(MkPty{tMVp3+q&I;r`ZIyIisRVF&A@|r3XPw6TXoz$kWa)CwSDP3ivlWMQ2)8Z*z zWulWhG)7hxi>GvziB4*~ri#Z?y2?Z+RZvqU;wfEaqLW&Aom}7<@szGI(Mi?S)S2;= zt}@X{?HVnsO2t#U%0wsCM^k6TQ@YATCv|j`tU5cM(p4rpsX3Y|8&Byf6P;99O_htM zbd`xtYQspmz;oj%U1g$^YNM(0@szGI(Mf$eLROt0Pw6TXozz%OT@X*{DifVl0Zmni zr*xHxPU_y_a)A}&DP3ivld7eu%JG!0GSNxBK1^0siKldxiB77ArmDqLy2?Z+_3Kbs zRXv{4RVF&AnVPB@Pw6TXom6Q})rzNdm5EO3sUdQK7sXS$%0wsCQd4!}DP3ivllo|| ztg07J=_(VQ)F@5WkEe8%iB2l7rW(Xky2?Z+wQP`FV8eJySDEOfs%ffmJf*8lbW+;~ z%Bm*ul&&(-Np;m!vv^8Zndqc`yjE6S5>M$W6P?slO=ZSYy2?Z+b%v%|#8bM;L?^Xo zfL!3E@szGI(MesRsaElnt}@X{eb8T4wTY*6m5EMjn5Hg^r*xHxPAZqC+Qn14%0wr1 zS3kMH_VJXiGSNv@(o~0dN>`caq_*~zRUP9gU1g$^x=K@5##6e=L?`uaA6eBYp3+q& zI;lyT>Ksq$DifVlF-=_^Pw6TXoz!E!LyJMil=myiB77prUu7Ty2?Z+^>8=2z@hP! zt}@X{U972L@szGI(Mi2?jjS3GPw6TXom78Kjf|&sm5EO3@2;|HbUdZ2OmtGWYwEgq zN>`caq|VjU*mz1;ndqdR>mnC;eLSVBOmtH1G&L@s(p4rpsV}aURpa9+U1g$^8mFlV z@szGI(Mg@6shi>{U1g$^dZ4ph;4Sf#t}@X{)zQ?%cuH59=%jXDC95XKQ@YATC)Hb1 zx5iVt%0wsiM<-b|HJ;K{COWCvnwl0*=_(VQR2faph^KUwiB4+$m2!bI<0)NbqLXT^ zsaf%qt}@X{9lSzT&5oyZm5EMjjHc$sQ@YATCzW4Q^WrI8WulW>(NQjNemteCOmtE; zHFZZkrK?PIQad`xs)g~Ct}@X{b=TCQcuH59=%jwRTvjcPr*xHxPHKjx?uw^$m5ENO zl&0>Er*xHxPHJ6yxxl6Il&&(-Nwv__J@J&TGSNvLXeXL?<;;Q}@PGy2?Z+ zl}A(e#Z$V4lqr*xHx zPU?p?vg*-zN>`caq^4+URXnAuOmtEuG_^XO(p4rpsV7>?1wI~6=_(VQR5MMjiKldx ziB9VMR#(p4rpsc%}ys!j2ft}@X{P1Mx$@szGI(Mc86)aH0f zSDEOfR%OZsz8FvGDifVlBTa3Ir*xHxPU_v}vTAEQrK?PIQUf*hN<5{jOmtEin%Wjm z=_(VQ)PhUo0$+`%bd`xt>U>ST7EkFa6P?rx&1BW<@szGI(MfgC)En`Xt}@X{9d0VC zcE(e>%0wqMK~uZpDP3ivlPaXCx8o^YWulXMsEJ(QJMomRGSNxZ*VLYPN>`caq~2~U ztKN;Lbd`xts-LFz#Z$V#-vCG;>xiduKAI=RVv5 z?_1g*vwZNsUW19wH5|BDQlG_BS&R6dPd~E3L?<;;Q=i9E`oT5PN##MR)yxX~;2zoV zKdGz_UFNKr|N1eWegvNxwy)8#-K{Y0P^$Q^AK>Xn{`aN-{?Q#+U#|Si|9TH5I`@#F zsUz`}esoQAQVZ(Is;}ZH{pgzLq|VpWH}RCNGSNxBP*+xc8&Byf6P;8CO?@9v=_(VQ z)Zsd^>W6qrSDEOfCTQxXcuH59=%fm1>gRY$SDEOf9=b>_@Yi@sSDEOf>TBw^cuH59 z=%n7REvx>Br*xHxPO6`#j>c2E%0wsiS1nofS3ISwOmtH7H1&5prK?PIQs-#uSUjbx zOmtGu)|3m(z&CP&zqgOBGSNx3)l~L)N>`caq&}}9t8&Csy2?Z+b%UmI#Z$VDifVl4NaAZr*xHx zPU^LavZ`b}rK?PIQr$FlW;~^y zthyqe(p4rpsT(zQWjv*;OmtGGYU-+ZN>`caq#i6O7uY$T(p4rpsd}2~5>M$W6P?ss zXUM9q@szGI(Mk2yRJV9aSDEOf{wyJ@y2n$x%0wqMS5rOXDP3ivlPagFUh$N!GSNwG zJY6oZPdufoOmtG0X{v8LrK?PIQlAx5EU1g$^ zy04gA;GlR)SDEOfYHMmpJf*8lbW(2=l~qIIDP3ivlj^Cd;qjENGSNx>cABgj5l`tV z6P?s;ni>^P=_(VQ)LEJu9Z%^h6P?u4MdSj<#8bM;L??BrrpCroy2?Z+^>JZYbwfO* zt4wrKqct@yp3+q&I;j&ibz?lGt4wrK_Y{%~oDfgxDifVlbxqwIPw6TXoz$zR%Box9 zDP3ivle$Jzlj12|WulY%si3Ty98c*g6P?sFO-+fXbd`xts-&i-##6e=L?^WtM%s^Z zPLHQ_m5ENOxu#~sQ@YATC$;}%S#?`HrK?PIQo}VhE1uF-COWCynwk?&=_(VQ)RL3r z0_Vn4y2?Z+RasNF$5Xn>L?`uf0a-Oap3+q&I;qZ@S`bg^DifX5cll-2!gxwondqb@ zYwFH;N>`caq>5{5aXh7~OmtGK@r!oSz7AXxPw6TXom3M|-5pQqDifX5z7u8DvUo~Y zndqbjYwDhON>`caq_S&jMLeaeOmtF<^2!C?8&Byf6P?tBnz}!p(p4rpsTcFest4jJ zU1g$^xL(1l&&(-Nj1>a>Uc_5ndqc;=aN-V#8bM;L?<;sQ)}WWU1g$^ z`X{HXdNQ8URVF&A`I=f6Pw6TXom6>EJsnT!DifX5rW|sC&%{%@%0wsCUQ-+5DP3iv zlRA`LR&9)@bd`xtYP_bNi>GvziB77ZrZ&Y>y2?Z+wGzKDJniei7vd>hWulX+tEtWL zl&&(-N$tWrm$vGqcuH59_%A7Z)n~uP{j2_Ioh|W>PBPKyU{9B_l{06KDqA~q=J>J= zGiMGeo7rk+@3L)MfjYIqgYKD=w)WqGpIe&szp=-^#I4K!28ilgF|g9$Zk3b&RjE?-qN+7(*REW%Th)td)u>UUO0DWuEA}4HyT_>1 z8#Sk!>ttj!sZ*)r$UY-RR=T0bkUj$|H67Y(^x!^2Mvbi0e88y8(LF0&-mF69YLzSX z8aAkRrBQuGj^g`R@w!we^(C$<13xH`kuw9|i9SyFfzk~8_z}+VUlTL%{S6R)a!Lk% zP!7~TIU57Q4?p5;2L6uku7cL$@8;*sXoIW5k6D9ygZNfPf@myC&X$5`Yyi>NnLIrJ zqLCf{H|AT(3!+gA#BN6r-GRyLZUE6;mYm%WqPrPHVs(X0I|^zL}L<&#$pf+`>i!;*l(_MybGdXzu=xmPJH6? zX(14eS|B#+gJ@g@qGA8(j)wiPJPrGf+3_xj##RuG_miiGK{N{Bdo*km0@0`hqR|Y* zx7PtgV{CFZ0Yu|25RE62r!RnLdw~X#5DGkq1BMgnxih z6hxygh>b=d8eKs&MuKQe1kqTToUH=U*bbs`AbI)?h(9{7Z{QdC>7Lh(=2gjqb_Qp&%ObL2N7r(Rd0(V+V+D?|l%Bf0DDD z_@)Mp(jXc&K{T3yXbb?cF%(2&CWyug5RE55Gd0- z8a+TX#(-!{0nvCQIeP*`<8=^?gUQn$Ks1WrU;1p60MV!gqR|?}Mi&r`o0GFCAR70A zXsl13ZUfQy3dF__AQ}bmh(;L@8&yFxu1Lb(U_e)T?)cq_>6rKU$C(aMB`Hs zjXywaE z0z{(^h{iY&jTs;stCO>JAR4il{sN*=96!s2jZz>QbwM=Rf!OE{qA@u+n*pM+ z5=7&<51d2GJM}Vq*-5#(WTshe3RM>p?X3CuaviH2wk6D2(qq&?p0<(Hz7^YY>eAAR0G; zXv_xDSeu-!2hrFKqH!d7`Ui+cN&E~_Hp+l#Gyu`)2x6l*h{m+!Y&M9-BOn@^lczgD zG=2iH@dt=T5&V2y8W(`rr~{&LO>)*7MB`=5RErLY`g=a@g0apt}>}cVGxbl$=Ssq8l6Ej zh9ysL0@1h+#KyxQ8ZU!rd;sE(BOn?ll}()$2GOVtqH#&`v?GYd^&mEG0?}9kqOk_V z#%2(W&yuqvAR4*LrHnH`G%f(qxD3QbM-YwSAU39gXer zjM5+)l|VEu2eEM#h{k9T8#6&P?gG(xJ~`V8qVX|^#;?iKToM56~N zUxt1AZy1P;JCd`zKs25P(Re+1`T>Z>F%TQME=(C`foRkM<;!YZ0-|wkayAUa#%&-P z_a;x*fN1OivGDAR4DuPZ{MwG-`opTn%EQ z2dHq?Te%U$#(WTsdqFf_NzPse70xog1kv~>d0L=G%BTWjqZWw9<)9*2?f?)QV?k`( zot)hZqVYVaNS3iXdHN}c#tAi3jRGJV=YeQ60I|^qL}Ns9HWozV4iJq;lBXL$G(H4z z$EP3~$3QfS)JiqVf@owWXKg?%mQAR1@ZPMwtn z(P#*waYgd94~WKe5F2wqG#&-fcoD?LE)b2Mle42B8mC>9GA;zss0*Ue4a7zt5RF?v zY%BuNSP7!>T5`4vMB{4^jqG(&MnMpb8Xz|6f@oX`qA?i6#&{5o<;mGf5RI2WH1;J= z4}oaptD9;R1ktDfqR|AzMtcyA>yoqaAR2dqXsk}2ZUWKx1jHSOKs0jHOBuyMY?KGl zXqB9`2hkV;VqEaPAQ~5e*k}NvaW#m>a1a|egJ|5JoIL`f@d}8>{^aRbAQ~q(Of`ytXjB2w zXbxiI3J{GOlCzsZH0}n`Serb35k%v25O;h9qH#i_lu;7I#)TjnZIiPrKr}{x*qD|) zT?C@>EQpO4K{P%D(fA3(M)t-j;~dcOE{H}Zh{iR^)4?D%=7HE)1fuaIh{mfRzP)`Q z8h<5c*_)({GeI<}gJ?7X(dY+aV=#z~=^z^SfM~1+(Re#K+XtfYGl<5CO;bj35RLjE zHkyEFbOX^C4Ps+5h{i+7*=i7t*FZErPM&@TqEV<>s!<$7qXvk^r64vsgJ?`h&L)Fs zECq z@f(PZ+|5(Q`Jm%n5RFzK8asq>Pdv8jV0~GzZb>1)_01h>d9= z8mp4CwICXAf@pk}JpBnoqiD-iqa=vNMIaiNf!MePL}OxdHVs7Meh`g~$BVL2TRuqVX(<##)7jmtr7^aRnElAPTJqVW)j#`DS3H$XIg0CC4} zAR2|*ri}AIY}5wP=#rfE1ksoPV&jhF>3tv?+dyo*0itmjMB^BUjg#7?jH;mHT@Z~9 zAR5;uPp=2Du@uC{eIObyfN1Oi@$G#EqLHV4>g*&Cjq^b?8YWLK1JM`>V&i%cjRhbY zkAm3P2%>QyIr|JmBjfUvaTpMUF;vyC7c?}BK2 zojm;$R4B_R)gjd=2cppkMB_>j8+}1EW+Z2GL4~v4$|?|zmy)M%foS{!;*LK-g|m#J z9aBaH5F7PCG`c5eeL*xPf{J9hcP39C1ku<5V&g3kjc-6jvWy&8q#CD!Xw*#3>Var< z0?`K~&b|cE$k{1noDQOK9*9P35F3|+Xbc6haVv<%9UvO(le6bRG~Nf%_&#}h z3`C>MRjI~#AR5g;G_D4*aV?0(?BwhY5RE55G+s%b?g7#G1H>K2Kr~8pP8n4|Y%~PX z=$)Kh3!*Uv#Kzsp(?>xxc7oX01ETQ*h{g$5ry8e$Xw*s08iHta0nr$dJiP@(;{gyG zkAi4y1JU>p#2sIQXq?g|b#@wvMpY1v%;f2nAR6O9Y}^8(u@pq(Ne~+^foOb@oP7{j zJ`SSs4v3BSKs0^<(a6_5)i@nQ5xZ`^e zjlw-rXQzW`)CAFJl{~!~MB^q98@GaJtN_t?2E@iIAR0%Kv+qGP^7l*`XM<=|0nz9P zV&iHMjWHlLW`Stj4Wh9*IeP^};~dZmmDKx|Y2(P#~#(F?@J2oM_!le4=) zG}eP?yqP@x5JV$e?^NRi5REb*8W(}s$OO?Cl$?zK(U=XQaewmkNf3>_Any1OMB@(- zjg$MN8fSuNG)~SkK{R@UXxxxIoerY$7>JE0K{R%PXnYRhj-Np^iuFyMoe82*2SlT7 z^0XU>#v~9M(?K*I0MU3B#KvnN8s8>oKZ9tT(l2G41ENs_MB^$D8{I%O#(~(F2coeY zL}P1m_8N%B7a$scB~SD9PZ^a!Y}5eJXa}Ov55&fGAU5tw&X$8{JO`rjcJlNS5RF^| zQjL5d8s~y&)CaNA3PfXAa&{ev#_b>)4<%2Z0nzvX#2uf2X#5SLQRv!K<7^O(OOmrz zAR7HaG$tfZXMt#}0kQE6h{ii08izsL@jHmd83R*iXM<>545HB?dD;s^V=9P^Ss)q@ zgJ`?}%9ml^uYD6ldeVG+HKSZ9y~!f!LUs zJe>!k@f3)SXF)Xff@pjLV&g9mjnczXXXk)uGzQV=lsxSRqA?T1#yk*>$3Qf;fY^8& zMB~@w>@N_FV#8BLMG%epAR0YDZ1e-sm;_>DF^I-PAR4bHXK#aOd<&wHb41E01fo$3 z#72D(jjKR3hJx6b0HSeka`q62##RuG_miiGK{N`COf?FDXjB5xXa-`V1E^5e=f>FN zYyybJT_74yBu`%e70NO`1#!n=5RF`;Qbq|78|Q;)v`Nl7fC^{5m0=(@rX)`nfM{$0 zvGD?^aF+1_h{g{fHZn%1jIyBPT@Z~+Kt;0LF3Hn@AU5WJ*jNCfu?AEm%h(3u+j|#8 z<7jf0ab3zd14N@Lh(;q2jXoeY27=g_3Zk(TL}L|*#;)Y-T@Z~QK{WD=Nf|{!H0pxb zXau6s6+~ksh>eLL8Y`2tRUjJMK{O5|Prm`tC^$CNC<>xc4Md{_h>cDl8sn3*i69!w zKs44RPq%<*90GC2Hy|2$uTL4JKx|Y5(P*EXbpp{C1!7}H@^mqX#wHLOTR=2E0@3&d z#752=Qbu{u@h*r)OAw9j$u`v|H#!L{66(AZd0-8a+TX#(>zE0;2Iq za`ps>#_J#&2a~5ifM^uCG1VvmqEQP(qcw<)E+85=CudVYH0}k_Sf4!I2BPs5h&z4& z(I_w>Wt0K2Q58hvisY;dh{jkD8?%$AOF=YV1hKIVMB`HsjXywa>?HvHo$bNI`EDwlASrCmn$j0Dk`1ETQ& zh>dk18vBy710WhlK{QUeC1sQX(P#odC@38L{_@^lA?#&;m@_yt6x;G~psE{KhqAR3*M zv+f`o<3ViPo;+OvqVY0_jU6Bwhd?y`2C}R-I^G4*Xb+;%KY2O^#Ksa38!JFG zHi2lo1LE5|2%?eu*3?;k5RLL68W$%|TZ3o}2eB~*L}NaP#={^s)`Mv5PtFd4X#4}B zQFuzqC*fJ_e%k7Kn|#AR0e`Xyl!dY7_&}sF$2I z2GO_%L}OI)bP|ZhgUQ)y5RLsHc8`MSo-#94D+Qw4BspsVqT2^VV_fod28hOL5a04T z5RF|R8ef3&W!U#{e*w`bep~9S6o^J$5RG=p)9xS|lR<3E0MS?pqVXJvjU6Bw-z8_i zfM^t)l`_r+(WnWc(HT@A>pkrbqA?!C#_b>)D?l_}PR@3KXdD93_&a%;e|E~K3@VV- zs0pIc9z>%*h>bBIHkKr3D?l_hfoQywJUs}ak$X<6ksm~(Jc!1{AU0ZqXbexz#(-$d z2hn&qdAc4%V?T&H4uWX>1ENuQZmLlRM5B3f)*3`(0Eos-$~Kco9TnZ}RjDP@yd2#5+=rQ$RE>1kq>=Vxt|1#^~g19H?;CTUi96@mTWo zIS`GHLEP~LsBo5%eL>1724dq}5RFTdvvwdFgF!{I+)2sP+d(v*2C?xRh{irpku2j| z5F3AkXq>e$b#^X@MiUT?tCFYvK{Rdyv2i1Gg(&p_O9 z1VkhET`A)X5E~bOXk3<@bp+8E4q{_!@^m4H#zqhun?W@8gJ}E+Vk6sPmhJA8Q4&O>8i+cq)0i6-47p5O;hFqH*FqDWf!qjY=RI zmnUaefoO~du`x4wdKZYs^B^|1f@pjUqVX$;jaMSpaMmZ3Ty2;a)AR0qJY>WcYmeyY8ht@D#)H_H38L|Ma`qI6##t^j;8+S3qpM4x;fT zh{iu4HVQnFGOB=%cR@5R2hkXiJRJ*S<8BZe_kw6V52CRf#JBe;h{g$zrp^k0Xq*S4 z(I9!+21H{7h>fuz8h3zbJOav>Vc)Oa0HX0>a`q{R#xW3$BCAqHSrCm(5F2ekG_D2F zxEYi$t1$;err$<3F&U`G@C<~&|5Jck&5F33!G^QtKb3im61<`mh zdAbWk<7ZHTtoQUNh{kEFQ^tiLHtK?CbW6_qfN0zTVq;PAbR~$!YaljufoOaUqLKaa zRHGn>MvdgGE{MjJAR2>{r{h61mV?+>38L{5h{iq;cN_xI$oE9*tRRR+1rUuU$k8fULfHOhl%GzHP<3}Rydh{mksY(9v_;~*L@Cr@{SX#5W1j(LILDwRS)=%-2ZJR6dP zkVq1eN`@q%s5D3jmF7WGR4NS;Dh*QirmbVYuWQ}UT2E`SUiQQGeV?Cmo&TJVBR9sx z>ts~u_K(v>5oA##Zr7l~Cx7K`WYHsD2O*0$ksFgy;giMJ$YLY% zJN6)pe4nIS5oA#rS=5c!X2{|J}a%~!NV*#?*9=AQnBFBtWltdO6Ba0@; zjb_NACn|DsV<@s1jV$KGZ2_{_ge>;QYnGX*sDRwK7+KUq7A=q)U630i;x-ytOh*=r z;&nZ;IELKFGAk9OkVRGGMjd3)E^b|r8&4sNaq&78S*${CtVb3HkVURf(?&65Q7dkB zkVOWv=o7C)ki{hA##Cgn7+Gvae#bs!QD}C$6+;%)kVS)dZH_DkA~%L0i}A=}26AH| zve*^3eaIsBoK%!S7L|}iQ{+Z-WYHJ7F$`IZK^F7lwh&otK^BMNHT&FDT!`GLge)2& zi&n^u9>|SRaT|jyW+IEF@wyRNWSW;YvLlPK$f7!Oqb{=O6t^D8;#p)dF8Nn#eU>QmIbM( zfV^FdEb1YP7V+8zxiJE{F&bG+M;42azqcM)9E)3)FH%toSyV+9b&y3nEXB8!H|qE)>1KyHjeZj3<|Gm*toA(MnyBS$z`!3ybA&Uyg;;MLUfGoNpH~Jup5y;{lpykQ)tmk_y)PL6j|&- z7AKG!c~_*O3OcomESe&Vj`2DGxiJ>GF%enJM;2?5zqbup zAvXpfi&4npJ>H3=aI$7ahr`S)**}E z;`JY7QF?XSI2T#eMizG>9{?QET$lfFXDA2Ds-|qi2RO!kVVloskj8W zaTT(-FK+jv!Y6-aFmmJNczp+1EJ1FpM1@Zldyz%fwP~Xuvba2MS0Rf#QIV5v*LZyx zSxi7~yn`&hL`6;(KOnzjC$h-1F5L5tq8oDKab)o#vX~XO&yd9iWbsG5o50S;!@wygS96^4^31m_Hhg4KXZd{8j+Qh9RvUmcy@mjpThb)#OH`XGH zKaoX_4Qb;{WN~HOu0c#6F z$l^id#-qq$EV7u6-1q`n?1fof-LGIiwxvOSLDVEaeEnAe2gp>$LkNs;$P%O)}K;Q8d+3BZd{Kn z+Q+Rca^q=aF+N^DKo+Z!8$Te6gUBNH&uQaqWN~%eu16O4A&b88`Z%(97rF5PvRHyF zwjjUb4`fkzbGn_4EG|bD4deB0Wbp`c<8fp$0a?sMZhVa_cE{}xWRYh}D#{{@%E;n& zvqaL#89JijxVmPvRGhU}7i|>)&u?blmK^FP8rHxX^;<~ui zLl$k2#RKvB6tZ|9xiKACEJqgGk>7CuS)8>!-AW;gE0IN$c+Ef-k0CdnLKbf!i#f=R z#mM6KxE(+i`FEtE0x3v zTaZONWbrSuD6}hWltmUd#;qZ;Xpbxg#_O}l;v?k7Ok}YdS?of7$6;hqVt2ZgMHW{h zi>C3~8d*Gv+;|pQyo)U6BR7^Ii@)M_7+DnFlZp$GMGa(eFLI+bvUmi!F$(3*^xyxl zeG^%H8@FZ1VmGqL^jj+OA&bk98#R!{?Z~1N%6)R<0c7!d+}=bMpCgNP@wy#ZoW3`0 z|9dn$?|H!2{Do8s04S#(Bj z435_k$l?>^#vEkvJ+jz~+&G3T&e@l46_CYs$l}g;ZHFwLL2isd7VjgAFOeIokj39| zJBBRI`Xdz;kwqd}Q$>viK9Zk?BAx%A-@e$l^w1 zaZkK0!FAvXpii?`!81zCKHEPjgDy~v`# zp|nvHSzLxJ>LWMqL>3RlZ7{NU9a(%FuU{gIUy24rzO zZrP5e;v8ggIkLC`S#&^dbVF`DgDfT>iw}{-nz(I17Jnm)JpZJkII_3~xp4!sXo)QP zAvc~t7L()lA+q=mS!|8hKaoX|V`-x}vbX|SG(v9NgDeKc?FnS@2C|qHuiqexJ;?9) z6Iq<`Zz{?mH>x0uW^ua*S@cJ443F2b$YKF<;~Ql03$i$Z+{k%66&IsZyU5~ZWYH#G zdm%SQBR9q(i%*foa^&y*gec*MrESSmv}*8d=mt7PlZb z?n4$s;`TJMcpF*Fjn^f}VjuE54kC*JSyFKxa^rGj(L8SVA&ZBQ8!yD`1Z1%gxv>OU z{E968MQ-HDnu<#3)Go5P6t;iyKwsgybEY3$3SI283 zWYGh;(GOXSL>BKNH)bJ=jd9zGERG|K!l$L89I~j3+-QU>Iv|TjkQ>91#k9E1LKbU~ z#qM}Lf-FjAPaEZs#Wl#{cH~AIWHB^u!;!^gWbt{tE=Lyok>7CySro~Uii?mNS0alR zachGt1|c_Iir2T0#UkX!a%8awS!B+cHu58js&Ts#Su{fyo#XXEWHAo8@fNaJfGoa8 ze#Z`Ek?Zty%a1HBMi$q_>n+Hl4|3x{WHB09ypP%@dC1#5x2R>Vm-3>JzoDs7G-j$jq{L29b|DAa-%)67#6n|ki}GF@m0L8 zMiz&V-|;W9D3&J`mm)W=Mi#B&)*e|5L2kSnukRv@rO1ud$YLL|IPHwIQ5acNkK5JA zqB*kY9kD^kwp*W#*@h6C1f!>Zl5EIjmYA!c+H$Y73U&1EQeIHq@ zL~eYKEcPRd(+j4JvyjDAak~y#v_KZU{ zEN+U|yO71h$c@L4#W-Z~33B5rWU(`DzaxuWg;Q}3vbYpk+=kq^3t99*Zajl5UPTt4 z#qBF(u^CzX9j~VqNyP=ojZ2Y517y(>xzQcD@nYOwMHVxV#dq=gBeFPw+&JybRFpv$ zS0FcTL>3+6)*ZPq3|YJpuOA_cwaAShk;NfoaYoU!Q36?98@C&gMJr^{KVF|i7VjZ9 zK0+2tk;N~_@AwN@oOxEdl|UBNk;TpNdM~ng6uI#vvY3c0K1FVPi!6SN+h52cZ?ROA zM;4bMi#w1T_ach{$c^Wa#p}r8i@1G@EVdzwqw#wB*{Qe$xp5h?XpAh{A~$*?H(rk0 z>&Rj@vRDzXKO>8*#nZ;=$l_dNQ4_gw6SC+Ux8BI&d1Uc+yncc#en5W5&&c8*WKp0* z+BgSUTpzcakj4GT;-Pqb23dT7-1r1ptV9;SBERErWN~)MbUO!GT!k!djn|gQ;&J50 zGsxl{WHAr9@g1`GBW`~qi-M(6aXzxR0$JRR+-Qj`9!74AL>6x#i?8GM9kSSoERM(P z8KqNE8M$!OZ*XUJkry#9hLa-5Sk&OjCyAd72|8#g11o^k7s zEM7zwljHSMWU&eP9ls!p6Ud@SnY2+JS=5W$&B&r7vKSPv&moKH$c;~t#ad*s2l*XG zkwvMp=~fIWGSDD0?48oa-$})xC2>qL2f*REXK#}ZDjEUvREIlzaop= z=cbJU$l?-YQ3ttkE3)Vtw}+6$%gADCyv{=wTae%JE3(L1Ar-}t8|Nd7hH<+US#(8i z42jo~$YLgPV;-{j0a@%rZX8DzWzS2u^O42%$f9|?c0d--A~!}Niw}^+LgdC8WN|od z$C1U^=cl3)vbY9Wv_@`pKo*Z9H^v}~$;e_^+}0qAKafTC3sO-8S=2yoT!Sp`MixDg z8-tL=n{k_rEWSn-8{>5kvdDK~+9-l7DkF=!$c<*m;(@pgLKd$fi)rz?09kBDe#ahU zk>jFNltgY^j4YbOtr@cDiQE_(ucML09OT9VWU&cZ>_={7xi}RS(5YQyQ4d+Ph}SO2 zjS&#iiZaNJO30#V+?peczQ~PX@j3=s%tvl4L>60+#UbQI z_R6Wa5S`jZ77dX_t9b2!+!%%27=tWkB8#QS-`j{RGF3^p?8u@lvZx-fb&*9Umk_y)PL6j|&-7AKG!d26Pk3OcomESe&Vj`2DG zxiJ>GF%enJM;2?5zqbup8(G|e+_)cE zJRP^^k;N2b@kP9@L>32;-|-K!D0)pQE7CI zMb>N6MnPn8dEBl-7Iz|xuJQUXvY3F}cn4X0i7b9Ve#cH^k*9XL6+{*lk;V1#dKK3VtCwML>3<+i?8E#EwVU*{EidIqWJZxsEpjW7Fo23 zTSsK^1ajlGczq99EJtpvMHYV|iySwkjWdzOm2tZkS=@sxddBOc$l@*J#(T)(8)UHw z`5nI@i~KjH+nLCs3bLpduXiAe2ay|(B8#!eVmfl;3uLh)ZoeUmoOM%C3Rzr&EN($= z+<`25Avc~v7B3@LksDd-r=m2nsD|9Q9$B=HTUX@9)5v0cyncWzRwFllKo$p)Medu@#@Wc?>bPBx zEbc=VedG0UWbrO?;{#-|1X*lBe#alkqHu$BI~!SCjw~9+>)puW5#+|>$YKJrn2Fr@ z8d>a)+aJgxPs3D{MHZEj#qG$AyOBjd0kWH!35G zM#!Qya-%15e2*;lA~%j9i*uT%TLols9kRGHUfUsyXOJ5skj4AR z;!EVlDrE6@+>RlOv+hnsMPyM6S+qoMv_lq;Avaz@7L$<0cX3;VEPh88+3rb2A!Km{ za-$ZqxC>cyLv9R27H`CD60-OTS!{^cUC82$d(%cCWN|67xBkj1CSjrqvpM`ZCQawAhlD$1i% zyU5~3WN}Ztc0z7Ehuj#2EIvXO-ynZ)9kMtYw@mk?q6D(2f-Gtyi?+y(PRNZXk;PbK zF$Gzyh}$}3@fWhl*)kPHkws19Mr~wqFS6)`+!%~3-j3T8WbrMs_$glZB8vj8(ne8a zaT&6xkKDKuSv(ZC!N}ruWbtvleu*r8MSjO#WN~`yRFp<;R74iH#_djI(Hpt(biBTT zEao9MzC;#3Ba4H`jcjdFaXvb=i!5$J7Wc(#H{`}h#;+;{?6 zOpe=!$l^O>u{B=*L>5KbrH$gq;tFKZ2)S_&vKSP%Cy>P($YNH!euFIbAiv{JWN}9O zRFp$*R6!Qa;&uLZJ`$l^id#?#1RYTQ0X7Aug&j(9zYEQ)nb8>Nv&O=NKka^pT^F(htJ zBa63@#oTyZf-LqSzvCdXD9|Mp=OH&PM;6WFb|12M2)Xe>yiPzC3y~X3kj1aa;$P%O zp025=gih@ui(8RJ`*`h#+!%x0n1C$iA&b?>-`k2TvUf|jJjmjFWN~%8HbNFXkQ@Dw z#YklFE^=cQve+26t;pgyvMAg=73GjcUF1e1WYGaxJc8U9jx46dZ5FavgDiH(>k(v8 zvPaq|hb*o^7Plie+8~ReaT|^-CL@c_<8?W**pK{Nlv7Il!tUC530$YNOBUO*O8k;PZ>x*Ay=LVm}; z$f8)kR9uSOxEfisid%bRF$B5sYP`OSES4fSRwIjj$l|pAX`?W*s2;bgkwtT4(LG)t zK^7B{8}A~Eg~;MZZbue9kQ+}Ti14<#bwBi>yX9$aqEoS7>X=jkJtB+#Y*JH_sC*D zvN-+Wv~d=)xGHYfA&VBsqIbMLhAiGeZoH2y79oqDk>Bw1s zco@0y7_u0LEIvVQe1$A_#_e}xk?WCEoP#VbMHaUqH||0feUKZ^Ad6R##bfZ^Y|I$YL#W<40t12w9vlIBk?b7T3n@Mr6?nS@e(BCy~W_$c>MX#ZqMP z3-UYuLKbH}mTo1GMRjCxbG+V*EFMK}Jc%qOB8yLv8{Z;}-{STcvdB9m73Gn|Wysczp(0e1P2e1X-*^7QZ6D<8Nef_Rw@Y2U%Q&EN+e0mdN696Po?5~WN`(uxEs0A5?MTq+!%>0-ar;#$L%|0u@hMwkJmGvPDN$p z#udooHe}HOxzQiF@mk#8Ko*}Ni#7533$n=ZOxidDSzLfDu0d|xj4XP_tv|AO5m`)* z*H4kfCggYgf-FuTiz36)MtNjWFK#y@i;l=*P`o~eET$tjK1CL5k;NY5cN|3)rJhZ< z^2p*^WYH{M+aimnkQ>h-i}#Sl0_4UDWN{#FN0G&u!&7lFvZ#qHGLRc>k;S9PjnT;B zZDg@HZYz+*Z^$CcbEznREUFEvdH~>+9-f5 zExD3Q4G0pKC);Sw_A}#SLDW!cpZr> zW+FG{A&Vc7#XjW5ab!{Ug>*X~SzM1Sn#XGgWbrI=VE;Kk;SpNWqCOjrI1BcWKjoMv_o!mL2f*SEXE;=smNkg+}0zD1IQxRE2$`k zENUS)>L7~@WYGt?F$7smirZ9Vu^3rwj@Nz2qR^{pqZqQNhAbK&H<}}hfpHsxEXE^? z8S%OhS?of7$3A3{drT_IAU7%@i>7gFjx72jH-^RQ7-TUYxv>ygY(W->kQ>=wOT~rg z)Go4Uh%8#gYY*hcDCEW%WHA$2EJgm_Mr4s`Y`SGf7G;q|^?0p|EIJ`KdLWBuk;O#h z#x!KHE^Zr<#bIQT_w`hiL>9G?8+DOIYh*D1xiJ)3Oo`hxWU&lcY>U_Z$fD@Dv{4dS z)Ib)EksB?L#o)LNMHX)&i`ntI2wCh!e#d@fk#Bq|&P8riMHY9)tp&1p0J-seypBT_ zUm`aaA&c$E;veKjt_i8Ah)(Syizdk8{&?+!+;|1KF%DVGK^7~Kzqc7#WP2msav_Tf z$l|JaZGbGgAvgLUixJ4;9puIgWU(P`n~}vaWKnQpD#{>>8;~0fkVQLW@i1~@7_#^< zZZnX@DrB)UUJoIQ;%}ynGRUG9vbYVo(F$2S5w~H;ViL0WEMAu)i$9UyaR^xydMgzd zAUCQbi+keM3Rw(9ZoC+;6OqL?$c?4QVi&SFf!xUZb}Fi%Q@hBbDYEDouLF=9W04yZ zk;Qyuu@?Dz+mJ=hchW5{vbYdgTpO>Akwq`$#sFk73R%2|+?b6leu~>RWRYo7D$Ya} z=OT;x$c@Ixq7!oCQDpHvviLY|vysI*Wbs?P{(~$^zneDBMHaP@#U03v`;o=daeE$F zOhFc3#Oq3AaS-_({~(K^lT&dCa^oswabMi-M;3#T8!yM}JIG=Qa$_a3*o!Q(zLz!% zB8$u8b``R?6IpbP*N2hC1mwm$$l^<6@dNTZb|Q;BQ_`&2?s z6=d-Na^o{(u{CZxkwv!mQ*k!3xByu+LT=oKEV>~#9!C~0B8ypZ`wUrZKo)<*>j`90 zZfe@N09o9CEbc~bbVL@z5($MZI{v16e$X+;|jOj71jHksDtiiyd+M4O!%zmWooy;u2(W3v%NQWYG(` z@f5On8ClGY+ZV{UjjV!K?+x5ueK4j51 zULQvm?;j@-B#S@c70Jc}$|Ll&RM?Q3MQ6vRD^7VVM6z<7NYS$u@tn29V_Ba2LMsN_?JfWs$|z$f9Yywni3DA~&8z7Vjd9`N)lB$l|ZK9Yz*~7o_4sWKjcI+>6|3 zjVvBPZj3?}Zz79t_!%uzDPwrWN{gCqXx3L9a(fjZajc2UXR zBa73&OdI)-#YM=XHgcm0vgjSR2av@}$YM&o&OsJGBfn!ivdH{ZDvBaEDj$;uGY?9Axo5ve=8MJ;5}61mY1Sv-c^cm-KZLKfe}Z56Wk9a&`iCKZK{#TCenTFBxq zWYG<|F%Vh25w}Um;wxmaAzpVOi!;7W8-yX7?$Rg)=sVIspY9cpkBa3^HMK9#WU}W)j+@>IlZ;{1M@wyjT6j+)ziXw~4kVSpu z#+}IGp|}l37Ox|VkK^@AWbrHVJN6=r)0d^9G;*UNvbZ&FcOr}4$c?At^%Z0>54rIr zviKQU97JwpTb_#Z(WzZzaTBt*FJ8MLH%1~iUO^V0Ad4l)-`jvJj>j$Aid39~EG|bD zHz11+$c=8ujc1U>1Z43cvRD(h4ankeWRYiODvBeEYmgf^Ad8mBq91bO31l%jZXY6x z?~ujTc>NPu6j_xviX)3FkVPZp#y!YlP~4tC7H=SnS@HS}ve<+Cjz5vb8LLxK4!KbU zSu~5=J;A?d#g*||A6axkZuCMH&moJqkQ*N(i}i8)30WLP7WvnuqBOFo zgWRZ(EZQQA2ay|3Ba5kV`xsfQKo&dV^&qk+_I=tYjVx*+i(8Nz_aTcRaeEqByp1g8 z#_JMfu@Ctj2a!dA^{F@yxp6tNXdbuwki|pDjTho|0t;iz#hIGq=EY3$3SI283WYGh;(GOXSL>BKN zH)bJ=jd9zGERG|K!at^>9I~j3+-QU>Iv|TjkQ>91#k9E1LKbU~#qM}Lf-FjIOdI8p z#Wl#{cH~AIWHB^u!;!^gWbt{tE=Lyok>7CySrplnii?mNS0alRachGt1|c_Iir2T0 z#iF>aKo-Z5yCr@~Z58BNZDiXvZk>?rlgPEP@j3-rtU&(qI%M$|vdH;!+9--FYR0WL zvbYyn^orNP$l@8~#)rt_D6+`EIc=0i7IorQA6c|T77xbj)5u~fa^quUu>x7_K>l}i z5Lp!4l5VAuMNMRJOT6BPEQTOAo<c*`Rvgm*; z9*NiC$YL6DV-~VlgDiFSRwIjj z$l|oUsVIyrsv|e9Mi$MHMR(-JBgkT6+}=eN3z5Z-@wyvXSzLxJu0t00 zBR4uDH-;jM*OA5h$YN#OzDE}Ok;UnMrQ$4PaTRjoI%LrTS@cG3JccaZiQD_gViB_V zIbMH976tdGjkAzNRb+7!a^o&!@o?N8Ll)zZ#V7Il6|&fg{Epv|MXm#>I0w0LDYCdN zZg(MzKFEz{;`LQz@fmXCD`c@5S^SOMIPG96EpAic8R` zU1ZT1S+tGU-pGxYksGfgi`mFx1@iZPMiyEBNw?FH#kt6$X1v~nEV?2$dLxVHk;U7{ zjZcup4{`e$S^R@63LHztImqIA+^^hAkBa4p6Vi0oU zIb<Ad6~otBEY`Ko(u%^&w<2 z9=Y*0viJg7tVe#wugD_zY3Wt~SzLlF>cs1<$f7TD;~`}6GP0P8+?a9ZgS>(%=ZbgtqWn@t|UYj9{2ap?s zki~1rVj6N|0kYU0w>`)rNA6UVL>3n#izdj8X2_x^a$_j67>z9E#BBkx*n}+h$7_~6 zsi=V5xENW~Ll!NN8(okaBjPq1SxiS3i{f=XvN(p^$Z|$1N+FA?$c;M4qFvm&AUB>u z7USY|DzaFG+*pq+4j_wMdDBKQWKk<_b&y2{vgi}9Ly*NJ1|m0xAdB(HVg_;x&7LR9uMMsDvyUB8yhYjULF2QE?lCEM_8$rSZBES!61hHnJm& zvdE%3a-%M?=oGge$l_UKF)?1JA&Yg$@7Rbe4kL@ah0;bzWKlbAb&*ADWHBIKha!t9 z$c<^pVi~g7hWw8G$f9WBbSsH0Y9Nco@!A4e3`TAYMHX)&i`mGHMaW`r-1Z}jd__`m zF0!bKEbc^Zv_KXQAUB>z7UPh`mvLK!EVd(yf8sUQnW?CV+^C8ynjnk&ksE!G8?VG| z9I}{$ELO(rW@M4AXxhkyEGi(2tB@NFkVUt+^+6URki|RkIs;j3Kz_$&WN{2x6g(?! zltC6Z#H|6cXooBwj@Mzx;zQ)d3}mqiS?ol9$01}Aw~Z7H(Yg)C0QYu@6i zsDj+6jx3rYi;l>R0mzN9ahr%N<|B)>@wyFJHL*BQ%?S#@1!c;-=T9`^xvQ3 zCo*Mfm-#(BY8{wZ^&b24Q)`S1L%=S&&h+g7}yYUS$xU9<34)|1b-^Y;t+ z>%S^%ri`xbD*bo%{?q^cQ?XUAUg@7sZe{-OKga+6Q?cj&{h|N( zqyPOcocgEM|KsY)!~f6a)&J)*H%;?ayL+?x(_4#{;mYp-I*2t)oDI=ppm+l!E{d;xD z=-IYihhDwg_RMJ0fsg+Gd}-UiZR4IXV11>duMd+(6#OVeCyk} oTf4q3duFuk)vH6ht{Ht>cJA}P-n;kg*1B!4UK#yb@*nK~0KOL}%>V!Z diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c deleted file mode 100644 index 7377b37..0000000 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c +++ /dev/null @@ -1,1141 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Cont_12_0(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - unsigned int t28; - unsigned int t29; - char *t30; - unsigned int t31; - unsigned int t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - -LAB0: t1 = (t0 + 3488U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(12, ng0); - t2 = (t0 + 2568); - t4 = (t2 + 56U); - t5 = *((char **)t4); - memset(t3, 0, 8); - t6 = (t5 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t5); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t6) == 0) - goto LAB4; - -LAB6: t12 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t12) = 1; - -LAB7: t13 = (t3 + 4); - t14 = (t5 + 4); - t15 = *((unsigned int *)t5); - t16 = (~(t15)); - *((unsigned int *)t3) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB9; - -LAB8: t21 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 4680); - t24 = (t23 + 56U); - t25 = *((char **)t24); - t26 = (t25 + 56U); - t27 = *((char **)t26); - memset(t27, 0, 8); - t28 = 1U; - t29 = t28; - t30 = (t3 + 4); - t31 = *((unsigned int *)t3); - t28 = (t28 & t31); - t32 = *((unsigned int *)t30); - t29 = (t29 & t32); - t33 = (t27 + 4); - t34 = *((unsigned int *)t27); - *((unsigned int *)t27) = (t34 | t28); - t35 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t35 | t29); - xsi_driver_vfirst_trans(t23, 0, 0); - t36 = (t0 + 4552); - *((int *)t36) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t17 = *((unsigned int *)t3); - t18 = *((unsigned int *)t14); - *((unsigned int *)t3) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB8; - -} - -static void Cont_13_1(char *t0) -{ - char t5[8]; - char t14[8]; - char t26[8]; - char t47[8]; - char t55[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t21; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - char *t27; - char *t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t54; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - char *t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - char *t69; - char *t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - int t79; - int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - char *t89; - char *t90; - char *t91; - unsigned int t92; - unsigned int t93; - char *t94; - unsigned int t95; - unsigned int t96; - char *t97; - unsigned int t98; - unsigned int t99; - char *t100; - -LAB0: t1 = (t0 + 3736U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(13, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t5 + 4); - t7 = (t4 + 4); - t8 = *((unsigned int *)t4); - t9 = (t8 >> 8); - t10 = (t9 & 1); - *((unsigned int *)t5) = t10; - t11 = *((unsigned int *)t7); - t12 = (t11 >> 8); - t13 = (t12 & 1); - *((unsigned int *)t6) = t13; - memset(t14, 0, 8); - t15 = (t5 + 4); - t16 = *((unsigned int *)t15); - t17 = (~(t16)); - t18 = *((unsigned int *)t5); - t19 = (t18 & t17); - t20 = (t19 & 1U); - if (t20 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t15) != 0) - goto LAB6; - -LAB7: t22 = (t14 + 4); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t22); - t25 = (t23 || t24); - if (t25 > 0) - goto LAB8; - -LAB9: memcpy(t55, t14, 8); - -LAB10: t87 = (t0 + 4744); - t88 = (t87 + 56U); - t89 = *((char **)t88); - t90 = (t89 + 56U); - t91 = *((char **)t90); - memset(t91, 0, 8); - t92 = 1U; - t93 = t92; - t94 = (t55 + 4); - t95 = *((unsigned int *)t55); - t92 = (t92 & t95); - t96 = *((unsigned int *)t94); - t93 = (t93 & t96); - t97 = (t91 + 4); - t98 = *((unsigned int *)t91); - *((unsigned int *)t91) = (t98 | t92); - t99 = *((unsigned int *)t97); - *((unsigned int *)t97) = (t99 | t93); - xsi_driver_vfirst_trans(t87, 0, 0); - t100 = (t0 + 4568); - *((int *)t100) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t14) = 1; - goto LAB7; - -LAB6: t21 = (t14 + 4); - *((unsigned int *)t14) = 1; - *((unsigned int *)t21) = 1; - goto LAB7; - -LAB8: t27 = (t0 + 2568); - t28 = (t27 + 56U); - t29 = *((char **)t28); - memset(t26, 0, 8); - t30 = (t29 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t29); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB14; - -LAB12: if (*((unsigned int *)t30) == 0) - goto LAB11; - -LAB13: t36 = (t26 + 4); - *((unsigned int *)t26) = 1; - *((unsigned int *)t36) = 1; - -LAB14: t37 = (t26 + 4); - t38 = (t29 + 4); - t39 = *((unsigned int *)t29); - t40 = (~(t39)); - *((unsigned int *)t26) = t40; - *((unsigned int *)t37) = 0; - if (*((unsigned int *)t38) != 0) - goto LAB16; - -LAB15: t45 = *((unsigned int *)t26); - *((unsigned int *)t26) = (t45 & 1U); - t46 = *((unsigned int *)t37); - *((unsigned int *)t37) = (t46 & 1U); - memset(t47, 0, 8); - t48 = (t26 + 4); - t49 = *((unsigned int *)t48); - t50 = (~(t49)); - t51 = *((unsigned int *)t26); - t52 = (t51 & t50); - t53 = (t52 & 1U); - if (t53 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t48) != 0) - goto LAB19; - -LAB20: t56 = *((unsigned int *)t14); - t57 = *((unsigned int *)t47); - t58 = (t56 & t57); - *((unsigned int *)t55) = t58; - t59 = (t14 + 4); - t60 = (t47 + 4); - t61 = (t55 + 4); - t62 = *((unsigned int *)t59); - t63 = *((unsigned int *)t60); - t64 = (t62 | t63); - *((unsigned int *)t61) = t64; - t65 = *((unsigned int *)t61); - t66 = (t65 != 0); - if (t66 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB10; - -LAB11: *((unsigned int *)t26) = 1; - goto LAB14; - -LAB16: t41 = *((unsigned int *)t26); - t42 = *((unsigned int *)t38); - *((unsigned int *)t26) = (t41 | t42); - t43 = *((unsigned int *)t37); - t44 = *((unsigned int *)t38); - *((unsigned int *)t37) = (t43 | t44); - goto LAB15; - -LAB17: *((unsigned int *)t47) = 1; - goto LAB20; - -LAB19: t54 = (t47 + 4); - *((unsigned int *)t47) = 1; - *((unsigned int *)t54) = 1; - goto LAB20; - -LAB21: t67 = *((unsigned int *)t55); - t68 = *((unsigned int *)t61); - *((unsigned int *)t55) = (t67 | t68); - t69 = (t14 + 4); - t70 = (t47 + 4); - t71 = *((unsigned int *)t14); - t72 = (~(t71)); - t73 = *((unsigned int *)t69); - t74 = (~(t73)); - t75 = *((unsigned int *)t47); - t76 = (~(t75)); - t77 = *((unsigned int *)t70); - t78 = (~(t77)); - t79 = (t72 & t74); - t80 = (t76 & t78); - t81 = (~(t79)); - t82 = (~(t80)); - t83 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t83 & t81); - t84 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t84 & t82); - t85 = *((unsigned int *)t55); - *((unsigned int *)t55) = (t85 & t81); - t86 = *((unsigned int *)t55); - *((unsigned int *)t55) = (t86 & t82); - goto LAB23; - -} - -static void Always_14_2(char *t0) -{ - char t7[8]; - char t16[8]; - char t31[8]; - char t40[8]; - char t48[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - char *t30; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - char *t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t53; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - int t72; - int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - char *t87; - -LAB0: t1 = (t0 + 3984U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(14, ng0); - t2 = (t0 + 4584); - *((int *)t2) = 1; - t3 = (t0 + 4016); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(14, ng0); - -LAB5: xsi_set_current_line(15, ng0); - t4 = (t0 + 2408); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memset(t7, 0, 8); - t8 = (t7 + 4); - t9 = (t6 + 4); - t10 = *((unsigned int *)t6); - t11 = (t10 >> 8); - t12 = (t11 & 1); - *((unsigned int *)t7) = t12; - t13 = *((unsigned int *)t9); - t14 = (t13 >> 8); - t15 = (t14 & 1); - *((unsigned int *)t8) = t15; - memset(t16, 0, 8); - t17 = (t7 + 4); - t18 = *((unsigned int *)t17); - t19 = (~(t18)); - t20 = *((unsigned int *)t7); - t21 = (t20 & t19); - t22 = (t21 & 1U); - if (t22 != 0) - goto LAB6; - -LAB7: if (*((unsigned int *)t17) != 0) - goto LAB8; - -LAB9: t24 = (t16 + 4); - t25 = *((unsigned int *)t16); - t26 = *((unsigned int *)t24); - t27 = (t25 || t26); - if (t27 > 0) - goto LAB10; - -LAB11: memcpy(t48, t16, 8); - -LAB12: t80 = (t48 + 4); - t81 = *((unsigned int *)t80); - t82 = (~(t81)); - t83 = *((unsigned int *)t48); - t84 = (t83 & t82); - t85 = (t84 != 0); - if (t85 > 0) - goto LAB20; - -LAB21: xsi_set_current_line(18, ng0); - -LAB24: xsi_set_current_line(19, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t7, 0, 8); - xsi_vlog_unsigned_add(t7, 32, t4, 9, t5, 32); - t6 = (t0 + 2408); - xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 9, 0LL); - xsi_set_current_line(20, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t10 = *((unsigned int *)t2); - t11 = (~(t10)); - t12 = *((unsigned int *)t3); - t13 = (t12 & t11); - t14 = (t13 != 0); - if (t14 > 0) - goto LAB25; - -LAB26: -LAB27: -LAB22: goto LAB2; - -LAB6: *((unsigned int *)t16) = 1; - goto LAB9; - -LAB8: t23 = (t16 + 4); - *((unsigned int *)t16) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: t28 = (t0 + 2408); - t29 = (t28 + 56U); - t30 = *((char **)t29); - memset(t31, 0, 8); - t32 = (t31 + 4); - t33 = (t30 + 4); - t34 = *((unsigned int *)t30); - t35 = (t34 >> 5); - t36 = (t35 & 1); - *((unsigned int *)t31) = t36; - t37 = *((unsigned int *)t33); - t38 = (t37 >> 5); - t39 = (t38 & 1); - *((unsigned int *)t32) = t39; - memset(t40, 0, 8); - t41 = (t31 + 4); - t42 = *((unsigned int *)t41); - t43 = (~(t42)); - t44 = *((unsigned int *)t31); - t45 = (t44 & t43); - t46 = (t45 & 1U); - if (t46 != 0) - goto LAB13; - -LAB14: if (*((unsigned int *)t41) != 0) - goto LAB15; - -LAB16: t49 = *((unsigned int *)t16); - t50 = *((unsigned int *)t40); - t51 = (t49 & t50); - *((unsigned int *)t48) = t51; - t52 = (t16 + 4); - t53 = (t40 + 4); - t54 = (t48 + 4); - t55 = *((unsigned int *)t52); - t56 = *((unsigned int *)t53); - t57 = (t55 | t56); - *((unsigned int *)t54) = t57; - t58 = *((unsigned int *)t54); - t59 = (t58 != 0); - if (t59 == 1) - goto LAB17; - -LAB18: -LAB19: goto LAB12; - -LAB13: *((unsigned int *)t40) = 1; - goto LAB16; - -LAB15: t47 = (t40 + 4); - *((unsigned int *)t40) = 1; - *((unsigned int *)t47) = 1; - goto LAB16; - -LAB17: t60 = *((unsigned int *)t48); - t61 = *((unsigned int *)t54); - *((unsigned int *)t48) = (t60 | t61); - t62 = (t16 + 4); - t63 = (t40 + 4); - t64 = *((unsigned int *)t16); - t65 = (~(t64)); - t66 = *((unsigned int *)t62); - t67 = (~(t66)); - t68 = *((unsigned int *)t40); - t69 = (~(t68)); - t70 = *((unsigned int *)t63); - t71 = (~(t70)); - t72 = (t65 & t67); - t73 = (t69 & t71); - t74 = (~(t72)); - t75 = (~(t73)); - t76 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t76 & t74); - t77 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t77 & t75); - t78 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t78 & t74); - t79 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t79 & t75); - goto LAB19; - -LAB20: xsi_set_current_line(15, ng0); - -LAB23: xsi_set_current_line(16, ng0); - t86 = ((char*)((ng1))); - t87 = (t0 + 2408); - xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 9, 0LL); - xsi_set_current_line(17, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB22; - -LAB25: xsi_set_current_line(20, ng0); - t4 = ((char*)((ng2))); - t5 = (t0 + 2568); - xsi_vlogvar_wait_assign_value(t5, t4, 0, 0, 1, 0LL); - goto LAB27; - -} - -static void Always_25_3(char *t0) -{ - char t4[8]; - char t31[8]; - char t39[8]; - char t46[8]; - char t54[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - char *t37; - char *t38; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t43; - char *t44; - char *t45; - char *t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t53; - unsigned int t55; - unsigned int t56; - unsigned int t57; - char *t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - int t78; - int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - char *t92; - char *t93; - -LAB0: t1 = (t0 + 4232U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(25, ng0); - t2 = (t0 + 4600); - *((int *)t2) = 1; - t3 = (t0 + 4264); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(25, ng0); - -LAB5: xsi_set_current_line(26, ng0); - t5 = (t0 + 1208U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(29, ng0); - -LAB16: xsi_set_current_line(30, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t5 = *((char **)t3); - memset(t4, 0, 8); - t6 = (t4 + 4); - t12 = (t5 + 4); - t7 = *((unsigned int *)t5); - t8 = (t7 >> 0); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t12); - t10 = (t9 >> 0); - *((unsigned int *)t6) = t10; - t11 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t11 & 63U); - t15 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t15 & 63U); - t13 = ((char*)((ng1))); - memset(t31, 0, 8); - t14 = (t4 + 4); - t23 = (t13 + 4); - t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t23); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t24 = *((unsigned int *)t14); - t25 = *((unsigned int *)t23); - t26 = (t24 | t25); - t27 = (~(t26)); - t28 = (t22 & t27); - if (t28 != 0) - goto LAB20; - -LAB17: if (t26 != 0) - goto LAB19; - -LAB18: *((unsigned int *)t31) = 1; - -LAB20: t30 = (t31 + 4); - t32 = *((unsigned int *)t30); - t33 = (~(t32)); - t34 = *((unsigned int *)t31); - t35 = (t34 & t33); - t36 = (t35 != 0); - if (t36 > 0) - goto LAB21; - -LAB22: -LAB23: xsi_set_current_line(31, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t5 = *((char **)t3); - memset(t4, 0, 8); - t6 = (t4 + 4); - t12 = (t5 + 4); - t7 = *((unsigned int *)t5); - t8 = (t7 >> 0); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t12); - t10 = (t9 >> 0); - *((unsigned int *)t6) = t10; - t11 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t11 & 63U); - t15 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t15 & 63U); - t13 = ((char*)((ng1))); - memset(t31, 0, 8); - t14 = (t4 + 4); - t23 = (t13 + 4); - t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t23); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t24 = *((unsigned int *)t14); - t25 = *((unsigned int *)t23); - t26 = (t24 | t25); - t27 = (~(t26)); - t28 = (t22 & t27); - if (t28 != 0) - goto LAB27; - -LAB24: if (t26 != 0) - goto LAB26; - -LAB25: *((unsigned int *)t31) = 1; - -LAB27: memset(t39, 0, 8); - t30 = (t31 + 4); - t32 = *((unsigned int *)t30); - t33 = (~(t32)); - t34 = *((unsigned int *)t31); - t35 = (t34 & t33); - t36 = (t35 & 1U); - if (t36 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t30) != 0) - goto LAB30; - -LAB31: t38 = (t39 + 4); - t40 = *((unsigned int *)t39); - t41 = *((unsigned int *)t38); - t42 = (t40 || t41); - if (t42 > 0) - goto LAB32; - -LAB33: memcpy(t54, t39, 8); - -LAB34: t86 = (t54 + 4); - t87 = *((unsigned int *)t86); - t88 = (~(t87)); - t89 = *((unsigned int *)t54); - t90 = (t89 & t88); - t91 = (t90 != 0); - if (t91 > 0) - goto LAB42; - -LAB43: -LAB44: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(26, ng0); - -LAB15: xsi_set_current_line(27, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 2088); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - xsi_set_current_line(28, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB14; - -LAB19: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB20; - -LAB21: xsi_set_current_line(30, ng0); - t37 = ((char*)((ng2))); - t38 = (t0 + 2088); - xsi_vlogvar_wait_assign_value(t38, t37, 0, 0, 1, 0LL); - goto LAB23; - -LAB26: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB27; - -LAB28: *((unsigned int *)t39) = 1; - goto LAB31; - -LAB30: t37 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t37) = 1; - goto LAB31; - -LAB32: t43 = (t0 + 2088); - t44 = (t43 + 56U); - t45 = *((char **)t44); - memset(t46, 0, 8); - t47 = (t45 + 4); - t48 = *((unsigned int *)t47); - t49 = (~(t48)); - t50 = *((unsigned int *)t45); - t51 = (t50 & t49); - t52 = (t51 & 1U); - if (t52 != 0) - goto LAB35; - -LAB36: if (*((unsigned int *)t47) != 0) - goto LAB37; - -LAB38: t55 = *((unsigned int *)t39); - t56 = *((unsigned int *)t46); - t57 = (t55 & t56); - *((unsigned int *)t54) = t57; - t58 = (t39 + 4); - t59 = (t46 + 4); - t60 = (t54 + 4); - t61 = *((unsigned int *)t58); - t62 = *((unsigned int *)t59); - t63 = (t61 | t62); - *((unsigned int *)t60) = t63; - t64 = *((unsigned int *)t60); - t65 = (t64 != 0); - if (t65 == 1) - goto LAB39; - -LAB40: -LAB41: goto LAB34; - -LAB35: *((unsigned int *)t46) = 1; - goto LAB38; - -LAB37: t53 = (t46 + 4); - *((unsigned int *)t46) = 1; - *((unsigned int *)t53) = 1; - goto LAB38; - -LAB39: t66 = *((unsigned int *)t54); - t67 = *((unsigned int *)t60); - *((unsigned int *)t54) = (t66 | t67); - t68 = (t39 + 4); - t69 = (t46 + 4); - t70 = *((unsigned int *)t39); - t71 = (~(t70)); - t72 = *((unsigned int *)t68); - t73 = (~(t72)); - t74 = *((unsigned int *)t46); - t75 = (~(t74)); - t76 = *((unsigned int *)t69); - t77 = (~(t76)); - t78 = (t71 & t73); - t79 = (t75 & t77); - t80 = (~(t78)); - t81 = (~(t79)); - t82 = *((unsigned int *)t60); - *((unsigned int *)t60) = (t82 & t80); - t83 = *((unsigned int *)t60); - *((unsigned int *)t60) = (t83 & t81); - t84 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t84 & t80); - t85 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t85 & t81); - goto LAB41; - -LAB42: xsi_set_current_line(31, ng0); - t92 = ((char*)((ng2))); - t93 = (t0 + 2248); - xsi_vlogvar_wait_assign_value(t93, t92, 0, 0, 1, 0LL); - goto LAB44; - -} - - -extern void work_m_00000000002982276307_1919318694_init() -{ - static char *pe[] = {(void *)Cont_12_0,(void *)Cont_13_1,(void *)Always_14_2,(void *)Always_25_3}; - xsi_register_didat("work_m_00000000002982276307_1919318694", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat deleted file mode 100644 index 657d662ddcc59f975561894eb9559c4694687ace..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3384 zcmeHJOHWf#5FUIY;tO5iJ29?|_V$6LCB#rKDv3lBD2XN}mr}0M^d)^zaA)F97i>&e z;tz1=(uGSGEL^f6kssi~g^AG(e&6kxrZxo%l9-^Ae0S!|nfdOVxu@rJ{%d>X^3P(T zO+ZtkiDq{K@;^q&dE`tT=6@&MkB1^!ELF9-CF@^eWzL%Q9-l@BBkZ?#aNJBZf4#XAX5uq6 zW6=&z9vV%h9MrmodY{^LDJ_|Oh+2bSn|KzVw8HXI;$SDPaxlI~)HT3dcxo zpVF^@xuKB9YbNKD{ zo*&~@co6o_3VS{dH2ZFxqhIG1m#5p(l%8bBNYBCNh5`4s+cx^skHVS+14Bg4hfFP1LW2{`KOue^nOq+c#WL-kHQX zjiZmkF~R;3wD}I|t(-?%FW-Sw88Dz-|9h;jpK}8SwExTQ>A+jF6#fV2-NSo>XZ|sO zKK_U60!{*_0G{J#fV03k;5={vxCqQ#85O(F8Y{YmqV+71bJNyfK3UGXxl+-(lPV3B zC#=z1*Zd*Bm3*3+wCsCv-;Cht;kG?|SL~P_ml5~zh&xR+R(OJBTCrqW;;F2gFPCC! z+~kB^ogd8ST(R@Hk`o9zeq)84fW$JD#9Yw{^f*DagV&wVHVVZGn~R=djkBp@Dr=RT zB#NTxOt@1%ch>dMUC9^HR@U*?Bp8hZgT3L9zt;&w1JO_*5{~xxCR3A%5_x|C`jiO9 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj deleted file mode 100644 index 54118e7e70bb51ef55eaa8856bf3810fb3748a1e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4647 zcma)9Z){W76~9g%nH{fQ`*_qP=$RplZ9VdI`i0RznE> z6DSfbnR%4A+gJF&G(IqzO4HU&d_ZcnH5Jm5z~-Q8EQD=>bw#jh9VUG0hh<`Fz2CX_ z+1O1bawYqod(OG%o_o&kocCV;L5J=_jf0HerPhyN3bJHx zxW6ygr&#hohW{;JTxQc)1g_+-2SuSyn86X*rvkcA(nfVi#0*XzcFz?&Ei4)v+_l5-_M7kF zXznbt%H{GVTMKdF-bc9i5$=72dmrK62kS{#FrX*z13h`4(X$kmGuFA51Ao8~+<7as zAB>`h&MWGgJk~ibnPSDloZZTutX6D-l!<^f*J7Q3N}=5&nhIAvcGJSF)!1VFluRK4 z6bwVGA!WV%SK@qIk%sfTF&}~`nrh=B0(Ps2X?9bg{mH_^Px4|sG)#L%li@r;=+wn3 z`+{#uw`cT)+32jB`nYJ0cl+bM8Qq%92XGbZU7SywmG>YFXS>Gjc&JAg3AQk62qG=k zV!iEp`Vg$Eh%ebE*BSf#!r!8^hC>*KgMsc=->mh=d@K2pBkOdQn?Lx}tJD(UZwd8? z=E60PJ-skzU1+gPXj_vlRzK}>pvs-3R(K3vrok!nfarA%_R?iyq!bfL4ch}P2Y&xW zxm-E}1s7?cSSj{px$J8d%-2+U0b~W1bvS(hiomI}j$Gr;;SMh*xY|K$%t5lGPtZ!I z;y`LYug~hYz$6QYXO^%PNGP?!SMq*X1*RC2Kr1C(7YQX5{4c>BXC=9+Hk87!q4KM2 z>&1jDp3>_OPy%FJj?+mL<9Y`yVvEDQ?lqDy%fw5Xh*GIuUbtYL&#$pQ&UbU?N1-Hl z41~YCJz_@<=ewFNqW&(!(KX$USpUhlmtIx}Ch5TWKaqB_uCC@Md{M#t4feEX)cu~e zS-p+5c}fqH4=9z}kX%w=>w@t9|6pVECS{9S-hMfchYrd4bm)kjPs&zEEpH5K!#*in zp<$29R>1q zMFmAnLet`W|9No>!cbxgNdn-Q(~U6n>i2UUP@@On)nJa~#6 zbCwOD5ptWV$-N~SoV+C(oV+C(AN5uPjc`oP?k%Br3Tr-kmV!hL(E1mx>+0?XII`}p zkqCt>>n=h{kxJcJyWlamZ%wR#PHkD-nrHd!Ft|AV_PK=%x;2yUPFUyi zJqB#Y$V?<5yzzE#-1a@=yr?CFKkjcfoaeNJ-Asz@rQfRZh|yv5GqRZK%VRw5^QrpK z1=IbFR3Hr~kbSa16zJ+u7=R^0p?u)fb+E67&#-SGe1`ZA-9Rt@h4Ke<$tL!;w;5Te z4L*5T8+`1>p3)1{#N60dK)RI8pDX3kD(v5kSD0aLf5gS;F@T+0levcS)e8LSHOsXu zgsdqE&pQ=V65HH@%I`v~cXMY;C~4rWLkF7kZ{V4d-%D^#(qkmZ9dQWYxEA}x;?Eg%q6Ug`PFzB9{4fYB}~=XksRS$xL|v^!?g-vmnW>b z{L6))r_ko13`WSj@Wq9fU`s7Vx2Ez>BP7pAh>{uH(HC!Tj@w?td5LhE@PkTLaN1M) zq!y^tkC@d-JTW8b$@HTZCY?NNHdLTubLl}FG-9&qLyrrJJZIm8|iF%M`zC5Hk31oG`FUD)Fv*x$MF*)8D__keF;rpJKB=)t8Z>df@h z7`)zNJ(#kqDH5&2INXnNl;4aRC$l%DWiDb z1Euu#fm$W^Ua3lbc(GPqwSZF6PeG}@cOzp;dJiZi9j@};0HyT)QRV%+$~%fDk&>PS zrL0^Ab)WJc!BX~?0Ub*3K2XZjr$8y`TUF^qmG?0yW$&}9bQC?FKEX6L2h=I)C!mz& z-S~195ENz5!@{qm2UDPEd|75}K*E*2N9sq1yY#RiYmhqi za&tHpUbl9Agt$~@NCC$4_)$I3u101K4M=$q9Hj$J6bm_Mht%n!C7pNM&Q`3bzLXu3 z@5GNP?>1*II8>90Q~PyK?lyW(AWG!R?NR$>FVFIjdpM?M)PB`V4?Psw`ceBuPmyc% z{H9*PU0F3;I*+k;7I985;#^w9`C<`gbxlSZ*aocb*a*Vgn7Oo;VWx-DIcDmEyZc_t znqBM6?&>1C*6d>D#+~S4(QY$ZA-l{7GtKmlVbk1`O`Dn2KsuXCWlYttzD|P1w=JJb zWox%(Q|ONQOa{%#983?TYGosXI|oMkGUo2Sbk6L{X43;h=1AXQevy)yA0lC@-|Xw} YueH#hNsqv#kyp~0Y|hMO`i8RX|J{UhO8@`> diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index abf976d42a2490f9673518f538b49135c8cafd54..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5876 zcmeI0O>9(E6vv-@2_k}siug4xprFFc^cw`R%nZ}Ql&LdQil9DATkF6uE$x)A7zt4~ zE{F-ym?(+Su+W$o6Wpi^OpF_4p$lT%;DW@sAW?%Z+|>W?&3*0dmD0M@c#<>co^yZq ze?Q)v_ojXAm-$Dwj8%HBj*X4I?RnmG{_;G}TeZaVzFb=MCAWFr)jK?Ijv`Jzo!u^= z#W?I7`Q_QPsungijrWH9ExDFAc9)3?fz8tA4W>;$M(W0hYVXL;%IRC7Xd61rd6QLS zF3gS*<(K4_<>+J;ZPU?si{2q)$r{y}&Sq(B zH#(^q=y3mW&UTC_?~q2f(b=Y)=Jl=@_dGgwj40oufqh0Nt$aG2`^2DQ$B6O)4LoFY z+LTYHQzHf)J4TdOH1I{E)2@6vomw&I*fFB~qz0ZcI)lo&wWm5C`gqp3F{0XOX`C@S zLx#DYPRuZNMCV;;d}wqI%|It^7(1f#u{1t2I>R&2sWXfn(K#=T3r1&T209Ifu_HR) zN#l~ysmwrUlVR-0^Zx_+-EyvFXgsr!HuBy|wP8P!-y??&d6!+KKG<{edkx3+#awo^ zYOtTm!}~g%(`9*9!=9J(oM(P=%w-=`jeF&5c|;CN9u+mi=Nb`-p0=n*<$Hgk3GiTy~vmu$_X+im;pw=CbQmgY6U4 zsR+y2=vc;mwyE=6fh`Mq!qhk$SjG`Umgh3xp%dyrk2+@)GUVYLv|&$*g(2r}1B~@v zi+x2b=SLqAn~Hr^EN24CIbu_>r^H6p56c+LWqGf#-qT{^im;p`7P9IJ_Q#pQzNtdJ zA}spYRO}hCjp~Qxw-+`Q`<~cl^~16jY%2DBu^ZG6%Wo)bD)yY%N7WC@y0MU@#5p!9dbMW7YeM}LyNshr1!!_`phP^0ui>Y%Sn9D9y zZ9%nuLVYLxZ;$;a&VgqQ*Autf|HkXfyWHBtnDwq>e}BtEp6>wt3c>%Qii(Mi(XNsH z;gRU^o^tcGqGTa*-DD5i=_A7%!)eolgf{*rWBJZ`g z>LZu!;Ioy_e7P@ZbmzQQFOk{dm2;`QSME-EiPl8HL$CUg&gZ;zA@8Nt)tSpAy&->e z$gdn6@;WmaKa)-DNUNSrZ}roetr;&Nt!%Zqz0jKW3&}}YV#lPuc023H+gUDOsHR$g zUo2NxL=ag-&zYk_p|iSU zNuFbuod^(%f(8ur{p&_`mySLw6njG?C&l4@j6u`FrL@@@nA`% zBR$7P{CI<3XQwTGJ!xXFT=DDb{RVqzU8CPP(b?oTjVJXnzj-35_gf~C27d#|`1DO$ lPH?*hQ#7<_HCDPotC>g}V_Hp+j__@kdy=(38gsOV~qmZdRx?12L; zY<)=qz-=UUCRIwNv+b_!(I;B%egri@>e-r$5OrV3j*4BMXoK`(j1X~{v7$FM6SJkF z+bX)FqPrS8-fy%tVrS6J2(=hIPcTZ6kvY`RU_6dA8*{9pCpGGp>YI_yovOBHn(cVK z=c?m{=4dWe^h!mqRrE$hZ&mb8MIG4iXg*?qwKOA!4WGUanFlK-fg+@8r7wa==TBp* zUelJvuZi)xoU?xVch&KM!8W?Jy`+8hlbn%S_Pq3X3-C__WFatu4T}Z_@%5yj(LGbe zm0t;n4A}7D`D};q@*}0{%ufFlU6?yZ_O7em_Kv`X@6YEI?wM~=ywE<>=j~5kVP0RK zHwWaxpIw{u!DAYS|NK>VI5V7o-e1#thqFcL->)n5DQHINq-*``ZxCW(`WMzsy{&(L Dk_aDy diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c deleted file mode 100644 index 2c2da77..0000000 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c +++ /dev/null @@ -1,360 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; -static unsigned int ng3[] = {0U, 0U}; -static unsigned int ng4[] = {20480U, 0U}; -static unsigned int ng5[] = {24576U, 0U}; -static unsigned int ng6[] = {32512U, 0U}; -static unsigned int ng7[] = {32673U, 0U}; -static unsigned int ng8[] = {32674U, 0U}; -static unsigned int ng9[] = {16384U, 0U}; -static unsigned int ng10[] = {16289U, 0U}; -static unsigned int ng11[] = {16290U, 0U}; - - - -static void Initial_55_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 3648U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(55, ng0); - -LAB4: xsi_set_current_line(56, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(58, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(59, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(60, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(61, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(63, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(64, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(64, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(65, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(65, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(67, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(67, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(68, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(68, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(70, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(70, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(71, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(71, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(72, ng0); - t2 = ((char*)((ng5))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(72, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(73, ng0); - t2 = ((char*)((ng6))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(73, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(74, ng0); - t2 = ((char*)((ng7))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(74, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(75, ng0); - t2 = ((char*)((ng8))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(75, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(76, ng0); - t2 = ((char*)((ng9))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(76, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(89, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(90, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng5))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(91, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(92, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng10))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(93, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng11))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(94, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng9))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(95, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: goto LAB1; - -} - - -extern void work_m_00000000002201886890_2332283379_init() -{ - static char *pe[] = {(void *)Initial_55_0}; - xsi_register_didat("work_m_00000000002201886890_2332283379", "isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat deleted file mode 100644 index 433454d3b59f8473fa8185791924a352b5a49930..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4244 zcmeH~&rcIU6vrQmh)4`KG4WRvH6hqtS_>^;v=)R4inc}kfo=+ILIn!aEf|dl6XL;( z95`q~q8IP{2^>9mFdhs?4%cdJFa6(@4nKwK8zHeqo)Mv)uvg|E>D^k4YVC z#Ltad*50uC8_82=Y4H`7=gH7Z)ZE5k^kcmy?IRouh8SBitbEE%)YX*Ey zTIgyxMqlxP?T)!sfc3i!+};&xFH`?^>0ue`dzcqCqn7U_`eIfr#}P*$_jwN}CC5=m z4zBG+i8+ora&Uk5m6+qWBgf9=|51U$yT^J|_PNiMsR}%CjNA9Oo93xQ!|#SNH_bBy zsJZFYdyqOe&2xu_dtaHG<^=-m^DxeC_IqmlB|7$Tdkq+4zo#^Fj&XYp+%&Hp8hZ`g zG;bUldkx$)?;IM&m12C}1qeQY&o*Hd`l_Cc;ri=s_qVdE=3!2^&w6L?ifs42TV-v8 z6W9klKLtP#w18H?^Zt2o0bB$j5C-jl=Y~$e-~I@=0=fZz$FG52a2-Se4`Xp~6ZC`I zfamPHU;x|$_W{q$Q}rJ4l7&ux z6pyz7(U_tCF`qxsr2EkZ4gH+or#Ck1!GPp)rc94dju`8QMlxwLoftLzena1I6;MPM zJBV@om6miiJyxih!JaXg8up|fr92$vLN)tBy>U&%`-o zZQHQ@uu_OE=!;4TelgK{Hq>sKR>0m&av#`w7qv^P9e#?sGTSqcpud>5`&P9!G?hZy zP^E_5NdACg_QCG+)#uQ%OUrJmYS6Nos+gADQKf0w?yA<7Y)93G7N|BY`>i%~ECv@X zSE21Wn<*K&uH|aRQQz4=Pu0^t_l(u;6$&(v!IhSwSrpQSa@A=0O>% zwk7*ZORmN`9=S|lBlO4>5EpDBfItD!#7*btn|6)o&c|-LAm4P{1h1wG^G%Ozq;bs8&q{R%2Zcj7qg4(6I`xEMirnS}iWH8tY#&DuDz#Rz(x| z4*o{21UCD(Qb$Fght<=n)sh0MvF;X(ivC#6wIa~5YCR|GoNM(Z2-m2V`nP&iu_DmJ zYMpAO`L_xxRs?!j-F!e9)iVE9)ru8?9#*?ltL6T!B8nA(9#&ITs}=sOtofE_cgz+9 zdRQH;P!ibU-zr9(ODh6BtQM(OxXb_F97v#JRrD7ApM@68A)g`NMx#Zm9-^!Ybq!oce_{-)wY5rH^+4Dd$4tqS9tI(OGjlQA!BK%8CGl$w$m(i z6x+uw)sNIZVW}Jx_i_M=i7%j-I1k0dbtoq8K`~K|JDrKApg0zWVqygp6LDGF2gStO zGIjupiIY%FT$Hs}p_mwf;hjLNPH7x2x?7 z#Y6)X6DwuyW+*0Jm9f20Ond;v#3@;O0g8!RGIke=iHTVEF;NA@XAp&AV!e!QhGOC+ zC??*NwFjY?_zH@%djX1x-=LWI8;U)~W-F|h-Ri5wIYpUBt=C?>v#V&aCZy$8j_^a**7AQTe|p_o_= z#U61eCici!4vLA7pqMx#YcE1EaYx4PK`}7}-&B~WhGLI;C?+;S@yO#)OzebWVm}lU z!%$3oEn^p#mtjNYA0 l8Sc7)?%vLUcv_E}X0kJ-55&9smHNJPZ^AH5eR~`y_AjBEXlDQb diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c deleted file mode 100644 index 92d2da0..0000000 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c +++ /dev/null @@ -1,5992 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v"; -static unsigned int ng1[] = {4U, 0U}; -static int ng2[] = {0, 0}; -static int ng3[] = {1, 0}; -static unsigned int ng4[] = {0U, 0U}; -static unsigned int ng5[] = {3U, 0U}; -static unsigned int ng6[] = {15U, 0U}; -static unsigned int ng7[] = {14U, 0U}; -static unsigned int ng8[] = {10U, 0U}; -static unsigned int ng9[] = {2U, 0U}; -static unsigned int ng10[] = {5U, 0U}; -static unsigned int ng11[] = {8U, 0U}; -static unsigned int ng12[] = {9U, 0U}; -static unsigned int ng13[] = {11U, 0U}; -static unsigned int ng14[] = {12U, 0U}; -static unsigned int ng15[] = {13U, 0U}; - - - -static void NetDecl_12_0(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - unsigned int t28; - unsigned int t29; - char *t30; - unsigned int t31; - unsigned int t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - -LAB0: t1 = (t0 + 4448U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(12, ng0); - t2 = (t0 + 3528); - t4 = (t2 + 56U); - t5 = *((char **)t4); - memset(t3, 0, 8); - t6 = (t5 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t5); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t6) == 0) - goto LAB4; - -LAB6: t12 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t12) = 1; - -LAB7: t13 = (t3 + 4); - t14 = (t5 + 4); - t15 = *((unsigned int *)t5); - t16 = (~(t15)); - *((unsigned int *)t3) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB9; - -LAB8: t21 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 7224); - t24 = (t23 + 56U); - t25 = *((char **)t24); - t26 = (t25 + 56U); - t27 = *((char **)t26); - memset(t27, 0, 8); - t28 = 1U; - t29 = t28; - t30 = (t3 + 4); - t31 = *((unsigned int *)t3); - t28 = (t28 & t31); - t32 = *((unsigned int *)t30); - t29 = (t29 & t32); - t33 = (t27 + 4); - t34 = *((unsigned int *)t27); - *((unsigned int *)t27) = (t34 | t28); - t35 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t35 | t29); - xsi_driver_vfirst_trans(t23, 0, 0U); - t36 = (t0 + 7000); - *((int *)t36) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t17 = *((unsigned int *)t3); - t18 = *((unsigned int *)t14); - *((unsigned int *)t3) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB8; - -} - -static void NetDecl_13_1(char *t0) -{ - char t3[8]; - char t13[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - char *t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - unsigned int t37; - unsigned int t38; - char *t39; - unsigned int t40; - unsigned int t41; - char *t42; - -LAB0: t1 = (t0 + 4696U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(13, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng1))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: t29 = (t0 + 7288); - t30 = (t29 + 56U); - t31 = *((char **)t30); - t32 = (t31 + 56U); - t33 = *((char **)t32); - memset(t33, 0, 8); - t34 = 1U; - t35 = t34; - t36 = (t13 + 4); - t37 = *((unsigned int *)t13); - t34 = (t34 & t37); - t38 = *((unsigned int *)t36); - t35 = (t35 & t38); - t39 = (t33 + 4); - t40 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t40 | t34); - t41 = *((unsigned int *)t39); - *((unsigned int *)t39) = (t41 | t35); - xsi_driver_vfirst_trans(t29, 0, 0U); - t42 = (t0 + 7016); - *((int *)t42) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -} - -static void Always_14_2(char *t0) -{ - char t4[8]; - char t31[8]; - char t32[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - int t48; - int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - -LAB0: t1 = (t0 + 4944U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(14, ng0); - t2 = (t0 + 7032); - *((int *)t2) = 1; - t3 = (t0 + 4976); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(14, ng0); - -LAB5: xsi_set_current_line(15, ng0); - t5 = (t0 + 1368U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(16, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB15; - -LAB16: if (*((unsigned int *)t2) != 0) - goto LAB17; - -LAB18: t6 = (t4 + 4); - t15 = *((unsigned int *)t4); - t16 = *((unsigned int *)t6); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB19; - -LAB20: memcpy(t32, t4, 8); - -LAB21: t56 = (t32 + 4); - t57 = *((unsigned int *)t56); - t58 = (~(t57)); - t59 = *((unsigned int *)t32); - t60 = (t59 & t58); - t61 = (t60 != 0); - if (t61 > 0) - goto LAB29; - -LAB30: -LAB31: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(15, ng0); - t29 = ((char*)((ng2))); - t30 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - goto LAB14; - -LAB15: *((unsigned int *)t4) = 1; - goto LAB18; - -LAB17: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - goto LAB18; - -LAB19: t12 = (t0 + 2808U); - t13 = *((char **)t12); - memset(t31, 0, 8); - t12 = (t13 + 4); - t18 = *((unsigned int *)t12); - t19 = (~(t18)); - t20 = *((unsigned int *)t13); - t21 = (t20 & t19); - t22 = (t21 & 1U); - if (t22 != 0) - goto LAB22; - -LAB23: if (*((unsigned int *)t12) != 0) - goto LAB24; - -LAB25: t24 = *((unsigned int *)t4); - t25 = *((unsigned int *)t31); - t26 = (t24 & t25); - *((unsigned int *)t32) = t26; - t23 = (t4 + 4); - t29 = (t31 + 4); - t30 = (t32 + 4); - t27 = *((unsigned int *)t23); - t28 = *((unsigned int *)t29); - t33 = (t27 | t28); - *((unsigned int *)t30) = t33; - t34 = *((unsigned int *)t30); - t35 = (t34 != 0); - if (t35 == 1) - goto LAB26; - -LAB27: -LAB28: goto LAB21; - -LAB22: *((unsigned int *)t31) = 1; - goto LAB25; - -LAB24: t14 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t14) = 1; - goto LAB25; - -LAB26: t36 = *((unsigned int *)t32); - t37 = *((unsigned int *)t30); - *((unsigned int *)t32) = (t36 | t37); - t38 = (t4 + 4); - t39 = (t31 + 4); - t40 = *((unsigned int *)t4); - t41 = (~(t40)); - t42 = *((unsigned int *)t38); - t43 = (~(t42)); - t44 = *((unsigned int *)t31); - t45 = (~(t44)); - t46 = *((unsigned int *)t39); - t47 = (~(t46)); - t48 = (t41 & t43); - t49 = (t45 & t47); - t50 = (~(t48)); - t51 = (~(t49)); - t52 = *((unsigned int *)t30); - *((unsigned int *)t30) = (t52 & t50); - t53 = *((unsigned int *)t30); - *((unsigned int *)t30) = (t53 & t51); - t54 = *((unsigned int *)t32); - *((unsigned int *)t32) = (t54 & t50); - t55 = *((unsigned int *)t32); - *((unsigned int *)t32) = (t55 & t51); - goto LAB28; - -LAB29: xsi_set_current_line(16, ng0); - t62 = ((char*)((ng3))); - t63 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t63, t62, 0, 0, 1, 0LL); - goto LAB31; - -} - -static void Always_18_3(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - char *t31; - char *t32; - -LAB0: t1 = (t0 + 5192U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(18, ng0); - t2 = (t0 + 7048); - *((int *)t2) = 1; - t3 = (t0 + 5224); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(18, ng0); - -LAB5: xsi_set_current_line(19, ng0); - t5 = (t0 + 1688U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(19, ng0); - t29 = (t0 + 3368); - t30 = (t29 + 56U); - t31 = *((char **)t30); - t32 = (t0 + 3528); - xsi_vlogvar_wait_assign_value(t32, t31, 0, 0, 1, 0LL); - goto LAB14; - -} - -static void Cont_23_4(char *t0) -{ - char t3[8]; - char t13[8]; - char t29[8]; - char t41[8]; - char t60[8]; - char t68[8]; - char t100[8]; - char t113[8]; - char t124[8]; - char t140[8]; - char t154[8]; - char t161[8]; - char t193[8]; - char t201[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t69; - unsigned int t70; - unsigned int t71; - char *t72; - char *t73; - char *t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - int t92; - int t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t107; - char *t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - char *t114; - char *t115; - char *t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - char *t123; - char *t125; - char *t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - char *t139; - char *t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - char *t147; - char *t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - char *t152; - char *t153; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - char *t160; - unsigned int t162; - unsigned int t163; - unsigned int t164; - char *t165; - char *t166; - char *t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - char *t175; - char *t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - int t185; - int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - char *t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - char *t200; - unsigned int t202; - unsigned int t203; - unsigned int t204; - char *t205; - char *t206; - char *t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - char *t215; - char *t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - char *t229; - char *t230; - char *t231; - char *t232; - char *t233; - unsigned int t234; - unsigned int t235; - char *t236; - unsigned int t237; - unsigned int t238; - char *t239; - unsigned int t240; - unsigned int t241; - char *t242; - -LAB0: t1 = (t0 + 5440U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(23, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 14); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 14); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 3U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 3U); - t12 = ((char*)((ng4))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: memset(t29, 0, 8); - t30 = (t13 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t13); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB8; - -LAB9: if (*((unsigned int *)t30) != 0) - goto LAB10; - -LAB11: t37 = (t29 + 4); - t38 = *((unsigned int *)t29); - t39 = *((unsigned int *)t37); - t40 = (t38 || t39); - if (t40 > 0) - goto LAB12; - -LAB13: memcpy(t68, t29, 8); - -LAB14: memset(t100, 0, 8); - t101 = (t68 + 4); - t102 = *((unsigned int *)t101); - t103 = (~(t102)); - t104 = *((unsigned int *)t68); - t105 = (t104 & t103); - t106 = (t105 & 1U); - if (t106 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t101) != 0) - goto LAB30; - -LAB31: t108 = (t100 + 4); - t109 = *((unsigned int *)t100); - t110 = (!(t109)); - t111 = *((unsigned int *)t108); - t112 = (t110 || t111); - if (t112 > 0) - goto LAB32; - -LAB33: memcpy(t201, t100, 8); - -LAB34: t229 = (t0 + 7352); - t230 = (t229 + 56U); - t231 = *((char **)t230); - t232 = (t231 + 56U); - t233 = *((char **)t232); - memset(t233, 0, 8); - t234 = 1U; - t235 = t234; - t236 = (t201 + 4); - t237 = *((unsigned int *)t201); - t234 = (t234 & t237); - t238 = *((unsigned int *)t236); - t235 = (t235 & t238); - t239 = (t233 + 4); - t240 = *((unsigned int *)t233); - *((unsigned int *)t233) = (t240 | t234); - t241 = *((unsigned int *)t239); - *((unsigned int *)t239) = (t241 | t235); - xsi_driver_vfirst_trans(t229, 0, 0); - t242 = (t0 + 7064); - *((int *)t242) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -LAB8: *((unsigned int *)t29) = 1; - goto LAB11; - -LAB10: t36 = (t29 + 4); - *((unsigned int *)t29) = 1; - *((unsigned int *)t36) = 1; - goto LAB11; - -LAB12: t42 = (t0 + 2648U); - t43 = *((char **)t42); - memset(t41, 0, 8); - t42 = (t43 + 4); - t44 = *((unsigned int *)t42); - t45 = (~(t44)); - t46 = *((unsigned int *)t43); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB18; - -LAB16: if (*((unsigned int *)t42) == 0) - goto LAB15; - -LAB17: t49 = (t41 + 4); - *((unsigned int *)t41) = 1; - *((unsigned int *)t49) = 1; - -LAB18: t50 = (t41 + 4); - t51 = (t43 + 4); - t52 = *((unsigned int *)t43); - t53 = (~(t52)); - *((unsigned int *)t41) = t53; - *((unsigned int *)t50) = 0; - if (*((unsigned int *)t51) != 0) - goto LAB20; - -LAB19: t58 = *((unsigned int *)t41); - *((unsigned int *)t41) = (t58 & 1U); - t59 = *((unsigned int *)t50); - *((unsigned int *)t50) = (t59 & 1U); - memset(t60, 0, 8); - t61 = (t41 + 4); - t62 = *((unsigned int *)t61); - t63 = (~(t62)); - t64 = *((unsigned int *)t41); - t65 = (t64 & t63); - t66 = (t65 & 1U); - if (t66 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t61) != 0) - goto LAB23; - -LAB24: t69 = *((unsigned int *)t29); - t70 = *((unsigned int *)t60); - t71 = (t69 & t70); - *((unsigned int *)t68) = t71; - t72 = (t29 + 4); - t73 = (t60 + 4); - t74 = (t68 + 4); - t75 = *((unsigned int *)t72); - t76 = *((unsigned int *)t73); - t77 = (t75 | t76); - *((unsigned int *)t74) = t77; - t78 = *((unsigned int *)t74); - t79 = (t78 != 0); - if (t79 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB14; - -LAB15: *((unsigned int *)t41) = 1; - goto LAB18; - -LAB20: t54 = *((unsigned int *)t41); - t55 = *((unsigned int *)t51); - *((unsigned int *)t41) = (t54 | t55); - t56 = *((unsigned int *)t50); - t57 = *((unsigned int *)t51); - *((unsigned int *)t50) = (t56 | t57); - goto LAB19; - -LAB21: *((unsigned int *)t60) = 1; - goto LAB24; - -LAB23: t67 = (t60 + 4); - *((unsigned int *)t60) = 1; - *((unsigned int *)t67) = 1; - goto LAB24; - -LAB25: t80 = *((unsigned int *)t68); - t81 = *((unsigned int *)t74); - *((unsigned int *)t68) = (t80 | t81); - t82 = (t29 + 4); - t83 = (t60 + 4); - t84 = *((unsigned int *)t29); - t85 = (~(t84)); - t86 = *((unsigned int *)t82); - t87 = (~(t86)); - t88 = *((unsigned int *)t60); - t89 = (~(t88)); - t90 = *((unsigned int *)t83); - t91 = (~(t90)); - t92 = (t85 & t87); - t93 = (t89 & t91); - t94 = (~(t92)); - t95 = (~(t93)); - t96 = *((unsigned int *)t74); - *((unsigned int *)t74) = (t96 & t94); - t97 = *((unsigned int *)t74); - *((unsigned int *)t74) = (t97 & t95); - t98 = *((unsigned int *)t68); - *((unsigned int *)t68) = (t98 & t94); - t99 = *((unsigned int *)t68); - *((unsigned int *)t68) = (t99 & t95); - goto LAB27; - -LAB28: *((unsigned int *)t100) = 1; - goto LAB31; - -LAB30: t107 = (t100 + 4); - *((unsigned int *)t100) = 1; - *((unsigned int *)t107) = 1; - goto LAB31; - -LAB32: t114 = (t0 + 1048U); - t115 = *((char **)t114); - memset(t113, 0, 8); - t114 = (t113 + 4); - t116 = (t115 + 4); - t117 = *((unsigned int *)t115); - t118 = (t117 >> 13); - *((unsigned int *)t113) = t118; - t119 = *((unsigned int *)t116); - t120 = (t119 >> 13); - *((unsigned int *)t114) = t120; - t121 = *((unsigned int *)t113); - *((unsigned int *)t113) = (t121 & 7U); - t122 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t122 & 7U); - t123 = ((char*)((ng5))); - memset(t124, 0, 8); - t125 = (t113 + 4); - t126 = (t123 + 4); - t127 = *((unsigned int *)t113); - t128 = *((unsigned int *)t123); - t129 = (t127 ^ t128); - t130 = *((unsigned int *)t125); - t131 = *((unsigned int *)t126); - t132 = (t130 ^ t131); - t133 = (t129 | t132); - t134 = *((unsigned int *)t125); - t135 = *((unsigned int *)t126); - t136 = (t134 | t135); - t137 = (~(t136)); - t138 = (t133 & t137); - if (t138 != 0) - goto LAB38; - -LAB35: if (t136 != 0) - goto LAB37; - -LAB36: *((unsigned int *)t124) = 1; - -LAB38: memset(t140, 0, 8); - t141 = (t124 + 4); - t142 = *((unsigned int *)t141); - t143 = (~(t142)); - t144 = *((unsigned int *)t124); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t141) != 0) - goto LAB41; - -LAB42: t148 = (t140 + 4); - t149 = *((unsigned int *)t140); - t150 = *((unsigned int *)t148); - t151 = (t149 || t150); - if (t151 > 0) - goto LAB43; - -LAB44: memcpy(t161, t140, 8); - -LAB45: memset(t193, 0, 8); - t194 = (t161 + 4); - t195 = *((unsigned int *)t194); - t196 = (~(t195)); - t197 = *((unsigned int *)t161); - t198 = (t197 & t196); - t199 = (t198 & 1U); - if (t199 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t194) != 0) - goto LAB55; - -LAB56: t202 = *((unsigned int *)t100); - t203 = *((unsigned int *)t193); - t204 = (t202 | t203); - *((unsigned int *)t201) = t204; - t205 = (t100 + 4); - t206 = (t193 + 4); - t207 = (t201 + 4); - t208 = *((unsigned int *)t205); - t209 = *((unsigned int *)t206); - t210 = (t208 | t209); - *((unsigned int *)t207) = t210; - t211 = *((unsigned int *)t207); - t212 = (t211 != 0); - if (t212 == 1) - goto LAB57; - -LAB58: -LAB59: goto LAB34; - -LAB37: t139 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t139) = 1; - goto LAB38; - -LAB39: *((unsigned int *)t140) = 1; - goto LAB42; - -LAB41: t147 = (t140 + 4); - *((unsigned int *)t140) = 1; - *((unsigned int *)t147) = 1; - goto LAB42; - -LAB43: t152 = (t0 + 2648U); - t153 = *((char **)t152); - memset(t154, 0, 8); - t152 = (t153 + 4); - t155 = *((unsigned int *)t152); - t156 = (~(t155)); - t157 = *((unsigned int *)t153); - t158 = (t157 & t156); - t159 = (t158 & 1U); - if (t159 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t152) != 0) - goto LAB48; - -LAB49: t162 = *((unsigned int *)t140); - t163 = *((unsigned int *)t154); - t164 = (t162 & t163); - *((unsigned int *)t161) = t164; - t165 = (t140 + 4); - t166 = (t154 + 4); - t167 = (t161 + 4); - t168 = *((unsigned int *)t165); - t169 = *((unsigned int *)t166); - t170 = (t168 | t169); - *((unsigned int *)t167) = t170; - t171 = *((unsigned int *)t167); - t172 = (t171 != 0); - if (t172 == 1) - goto LAB50; - -LAB51: -LAB52: goto LAB45; - -LAB46: *((unsigned int *)t154) = 1; - goto LAB49; - -LAB48: t160 = (t154 + 4); - *((unsigned int *)t154) = 1; - *((unsigned int *)t160) = 1; - goto LAB49; - -LAB50: t173 = *((unsigned int *)t161); - t174 = *((unsigned int *)t167); - *((unsigned int *)t161) = (t173 | t174); - t175 = (t140 + 4); - t176 = (t154 + 4); - t177 = *((unsigned int *)t140); - t178 = (~(t177)); - t179 = *((unsigned int *)t175); - t180 = (~(t179)); - t181 = *((unsigned int *)t154); - t182 = (~(t181)); - t183 = *((unsigned int *)t176); - t184 = (~(t183)); - t185 = (t178 & t180); - t186 = (t182 & t184); - t187 = (~(t185)); - t188 = (~(t186)); - t189 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t189 & t187); - t190 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t190 & t188); - t191 = *((unsigned int *)t161); - *((unsigned int *)t161) = (t191 & t187); - t192 = *((unsigned int *)t161); - *((unsigned int *)t161) = (t192 & t188); - goto LAB52; - -LAB53: *((unsigned int *)t193) = 1; - goto LAB56; - -LAB55: t200 = (t193 + 4); - *((unsigned int *)t193) = 1; - *((unsigned int *)t200) = 1; - goto LAB56; - -LAB57: t213 = *((unsigned int *)t201); - t214 = *((unsigned int *)t207); - *((unsigned int *)t201) = (t213 | t214); - t215 = (t100 + 4); - t216 = (t193 + 4); - t217 = *((unsigned int *)t215); - t218 = (~(t217)); - t219 = *((unsigned int *)t100); - t220 = (t219 & t218); - t221 = *((unsigned int *)t216); - t222 = (~(t221)); - t223 = *((unsigned int *)t193); - t224 = (t223 & t222); - t225 = (~(t220)); - t226 = (~(t224)); - t227 = *((unsigned int *)t207); - *((unsigned int *)t207) = (t227 & t225); - t228 = *((unsigned int *)t207); - *((unsigned int *)t207) = (t228 & t226); - goto LAB59; - -} - -static void NetDecl_25_5(char *t0) -{ - char t4[8]; - char t15[8]; - char t26[8]; - char t42[8]; - char t50[8]; - char t82[8]; - char t94[8]; - char t105[8]; - char t121[8]; - char t129[8]; - char t161[8]; - char t173[8]; - char t192[8]; - char t200[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - char *t16; - char *t17; - char *t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - char *t27; - char *t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t54; - char *t55; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - int t74; - int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - char *t89; - char *t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - char *t96; - char *t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t130; - unsigned int t131; - unsigned int t132; - char *t133; - char *t134; - char *t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - int t153; - int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - char *t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - unsigned int t167; - char *t168; - char *t169; - unsigned int t170; - unsigned int t171; - unsigned int t172; - char *t174; - char *t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - char *t181; - char *t182; - char *t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - char *t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - char *t199; - unsigned int t201; - unsigned int t202; - unsigned int t203; - char *t204; - char *t205; - char *t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - char *t214; - char *t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - int t224; - int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - char *t232; - char *t233; - char *t234; - char *t235; - char *t236; - unsigned int t237; - unsigned int t238; - char *t239; - unsigned int t240; - unsigned int t241; - char *t242; - unsigned int t243; - unsigned int t244; - char *t245; - -LAB0: t1 = (t0 + 5688U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(25, ng0); - t2 = (t0 + 2328U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = *((unsigned int *)t11); - t14 = (t12 || t13); - if (t14 > 0) - goto LAB8; - -LAB9: memcpy(t50, t4, 8); - -LAB10: memset(t82, 0, 8); - t83 = (t50 + 4); - t84 = *((unsigned int *)t83); - t85 = (~(t84)); - t86 = *((unsigned int *)t50); - t87 = (t86 & t85); - t88 = (t87 & 1U); - if (t88 != 0) - goto LAB22; - -LAB23: if (*((unsigned int *)t83) != 0) - goto LAB24; - -LAB25: t90 = (t82 + 4); - t91 = *((unsigned int *)t82); - t92 = *((unsigned int *)t90); - t93 = (t91 || t92); - if (t93 > 0) - goto LAB26; - -LAB27: memcpy(t129, t82, 8); - -LAB28: memset(t161, 0, 8); - t162 = (t129 + 4); - t163 = *((unsigned int *)t162); - t164 = (~(t163)); - t165 = *((unsigned int *)t129); - t166 = (t165 & t164); - t167 = (t166 & 1U); - if (t167 != 0) - goto LAB40; - -LAB41: if (*((unsigned int *)t162) != 0) - goto LAB42; - -LAB43: t169 = (t161 + 4); - t170 = *((unsigned int *)t161); - t171 = *((unsigned int *)t169); - t172 = (t170 || t171); - if (t172 > 0) - goto LAB44; - -LAB45: memcpy(t200, t161, 8); - -LAB46: t232 = (t0 + 7416); - t233 = (t232 + 56U); - t234 = *((char **)t233); - t235 = (t234 + 56U); - t236 = *((char **)t235); - memset(t236, 0, 8); - t237 = 1U; - t238 = t237; - t239 = (t200 + 4); - t240 = *((unsigned int *)t200); - t237 = (t237 & t240); - t241 = *((unsigned int *)t239); - t238 = (t238 & t241); - t242 = (t236 + 4); - t243 = *((unsigned int *)t236); - *((unsigned int *)t236) = (t243 | t237); - t244 = *((unsigned int *)t242); - *((unsigned int *)t242) = (t244 | t238); - xsi_driver_vfirst_trans(t232, 0, 0U); - t245 = (t0 + 7080); - *((int *)t245) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 1048U); - t17 = *((char **)t16); - memset(t15, 0, 8); - t16 = (t15 + 4); - t18 = (t17 + 4); - t19 = *((unsigned int *)t17); - t20 = (t19 >> 12); - *((unsigned int *)t15) = t20; - t21 = *((unsigned int *)t18); - t22 = (t21 >> 12); - *((unsigned int *)t16) = t22; - t23 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t23 & 3U); - t24 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t24 & 3U); - t25 = ((char*)((ng5))); - memset(t26, 0, 8); - t27 = (t15 + 4); - t28 = (t25 + 4); - t29 = *((unsigned int *)t15); - t30 = *((unsigned int *)t25); - t31 = (t29 ^ t30); - t32 = *((unsigned int *)t27); - t33 = *((unsigned int *)t28); - t34 = (t32 ^ t33); - t35 = (t31 | t34); - t36 = *((unsigned int *)t27); - t37 = *((unsigned int *)t28); - t38 = (t36 | t37); - t39 = (~(t38)); - t40 = (t35 & t39); - if (t40 != 0) - goto LAB14; - -LAB11: if (t38 != 0) - goto LAB13; - -LAB12: *((unsigned int *)t26) = 1; - -LAB14: memset(t42, 0, 8); - t43 = (t26 + 4); - t44 = *((unsigned int *)t43); - t45 = (~(t44)); - t46 = *((unsigned int *)t26); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB15; - -LAB16: if (*((unsigned int *)t43) != 0) - goto LAB17; - -LAB18: t51 = *((unsigned int *)t4); - t52 = *((unsigned int *)t42); - t53 = (t51 & t52); - *((unsigned int *)t50) = t53; - t54 = (t4 + 4); - t55 = (t42 + 4); - t56 = (t50 + 4); - t57 = *((unsigned int *)t54); - t58 = *((unsigned int *)t55); - t59 = (t57 | t58); - *((unsigned int *)t56) = t59; - t60 = *((unsigned int *)t56); - t61 = (t60 != 0); - if (t61 == 1) - goto LAB19; - -LAB20: -LAB21: goto LAB10; - -LAB13: t41 = (t26 + 4); - *((unsigned int *)t26) = 1; - *((unsigned int *)t41) = 1; - goto LAB14; - -LAB15: *((unsigned int *)t42) = 1; - goto LAB18; - -LAB17: t49 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t49) = 1; - goto LAB18; - -LAB19: t62 = *((unsigned int *)t50); - t63 = *((unsigned int *)t56); - *((unsigned int *)t50) = (t62 | t63); - t64 = (t4 + 4); - t65 = (t42 + 4); - t66 = *((unsigned int *)t4); - t67 = (~(t66)); - t68 = *((unsigned int *)t64); - t69 = (~(t68)); - t70 = *((unsigned int *)t42); - t71 = (~(t70)); - t72 = *((unsigned int *)t65); - t73 = (~(t72)); - t74 = (t67 & t69); - t75 = (t71 & t73); - t76 = (~(t74)); - t77 = (~(t75)); - t78 = *((unsigned int *)t56); - *((unsigned int *)t56) = (t78 & t76); - t79 = *((unsigned int *)t56); - *((unsigned int *)t56) = (t79 & t77); - t80 = *((unsigned int *)t50); - *((unsigned int *)t50) = (t80 & t76); - t81 = *((unsigned int *)t50); - *((unsigned int *)t50) = (t81 & t77); - goto LAB21; - -LAB22: *((unsigned int *)t82) = 1; - goto LAB25; - -LAB24: t89 = (t82 + 4); - *((unsigned int *)t82) = 1; - *((unsigned int *)t89) = 1; - goto LAB25; - -LAB26: t95 = (t0 + 1048U); - t96 = *((char **)t95); - memset(t94, 0, 8); - t95 = (t94 + 4); - t97 = (t96 + 4); - t98 = *((unsigned int *)t96); - t99 = (t98 >> 8); - *((unsigned int *)t94) = t99; - t100 = *((unsigned int *)t97); - t101 = (t100 >> 8); - *((unsigned int *)t95) = t101; - t102 = *((unsigned int *)t94); - *((unsigned int *)t94) = (t102 & 15U); - t103 = *((unsigned int *)t95); - *((unsigned int *)t95) = (t103 & 15U); - t104 = ((char*)((ng6))); - memset(t105, 0, 8); - t106 = (t94 + 4); - t107 = (t104 + 4); - t108 = *((unsigned int *)t94); - t109 = *((unsigned int *)t104); - t110 = (t108 ^ t109); - t111 = *((unsigned int *)t106); - t112 = *((unsigned int *)t107); - t113 = (t111 ^ t112); - t114 = (t110 | t113); - t115 = *((unsigned int *)t106); - t116 = *((unsigned int *)t107); - t117 = (t115 | t116); - t118 = (~(t117)); - t119 = (t114 & t118); - if (t119 != 0) - goto LAB32; - -LAB29: if (t117 != 0) - goto LAB31; - -LAB30: *((unsigned int *)t105) = 1; - -LAB32: memset(t121, 0, 8); - t122 = (t105 + 4); - t123 = *((unsigned int *)t122); - t124 = (~(t123)); - t125 = *((unsigned int *)t105); - t126 = (t125 & t124); - t127 = (t126 & 1U); - if (t127 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t122) != 0) - goto LAB35; - -LAB36: t130 = *((unsigned int *)t82); - t131 = *((unsigned int *)t121); - t132 = (t130 & t131); - *((unsigned int *)t129) = t132; - t133 = (t82 + 4); - t134 = (t121 + 4); - t135 = (t129 + 4); - t136 = *((unsigned int *)t133); - t137 = *((unsigned int *)t134); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = *((unsigned int *)t135); - t140 = (t139 != 0); - if (t140 == 1) - goto LAB37; - -LAB38: -LAB39: goto LAB28; - -LAB31: t120 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t120) = 1; - goto LAB32; - -LAB33: *((unsigned int *)t121) = 1; - goto LAB36; - -LAB35: t128 = (t121 + 4); - *((unsigned int *)t121) = 1; - *((unsigned int *)t128) = 1; - goto LAB36; - -LAB37: t141 = *((unsigned int *)t129); - t142 = *((unsigned int *)t135); - *((unsigned int *)t129) = (t141 | t142); - t143 = (t82 + 4); - t144 = (t121 + 4); - t145 = *((unsigned int *)t82); - t146 = (~(t145)); - t147 = *((unsigned int *)t143); - t148 = (~(t147)); - t149 = *((unsigned int *)t121); - t150 = (~(t149)); - t151 = *((unsigned int *)t144); - t152 = (~(t151)); - t153 = (t146 & t148); - t154 = (t150 & t152); - t155 = (~(t153)); - t156 = (~(t154)); - t157 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t157 & t155); - t158 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t158 & t156); - t159 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t159 & t155); - t160 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t160 & t156); - goto LAB39; - -LAB40: *((unsigned int *)t161) = 1; - goto LAB43; - -LAB42: t168 = (t161 + 4); - *((unsigned int *)t161) = 1; - *((unsigned int *)t168) = 1; - goto LAB43; - -LAB44: t174 = (t0 + 1528U); - t175 = *((char **)t174); - memset(t173, 0, 8); - t174 = (t175 + 4); - t176 = *((unsigned int *)t174); - t177 = (~(t176)); - t178 = *((unsigned int *)t175); - t179 = (t178 & t177); - t180 = (t179 & 1U); - if (t180 != 0) - goto LAB50; - -LAB48: if (*((unsigned int *)t174) == 0) - goto LAB47; - -LAB49: t181 = (t173 + 4); - *((unsigned int *)t173) = 1; - *((unsigned int *)t181) = 1; - -LAB50: t182 = (t173 + 4); - t183 = (t175 + 4); - t184 = *((unsigned int *)t175); - t185 = (~(t184)); - *((unsigned int *)t173) = t185; - *((unsigned int *)t182) = 0; - if (*((unsigned int *)t183) != 0) - goto LAB52; - -LAB51: t190 = *((unsigned int *)t173); - *((unsigned int *)t173) = (t190 & 1U); - t191 = *((unsigned int *)t182); - *((unsigned int *)t182) = (t191 & 1U); - memset(t192, 0, 8); - t193 = (t173 + 4); - t194 = *((unsigned int *)t193); - t195 = (~(t194)); - t196 = *((unsigned int *)t173); - t197 = (t196 & t195); - t198 = (t197 & 1U); - if (t198 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t193) != 0) - goto LAB55; - -LAB56: t201 = *((unsigned int *)t161); - t202 = *((unsigned int *)t192); - t203 = (t201 & t202); - *((unsigned int *)t200) = t203; - t204 = (t161 + 4); - t205 = (t192 + 4); - t206 = (t200 + 4); - t207 = *((unsigned int *)t204); - t208 = *((unsigned int *)t205); - t209 = (t207 | t208); - *((unsigned int *)t206) = t209; - t210 = *((unsigned int *)t206); - t211 = (t210 != 0); - if (t211 == 1) - goto LAB57; - -LAB58: -LAB59: goto LAB46; - -LAB47: *((unsigned int *)t173) = 1; - goto LAB50; - -LAB52: t186 = *((unsigned int *)t173); - t187 = *((unsigned int *)t183); - *((unsigned int *)t173) = (t186 | t187); - t188 = *((unsigned int *)t182); - t189 = *((unsigned int *)t183); - *((unsigned int *)t182) = (t188 | t189); - goto LAB51; - -LAB53: *((unsigned int *)t192) = 1; - goto LAB56; - -LAB55: t199 = (t192 + 4); - *((unsigned int *)t192) = 1; - *((unsigned int *)t199) = 1; - goto LAB56; - -LAB57: t212 = *((unsigned int *)t200); - t213 = *((unsigned int *)t206); - *((unsigned int *)t200) = (t212 | t213); - t214 = (t161 + 4); - t215 = (t192 + 4); - t216 = *((unsigned int *)t161); - t217 = (~(t216)); - t218 = *((unsigned int *)t214); - t219 = (~(t218)); - t220 = *((unsigned int *)t192); - t221 = (~(t220)); - t222 = *((unsigned int *)t215); - t223 = (~(t222)); - t224 = (t217 & t219); - t225 = (t221 & t223); - t226 = (~(t224)); - t227 = (~(t225)); - t228 = *((unsigned int *)t206); - *((unsigned int *)t206) = (t228 & t226); - t229 = *((unsigned int *)t206); - *((unsigned int *)t206) = (t229 & t227); - t230 = *((unsigned int *)t200); - *((unsigned int *)t200) = (t230 & t226); - t231 = *((unsigned int *)t200); - *((unsigned int *)t200) = (t231 & t227); - goto LAB59; - -} - -static void Cont_26_6(char *t0) -{ - char t4[8]; - char t15[8]; - char t26[8]; - char t42[8]; - char t54[8]; - char t65[8]; - char t81[8]; - char t94[8]; - char t105[8]; - char t121[8]; - char t129[8]; - char t157[8]; - char t165[8]; - char t197[8]; - char t210[8]; - char t221[8]; - char t237[8]; - char t249[8]; - char t260[8]; - char t276[8]; - char t289[8]; - char t300[8]; - char t316[8]; - char t324[8]; - char t352[8]; - char t360[8]; - char t392[8]; - char t400[8]; - char t428[8]; - char t436[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - char *t16; - char *t17; - char *t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - char *t27; - char *t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t55; - char *t56; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - char *t88; - char *t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - char *t96; - char *t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t130; - unsigned int t131; - unsigned int t132; - char *t133; - char *t134; - char *t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - char *t164; - unsigned int t166; - unsigned int t167; - unsigned int t168; - char *t169; - char *t170; - char *t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - char *t179; - char *t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - int t189; - int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - char *t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - char *t204; - char *t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - char *t211; - char *t212; - char *t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - char *t220; - char *t222; - char *t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - char *t236; - char *t238; - unsigned int t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - char *t244; - char *t245; - unsigned int t246; - unsigned int t247; - unsigned int t248; - char *t250; - char *t251; - char *t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - char *t259; - char *t261; - char *t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - unsigned int t272; - unsigned int t273; - unsigned int t274; - char *t275; - char *t277; - unsigned int t278; - unsigned int t279; - unsigned int t280; - unsigned int t281; - unsigned int t282; - char *t283; - char *t284; - unsigned int t285; - unsigned int t286; - unsigned int t287; - unsigned int t288; - char *t290; - char *t291; - char *t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - unsigned int t296; - unsigned int t297; - unsigned int t298; - char *t299; - char *t301; - char *t302; - unsigned int t303; - unsigned int t304; - unsigned int t305; - unsigned int t306; - unsigned int t307; - unsigned int t308; - unsigned int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - unsigned int t314; - char *t315; - char *t317; - unsigned int t318; - unsigned int t319; - unsigned int t320; - unsigned int t321; - unsigned int t322; - char *t323; - unsigned int t325; - unsigned int t326; - unsigned int t327; - char *t328; - char *t329; - char *t330; - unsigned int t331; - unsigned int t332; - unsigned int t333; - unsigned int t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - char *t338; - char *t339; - unsigned int t340; - unsigned int t341; - unsigned int t342; - int t343; - unsigned int t344; - unsigned int t345; - unsigned int t346; - int t347; - unsigned int t348; - unsigned int t349; - unsigned int t350; - unsigned int t351; - char *t353; - unsigned int t354; - unsigned int t355; - unsigned int t356; - unsigned int t357; - unsigned int t358; - char *t359; - unsigned int t361; - unsigned int t362; - unsigned int t363; - char *t364; - char *t365; - char *t366; - unsigned int t367; - unsigned int t368; - unsigned int t369; - unsigned int t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - char *t374; - char *t375; - unsigned int t376; - unsigned int t377; - unsigned int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - unsigned int t383; - int t384; - int t385; - unsigned int t386; - unsigned int t387; - unsigned int t388; - unsigned int t389; - unsigned int t390; - unsigned int t391; - char *t393; - unsigned int t394; - unsigned int t395; - unsigned int t396; - unsigned int t397; - unsigned int t398; - char *t399; - unsigned int t401; - unsigned int t402; - unsigned int t403; - char *t404; - char *t405; - char *t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - char *t414; - char *t415; - unsigned int t416; - unsigned int t417; - unsigned int t418; - int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - int t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - char *t429; - unsigned int t430; - unsigned int t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - char *t435; - unsigned int t437; - unsigned int t438; - unsigned int t439; - char *t440; - char *t441; - char *t442; - unsigned int t443; - unsigned int t444; - unsigned int t445; - unsigned int t446; - unsigned int t447; - unsigned int t448; - unsigned int t449; - char *t450; - char *t451; - unsigned int t452; - unsigned int t453; - unsigned int t454; - unsigned int t455; - unsigned int t456; - unsigned int t457; - unsigned int t458; - unsigned int t459; - int t460; - int t461; - unsigned int t462; - unsigned int t463; - unsigned int t464; - unsigned int t465; - unsigned int t466; - unsigned int t467; - char *t468; - char *t469; - char *t470; - char *t471; - char *t472; - unsigned int t473; - unsigned int t474; - char *t475; - unsigned int t476; - unsigned int t477; - char *t478; - unsigned int t479; - unsigned int t480; - char *t481; - -LAB0: t1 = (t0 + 5936U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(26, ng0); - t2 = (t0 + 2968U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = *((unsigned int *)t11); - t14 = (t12 || t13); - if (t14 > 0) - goto LAB8; - -LAB9: memcpy(t436, t4, 8); - -LAB10: t468 = (t0 + 7480); - t469 = (t468 + 56U); - t470 = *((char **)t469); - t471 = (t470 + 56U); - t472 = *((char **)t471); - memset(t472, 0, 8); - t473 = 1U; - t474 = t473; - t475 = (t436 + 4); - t476 = *((unsigned int *)t436); - t473 = (t473 & t476); - t477 = *((unsigned int *)t475); - t474 = (t474 & t477); - t478 = (t472 + 4); - t479 = *((unsigned int *)t472); - *((unsigned int *)t472) = (t479 | t473); - t480 = *((unsigned int *)t478); - *((unsigned int *)t478) = (t480 | t474); - xsi_driver_vfirst_trans(t468, 0, 0); - t481 = (t0 + 7096); - *((int *)t481) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 1048U); - t17 = *((char **)t16); - memset(t15, 0, 8); - t16 = (t15 + 4); - t18 = (t17 + 4); - t19 = *((unsigned int *)t17); - t20 = (t19 >> 4); - *((unsigned int *)t15) = t20; - t21 = *((unsigned int *)t18); - t22 = (t21 >> 4); - *((unsigned int *)t16) = t22; - t23 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t23 & 15U); - t24 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t24 & 15U); - t25 = ((char*)((ng6))); - memset(t26, 0, 8); - t27 = (t15 + 4); - t28 = (t25 + 4); - t29 = *((unsigned int *)t15); - t30 = *((unsigned int *)t25); - t31 = (t29 ^ t30); - t32 = *((unsigned int *)t27); - t33 = *((unsigned int *)t28); - t34 = (t32 ^ t33); - t35 = (t31 | t34); - t36 = *((unsigned int *)t27); - t37 = *((unsigned int *)t28); - t38 = (t36 | t37); - t39 = (~(t38)); - t40 = (t35 & t39); - if (t40 != 0) - goto LAB14; - -LAB11: if (t38 != 0) - goto LAB13; - -LAB12: *((unsigned int *)t26) = 1; - -LAB14: memset(t42, 0, 8); - t43 = (t26 + 4); - t44 = *((unsigned int *)t43); - t45 = (~(t44)); - t46 = *((unsigned int *)t26); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB15; - -LAB16: if (*((unsigned int *)t43) != 0) - goto LAB17; - -LAB18: t50 = (t42 + 4); - t51 = *((unsigned int *)t42); - t52 = *((unsigned int *)t50); - t53 = (t51 || t52); - if (t53 > 0) - goto LAB19; - -LAB20: memcpy(t165, t42, 8); - -LAB21: memset(t197, 0, 8); - t198 = (t165 + 4); - t199 = *((unsigned int *)t198); - t200 = (~(t199)); - t201 = *((unsigned int *)t165); - t202 = (t201 & t200); - t203 = (t202 & 1U); - if (t203 != 0) - goto LAB51; - -LAB52: if (*((unsigned int *)t198) != 0) - goto LAB53; - -LAB54: t205 = (t197 + 4); - t206 = *((unsigned int *)t197); - t207 = (!(t206)); - t208 = *((unsigned int *)t205); - t209 = (t207 || t208); - if (t209 > 0) - goto LAB55; - -LAB56: memcpy(t400, t197, 8); - -LAB57: memset(t428, 0, 8); - t429 = (t400 + 4); - t430 = *((unsigned int *)t429); - t431 = (~(t430)); - t432 = *((unsigned int *)t400); - t433 = (t432 & t431); - t434 = (t433 & 1U); - if (t434 != 0) - goto LAB105; - -LAB106: if (*((unsigned int *)t429) != 0) - goto LAB107; - -LAB108: t437 = *((unsigned int *)t4); - t438 = *((unsigned int *)t428); - t439 = (t437 & t438); - *((unsigned int *)t436) = t439; - t440 = (t4 + 4); - t441 = (t428 + 4); - t442 = (t436 + 4); - t443 = *((unsigned int *)t440); - t444 = *((unsigned int *)t441); - t445 = (t443 | t444); - *((unsigned int *)t442) = t445; - t446 = *((unsigned int *)t442); - t447 = (t446 != 0); - if (t447 == 1) - goto LAB109; - -LAB110: -LAB111: goto LAB10; - -LAB13: t41 = (t26 + 4); - *((unsigned int *)t26) = 1; - *((unsigned int *)t41) = 1; - goto LAB14; - -LAB15: *((unsigned int *)t42) = 1; - goto LAB18; - -LAB17: t49 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t49) = 1; - goto LAB18; - -LAB19: t55 = (t0 + 1048U); - t56 = *((char **)t55); - memset(t54, 0, 8); - t55 = (t54 + 4); - t57 = (t56 + 4); - t58 = *((unsigned int *)t56); - t59 = (t58 >> 0); - *((unsigned int *)t54) = t59; - t60 = *((unsigned int *)t57); - t61 = (t60 >> 0); - *((unsigned int *)t55) = t61; - t62 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t62 & 15U); - t63 = *((unsigned int *)t55); - *((unsigned int *)t55) = (t63 & 15U); - t64 = ((char*)((ng7))); - memset(t65, 0, 8); - t66 = (t54 + 4); - t67 = (t64 + 4); - t68 = *((unsigned int *)t54); - t69 = *((unsigned int *)t64); - t70 = (t68 ^ t69); - t71 = *((unsigned int *)t66); - t72 = *((unsigned int *)t67); - t73 = (t71 ^ t72); - t74 = (t70 | t73); - t75 = *((unsigned int *)t66); - t76 = *((unsigned int *)t67); - t77 = (t75 | t76); - t78 = (~(t77)); - t79 = (t74 & t78); - if (t79 != 0) - goto LAB25; - -LAB22: if (t77 != 0) - goto LAB24; - -LAB23: *((unsigned int *)t65) = 1; - -LAB25: memset(t81, 0, 8); - t82 = (t65 + 4); - t83 = *((unsigned int *)t82); - t84 = (~(t83)); - t85 = *((unsigned int *)t65); - t86 = (t85 & t84); - t87 = (t86 & 1U); - if (t87 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t82) != 0) - goto LAB28; - -LAB29: t89 = (t81 + 4); - t90 = *((unsigned int *)t81); - t91 = (!(t90)); - t92 = *((unsigned int *)t89); - t93 = (t91 || t92); - if (t93 > 0) - goto LAB30; - -LAB31: memcpy(t129, t81, 8); - -LAB32: memset(t157, 0, 8); - t158 = (t129 + 4); - t159 = *((unsigned int *)t158); - t160 = (~(t159)); - t161 = *((unsigned int *)t129); - t162 = (t161 & t160); - t163 = (t162 & 1U); - if (t163 != 0) - goto LAB44; - -LAB45: if (*((unsigned int *)t158) != 0) - goto LAB46; - -LAB47: t166 = *((unsigned int *)t42); - t167 = *((unsigned int *)t157); - t168 = (t166 & t167); - *((unsigned int *)t165) = t168; - t169 = (t42 + 4); - t170 = (t157 + 4); - t171 = (t165 + 4); - t172 = *((unsigned int *)t169); - t173 = *((unsigned int *)t170); - t174 = (t172 | t173); - *((unsigned int *)t171) = t174; - t175 = *((unsigned int *)t171); - t176 = (t175 != 0); - if (t176 == 1) - goto LAB48; - -LAB49: -LAB50: goto LAB21; - -LAB24: t80 = (t65 + 4); - *((unsigned int *)t65) = 1; - *((unsigned int *)t80) = 1; - goto LAB25; - -LAB26: *((unsigned int *)t81) = 1; - goto LAB29; - -LAB28: t88 = (t81 + 4); - *((unsigned int *)t81) = 1; - *((unsigned int *)t88) = 1; - goto LAB29; - -LAB30: t95 = (t0 + 1048U); - t96 = *((char **)t95); - memset(t94, 0, 8); - t95 = (t94 + 4); - t97 = (t96 + 4); - t98 = *((unsigned int *)t96); - t99 = (t98 >> 0); - *((unsigned int *)t94) = t99; - t100 = *((unsigned int *)t97); - t101 = (t100 >> 0); - *((unsigned int *)t95) = t101; - t102 = *((unsigned int *)t94); - *((unsigned int *)t94) = (t102 & 15U); - t103 = *((unsigned int *)t95); - *((unsigned int *)t95) = (t103 & 15U); - t104 = ((char*)((ng6))); - memset(t105, 0, 8); - t106 = (t94 + 4); - t107 = (t104 + 4); - t108 = *((unsigned int *)t94); - t109 = *((unsigned int *)t104); - t110 = (t108 ^ t109); - t111 = *((unsigned int *)t106); - t112 = *((unsigned int *)t107); - t113 = (t111 ^ t112); - t114 = (t110 | t113); - t115 = *((unsigned int *)t106); - t116 = *((unsigned int *)t107); - t117 = (t115 | t116); - t118 = (~(t117)); - t119 = (t114 & t118); - if (t119 != 0) - goto LAB36; - -LAB33: if (t117 != 0) - goto LAB35; - -LAB34: *((unsigned int *)t105) = 1; - -LAB36: memset(t121, 0, 8); - t122 = (t105 + 4); - t123 = *((unsigned int *)t122); - t124 = (~(t123)); - t125 = *((unsigned int *)t105); - t126 = (t125 & t124); - t127 = (t126 & 1U); - if (t127 != 0) - goto LAB37; - -LAB38: if (*((unsigned int *)t122) != 0) - goto LAB39; - -LAB40: t130 = *((unsigned int *)t81); - t131 = *((unsigned int *)t121); - t132 = (t130 | t131); - *((unsigned int *)t129) = t132; - t133 = (t81 + 4); - t134 = (t121 + 4); - t135 = (t129 + 4); - t136 = *((unsigned int *)t133); - t137 = *((unsigned int *)t134); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = *((unsigned int *)t135); - t140 = (t139 != 0); - if (t140 == 1) - goto LAB41; - -LAB42: -LAB43: goto LAB32; - -LAB35: t120 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t120) = 1; - goto LAB36; - -LAB37: *((unsigned int *)t121) = 1; - goto LAB40; - -LAB39: t128 = (t121 + 4); - *((unsigned int *)t121) = 1; - *((unsigned int *)t128) = 1; - goto LAB40; - -LAB41: t141 = *((unsigned int *)t129); - t142 = *((unsigned int *)t135); - *((unsigned int *)t129) = (t141 | t142); - t143 = (t81 + 4); - t144 = (t121 + 4); - t145 = *((unsigned int *)t143); - t146 = (~(t145)); - t147 = *((unsigned int *)t81); - t148 = (t147 & t146); - t149 = *((unsigned int *)t144); - t150 = (~(t149)); - t151 = *((unsigned int *)t121); - t152 = (t151 & t150); - t153 = (~(t148)); - t154 = (~(t152)); - t155 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t155 & t153); - t156 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t156 & t154); - goto LAB43; - -LAB44: *((unsigned int *)t157) = 1; - goto LAB47; - -LAB46: t164 = (t157 + 4); - *((unsigned int *)t157) = 1; - *((unsigned int *)t164) = 1; - goto LAB47; - -LAB48: t177 = *((unsigned int *)t165); - t178 = *((unsigned int *)t171); - *((unsigned int *)t165) = (t177 | t178); - t179 = (t42 + 4); - t180 = (t157 + 4); - t181 = *((unsigned int *)t42); - t182 = (~(t181)); - t183 = *((unsigned int *)t179); - t184 = (~(t183)); - t185 = *((unsigned int *)t157); - t186 = (~(t185)); - t187 = *((unsigned int *)t180); - t188 = (~(t187)); - t189 = (t182 & t184); - t190 = (t186 & t188); - t191 = (~(t189)); - t192 = (~(t190)); - t193 = *((unsigned int *)t171); - *((unsigned int *)t171) = (t193 & t191); - t194 = *((unsigned int *)t171); - *((unsigned int *)t171) = (t194 & t192); - t195 = *((unsigned int *)t165); - *((unsigned int *)t165) = (t195 & t191); - t196 = *((unsigned int *)t165); - *((unsigned int *)t165) = (t196 & t192); - goto LAB50; - -LAB51: *((unsigned int *)t197) = 1; - goto LAB54; - -LAB53: t204 = (t197 + 4); - *((unsigned int *)t197) = 1; - *((unsigned int *)t204) = 1; - goto LAB54; - -LAB55: t211 = (t0 + 1048U); - t212 = *((char **)t211); - memset(t210, 0, 8); - t211 = (t210 + 4); - t213 = (t212 + 4); - t214 = *((unsigned int *)t212); - t215 = (t214 >> 4); - *((unsigned int *)t210) = t215; - t216 = *((unsigned int *)t213); - t217 = (t216 >> 4); - *((unsigned int *)t211) = t217; - t218 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t218 & 15U); - t219 = *((unsigned int *)t211); - *((unsigned int *)t211) = (t219 & 15U); - t220 = ((char*)((ng8))); - memset(t221, 0, 8); - t222 = (t210 + 4); - t223 = (t220 + 4); - t224 = *((unsigned int *)t210); - t225 = *((unsigned int *)t220); - t226 = (t224 ^ t225); - t227 = *((unsigned int *)t222); - t228 = *((unsigned int *)t223); - t229 = (t227 ^ t228); - t230 = (t226 | t229); - t231 = *((unsigned int *)t222); - t232 = *((unsigned int *)t223); - t233 = (t231 | t232); - t234 = (~(t233)); - t235 = (t230 & t234); - if (t235 != 0) - goto LAB61; - -LAB58: if (t233 != 0) - goto LAB60; - -LAB59: *((unsigned int *)t221) = 1; - -LAB61: memset(t237, 0, 8); - t238 = (t221 + 4); - t239 = *((unsigned int *)t238); - t240 = (~(t239)); - t241 = *((unsigned int *)t221); - t242 = (t241 & t240); - t243 = (t242 & 1U); - if (t243 != 0) - goto LAB62; - -LAB63: if (*((unsigned int *)t238) != 0) - goto LAB64; - -LAB65: t245 = (t237 + 4); - t246 = *((unsigned int *)t237); - t247 = *((unsigned int *)t245); - t248 = (t246 || t247); - if (t248 > 0) - goto LAB66; - -LAB67: memcpy(t360, t237, 8); - -LAB68: memset(t392, 0, 8); - t393 = (t360 + 4); - t394 = *((unsigned int *)t393); - t395 = (~(t394)); - t396 = *((unsigned int *)t360); - t397 = (t396 & t395); - t398 = (t397 & 1U); - if (t398 != 0) - goto LAB98; - -LAB99: if (*((unsigned int *)t393) != 0) - goto LAB100; - -LAB101: t401 = *((unsigned int *)t197); - t402 = *((unsigned int *)t392); - t403 = (t401 | t402); - *((unsigned int *)t400) = t403; - t404 = (t197 + 4); - t405 = (t392 + 4); - t406 = (t400 + 4); - t407 = *((unsigned int *)t404); - t408 = *((unsigned int *)t405); - t409 = (t407 | t408); - *((unsigned int *)t406) = t409; - t410 = *((unsigned int *)t406); - t411 = (t410 != 0); - if (t411 == 1) - goto LAB102; - -LAB103: -LAB104: goto LAB57; - -LAB60: t236 = (t221 + 4); - *((unsigned int *)t221) = 1; - *((unsigned int *)t236) = 1; - goto LAB61; - -LAB62: *((unsigned int *)t237) = 1; - goto LAB65; - -LAB64: t244 = (t237 + 4); - *((unsigned int *)t237) = 1; - *((unsigned int *)t244) = 1; - goto LAB65; - -LAB66: t250 = (t0 + 1048U); - t251 = *((char **)t250); - memset(t249, 0, 8); - t250 = (t249 + 4); - t252 = (t251 + 4); - t253 = *((unsigned int *)t251); - t254 = (t253 >> 0); - *((unsigned int *)t249) = t254; - t255 = *((unsigned int *)t252); - t256 = (t255 >> 0); - *((unsigned int *)t250) = t256; - t257 = *((unsigned int *)t249); - *((unsigned int *)t249) = (t257 & 15U); - t258 = *((unsigned int *)t250); - *((unsigned int *)t250) = (t258 & 15U); - t259 = ((char*)((ng9))); - memset(t260, 0, 8); - t261 = (t249 + 4); - t262 = (t259 + 4); - t263 = *((unsigned int *)t249); - t264 = *((unsigned int *)t259); - t265 = (t263 ^ t264); - t266 = *((unsigned int *)t261); - t267 = *((unsigned int *)t262); - t268 = (t266 ^ t267); - t269 = (t265 | t268); - t270 = *((unsigned int *)t261); - t271 = *((unsigned int *)t262); - t272 = (t270 | t271); - t273 = (~(t272)); - t274 = (t269 & t273); - if (t274 != 0) - goto LAB72; - -LAB69: if (t272 != 0) - goto LAB71; - -LAB70: *((unsigned int *)t260) = 1; - -LAB72: memset(t276, 0, 8); - t277 = (t260 + 4); - t278 = *((unsigned int *)t277); - t279 = (~(t278)); - t280 = *((unsigned int *)t260); - t281 = (t280 & t279); - t282 = (t281 & 1U); - if (t282 != 0) - goto LAB73; - -LAB74: if (*((unsigned int *)t277) != 0) - goto LAB75; - -LAB76: t284 = (t276 + 4); - t285 = *((unsigned int *)t276); - t286 = (!(t285)); - t287 = *((unsigned int *)t284); - t288 = (t286 || t287); - if (t288 > 0) - goto LAB77; - -LAB78: memcpy(t324, t276, 8); - -LAB79: memset(t352, 0, 8); - t353 = (t324 + 4); - t354 = *((unsigned int *)t353); - t355 = (~(t354)); - t356 = *((unsigned int *)t324); - t357 = (t356 & t355); - t358 = (t357 & 1U); - if (t358 != 0) - goto LAB91; - -LAB92: if (*((unsigned int *)t353) != 0) - goto LAB93; - -LAB94: t361 = *((unsigned int *)t237); - t362 = *((unsigned int *)t352); - t363 = (t361 & t362); - *((unsigned int *)t360) = t363; - t364 = (t237 + 4); - t365 = (t352 + 4); - t366 = (t360 + 4); - t367 = *((unsigned int *)t364); - t368 = *((unsigned int *)t365); - t369 = (t367 | t368); - *((unsigned int *)t366) = t369; - t370 = *((unsigned int *)t366); - t371 = (t370 != 0); - if (t371 == 1) - goto LAB95; - -LAB96: -LAB97: goto LAB68; - -LAB71: t275 = (t260 + 4); - *((unsigned int *)t260) = 1; - *((unsigned int *)t275) = 1; - goto LAB72; - -LAB73: *((unsigned int *)t276) = 1; - goto LAB76; - -LAB75: t283 = (t276 + 4); - *((unsigned int *)t276) = 1; - *((unsigned int *)t283) = 1; - goto LAB76; - -LAB77: t290 = (t0 + 1048U); - t291 = *((char **)t290); - memset(t289, 0, 8); - t290 = (t289 + 4); - t292 = (t291 + 4); - t293 = *((unsigned int *)t291); - t294 = (t293 >> 0); - *((unsigned int *)t289) = t294; - t295 = *((unsigned int *)t292); - t296 = (t295 >> 0); - *((unsigned int *)t290) = t296; - t297 = *((unsigned int *)t289); - *((unsigned int *)t289) = (t297 & 15U); - t298 = *((unsigned int *)t290); - *((unsigned int *)t290) = (t298 & 15U); - t299 = ((char*)((ng5))); - memset(t300, 0, 8); - t301 = (t289 + 4); - t302 = (t299 + 4); - t303 = *((unsigned int *)t289); - t304 = *((unsigned int *)t299); - t305 = (t303 ^ t304); - t306 = *((unsigned int *)t301); - t307 = *((unsigned int *)t302); - t308 = (t306 ^ t307); - t309 = (t305 | t308); - t310 = *((unsigned int *)t301); - t311 = *((unsigned int *)t302); - t312 = (t310 | t311); - t313 = (~(t312)); - t314 = (t309 & t313); - if (t314 != 0) - goto LAB83; - -LAB80: if (t312 != 0) - goto LAB82; - -LAB81: *((unsigned int *)t300) = 1; - -LAB83: memset(t316, 0, 8); - t317 = (t300 + 4); - t318 = *((unsigned int *)t317); - t319 = (~(t318)); - t320 = *((unsigned int *)t300); - t321 = (t320 & t319); - t322 = (t321 & 1U); - if (t322 != 0) - goto LAB84; - -LAB85: if (*((unsigned int *)t317) != 0) - goto LAB86; - -LAB87: t325 = *((unsigned int *)t276); - t326 = *((unsigned int *)t316); - t327 = (t325 | t326); - *((unsigned int *)t324) = t327; - t328 = (t276 + 4); - t329 = (t316 + 4); - t330 = (t324 + 4); - t331 = *((unsigned int *)t328); - t332 = *((unsigned int *)t329); - t333 = (t331 | t332); - *((unsigned int *)t330) = t333; - t334 = *((unsigned int *)t330); - t335 = (t334 != 0); - if (t335 == 1) - goto LAB88; - -LAB89: -LAB90: goto LAB79; - -LAB82: t315 = (t300 + 4); - *((unsigned int *)t300) = 1; - *((unsigned int *)t315) = 1; - goto LAB83; - -LAB84: *((unsigned int *)t316) = 1; - goto LAB87; - -LAB86: t323 = (t316 + 4); - *((unsigned int *)t316) = 1; - *((unsigned int *)t323) = 1; - goto LAB87; - -LAB88: t336 = *((unsigned int *)t324); - t337 = *((unsigned int *)t330); - *((unsigned int *)t324) = (t336 | t337); - t338 = (t276 + 4); - t339 = (t316 + 4); - t340 = *((unsigned int *)t338); - t341 = (~(t340)); - t342 = *((unsigned int *)t276); - t343 = (t342 & t341); - t344 = *((unsigned int *)t339); - t345 = (~(t344)); - t346 = *((unsigned int *)t316); - t347 = (t346 & t345); - t348 = (~(t343)); - t349 = (~(t347)); - t350 = *((unsigned int *)t330); - *((unsigned int *)t330) = (t350 & t348); - t351 = *((unsigned int *)t330); - *((unsigned int *)t330) = (t351 & t349); - goto LAB90; - -LAB91: *((unsigned int *)t352) = 1; - goto LAB94; - -LAB93: t359 = (t352 + 4); - *((unsigned int *)t352) = 1; - *((unsigned int *)t359) = 1; - goto LAB94; - -LAB95: t372 = *((unsigned int *)t360); - t373 = *((unsigned int *)t366); - *((unsigned int *)t360) = (t372 | t373); - t374 = (t237 + 4); - t375 = (t352 + 4); - t376 = *((unsigned int *)t237); - t377 = (~(t376)); - t378 = *((unsigned int *)t374); - t379 = (~(t378)); - t380 = *((unsigned int *)t352); - t381 = (~(t380)); - t382 = *((unsigned int *)t375); - t383 = (~(t382)); - t384 = (t377 & t379); - t385 = (t381 & t383); - t386 = (~(t384)); - t387 = (~(t385)); - t388 = *((unsigned int *)t366); - *((unsigned int *)t366) = (t388 & t386); - t389 = *((unsigned int *)t366); - *((unsigned int *)t366) = (t389 & t387); - t390 = *((unsigned int *)t360); - *((unsigned int *)t360) = (t390 & t386); - t391 = *((unsigned int *)t360); - *((unsigned int *)t360) = (t391 & t387); - goto LAB97; - -LAB98: *((unsigned int *)t392) = 1; - goto LAB101; - -LAB100: t399 = (t392 + 4); - *((unsigned int *)t392) = 1; - *((unsigned int *)t399) = 1; - goto LAB101; - -LAB102: t412 = *((unsigned int *)t400); - t413 = *((unsigned int *)t406); - *((unsigned int *)t400) = (t412 | t413); - t414 = (t197 + 4); - t415 = (t392 + 4); - t416 = *((unsigned int *)t414); - t417 = (~(t416)); - t418 = *((unsigned int *)t197); - t419 = (t418 & t417); - t420 = *((unsigned int *)t415); - t421 = (~(t420)); - t422 = *((unsigned int *)t392); - t423 = (t422 & t421); - t424 = (~(t419)); - t425 = (~(t423)); - t426 = *((unsigned int *)t406); - *((unsigned int *)t406) = (t426 & t424); - t427 = *((unsigned int *)t406); - *((unsigned int *)t406) = (t427 & t425); - goto LAB104; - -LAB105: *((unsigned int *)t428) = 1; - goto LAB108; - -LAB107: t435 = (t428 + 4); - *((unsigned int *)t428) = 1; - *((unsigned int *)t435) = 1; - goto LAB108; - -LAB109: t448 = *((unsigned int *)t436); - t449 = *((unsigned int *)t442); - *((unsigned int *)t436) = (t448 | t449); - t450 = (t4 + 4); - t451 = (t428 + 4); - t452 = *((unsigned int *)t4); - t453 = (~(t452)); - t454 = *((unsigned int *)t450); - t455 = (~(t454)); - t456 = *((unsigned int *)t428); - t457 = (~(t456)); - t458 = *((unsigned int *)t451); - t459 = (~(t458)); - t460 = (t453 & t455); - t461 = (t457 & t459); - t462 = (~(t460)); - t463 = (~(t461)); - t464 = *((unsigned int *)t442); - *((unsigned int *)t442) = (t464 & t462); - t465 = *((unsigned int *)t442); - *((unsigned int *)t442) = (t465 & t463); - t466 = *((unsigned int *)t436); - *((unsigned int *)t436) = (t466 & t462); - t467 = *((unsigned int *)t436); - *((unsigned int *)t436) = (t467 & t463); - goto LAB111; - -} - -static void Cont_30_7(char *t0) -{ - char t3[8]; - char t13[8]; - char t29[8]; - char t42[8]; - char t53[8]; - char t69[8]; - char t83[8]; - char t90[8]; - char t122[8]; - char t130[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t43; - char *t44; - char *t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t54; - char *t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - char *t76; - char *t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - char *t89; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t94; - char *t95; - char *t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - int t114; - int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - char *t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - char *t129; - unsigned int t131; - unsigned int t132; - unsigned int t133; - char *t134; - char *t135; - char *t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - char *t144; - char *t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - char *t158; - char *t159; - char *t160; - char *t161; - char *t162; - unsigned int t163; - unsigned int t164; - char *t165; - unsigned int t166; - unsigned int t167; - char *t168; - unsigned int t169; - unsigned int t170; - char *t171; - -LAB0: t1 = (t0 + 6184U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(30, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng1))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: memset(t29, 0, 8); - t30 = (t13 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t13); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB8; - -LAB9: if (*((unsigned int *)t30) != 0) - goto LAB10; - -LAB11: t37 = (t29 + 4); - t38 = *((unsigned int *)t29); - t39 = (!(t38)); - t40 = *((unsigned int *)t37); - t41 = (t39 || t40); - if (t41 > 0) - goto LAB12; - -LAB13: memcpy(t130, t29, 8); - -LAB14: t158 = (t0 + 7544); - t159 = (t158 + 56U); - t160 = *((char **)t159); - t161 = (t160 + 56U); - t162 = *((char **)t161); - memset(t162, 0, 8); - t163 = 1U; - t164 = t163; - t165 = (t130 + 4); - t166 = *((unsigned int *)t130); - t163 = (t163 & t166); - t167 = *((unsigned int *)t165); - t164 = (t164 & t167); - t168 = (t162 + 4); - t169 = *((unsigned int *)t162); - *((unsigned int *)t162) = (t169 | t163); - t170 = *((unsigned int *)t168); - *((unsigned int *)t168) = (t170 | t164); - xsi_driver_vfirst_trans(t158, 0, 0); - t171 = (t0 + 7112); - *((int *)t171) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -LAB8: *((unsigned int *)t29) = 1; - goto LAB11; - -LAB10: t36 = (t29 + 4); - *((unsigned int *)t29) = 1; - *((unsigned int *)t36) = 1; - goto LAB11; - -LAB12: t43 = (t0 + 1048U); - t44 = *((char **)t43); - memset(t42, 0, 8); - t43 = (t42 + 4); - t45 = (t44 + 4); - t46 = *((unsigned int *)t44); - t47 = (t46 >> 12); - *((unsigned int *)t42) = t47; - t48 = *((unsigned int *)t45); - t49 = (t48 >> 12); - *((unsigned int *)t43) = t49; - t50 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t50 & 15U); - t51 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t51 & 15U); - t52 = ((char*)((ng4))); - memset(t53, 0, 8); - t54 = (t42 + 4); - t55 = (t52 + 4); - t56 = *((unsigned int *)t42); - t57 = *((unsigned int *)t52); - t58 = (t56 ^ t57); - t59 = *((unsigned int *)t54); - t60 = *((unsigned int *)t55); - t61 = (t59 ^ t60); - t62 = (t58 | t61); - t63 = *((unsigned int *)t54); - t64 = *((unsigned int *)t55); - t65 = (t63 | t64); - t66 = (~(t65)); - t67 = (t62 & t66); - if (t67 != 0) - goto LAB18; - -LAB15: if (t65 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t53) = 1; - -LAB18: memset(t69, 0, 8); - t70 = (t53 + 4); - t71 = *((unsigned int *)t70); - t72 = (~(t71)); - t73 = *((unsigned int *)t53); - t74 = (t73 & t72); - t75 = (t74 & 1U); - if (t75 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t70) != 0) - goto LAB21; - -LAB22: t77 = (t69 + 4); - t78 = *((unsigned int *)t69); - t79 = *((unsigned int *)t77); - t80 = (t78 || t79); - if (t80 > 0) - goto LAB23; - -LAB24: memcpy(t90, t69, 8); - -LAB25: memset(t122, 0, 8); - t123 = (t90 + 4); - t124 = *((unsigned int *)t123); - t125 = (~(t124)); - t126 = *((unsigned int *)t90); - t127 = (t126 & t125); - t128 = (t127 & 1U); - if (t128 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t123) != 0) - goto LAB35; - -LAB36: t131 = *((unsigned int *)t29); - t132 = *((unsigned int *)t122); - t133 = (t131 | t132); - *((unsigned int *)t130) = t133; - t134 = (t29 + 4); - t135 = (t122 + 4); - t136 = (t130 + 4); - t137 = *((unsigned int *)t134); - t138 = *((unsigned int *)t135); - t139 = (t137 | t138); - *((unsigned int *)t136) = t139; - t140 = *((unsigned int *)t136); - t141 = (t140 != 0); - if (t141 == 1) - goto LAB37; - -LAB38: -LAB39: goto LAB14; - -LAB17: t68 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t68) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t69) = 1; - goto LAB22; - -LAB21: t76 = (t69 + 4); - *((unsigned int *)t69) = 1; - *((unsigned int *)t76) = 1; - goto LAB22; - -LAB23: t81 = (t0 + 2648U); - t82 = *((char **)t81); - memset(t83, 0, 8); - t81 = (t82 + 4); - t84 = *((unsigned int *)t81); - t85 = (~(t84)); - t86 = *((unsigned int *)t82); - t87 = (t86 & t85); - t88 = (t87 & 1U); - if (t88 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t81) != 0) - goto LAB28; - -LAB29: t91 = *((unsigned int *)t69); - t92 = *((unsigned int *)t83); - t93 = (t91 & t92); - *((unsigned int *)t90) = t93; - t94 = (t69 + 4); - t95 = (t83 + 4); - t96 = (t90 + 4); - t97 = *((unsigned int *)t94); - t98 = *((unsigned int *)t95); - t99 = (t97 | t98); - *((unsigned int *)t96) = t99; - t100 = *((unsigned int *)t96); - t101 = (t100 != 0); - if (t101 == 1) - goto LAB30; - -LAB31: -LAB32: goto LAB25; - -LAB26: *((unsigned int *)t83) = 1; - goto LAB29; - -LAB28: t89 = (t83 + 4); - *((unsigned int *)t83) = 1; - *((unsigned int *)t89) = 1; - goto LAB29; - -LAB30: t102 = *((unsigned int *)t90); - t103 = *((unsigned int *)t96); - *((unsigned int *)t90) = (t102 | t103); - t104 = (t69 + 4); - t105 = (t83 + 4); - t106 = *((unsigned int *)t69); - t107 = (~(t106)); - t108 = *((unsigned int *)t104); - t109 = (~(t108)); - t110 = *((unsigned int *)t83); - t111 = (~(t110)); - t112 = *((unsigned int *)t105); - t113 = (~(t112)); - t114 = (t107 & t109); - t115 = (t111 & t113); - t116 = (~(t114)); - t117 = (~(t115)); - t118 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t118 & t116); - t119 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t119 & t117); - t120 = *((unsigned int *)t90); - *((unsigned int *)t90) = (t120 & t116); - t121 = *((unsigned int *)t90); - *((unsigned int *)t90) = (t121 & t117); - goto LAB32; - -LAB33: *((unsigned int *)t122) = 1; - goto LAB36; - -LAB35: t129 = (t122 + 4); - *((unsigned int *)t122) = 1; - *((unsigned int *)t129) = 1; - goto LAB36; - -LAB37: t142 = *((unsigned int *)t130); - t143 = *((unsigned int *)t136); - *((unsigned int *)t130) = (t142 | t143); - t144 = (t29 + 4); - t145 = (t122 + 4); - t146 = *((unsigned int *)t144); - t147 = (~(t146)); - t148 = *((unsigned int *)t29); - t149 = (t148 & t147); - t150 = *((unsigned int *)t145); - t151 = (~(t150)); - t152 = *((unsigned int *)t122); - t153 = (t152 & t151); - t154 = (~(t149)); - t155 = (~(t153)); - t156 = *((unsigned int *)t136); - *((unsigned int *)t136) = (t156 & t154); - t157 = *((unsigned int *)t136); - *((unsigned int *)t136) = (t157 & t155); - goto LAB39; - -} - -static void Cont_33_8(char *t0) -{ - char t3[8]; - char t13[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - char *t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - unsigned int t37; - unsigned int t38; - char *t39; - unsigned int t40; - unsigned int t41; - char *t42; - -LAB0: t1 = (t0 + 6432U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(33, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng6))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: t29 = (t0 + 7608); - t30 = (t29 + 56U); - t31 = *((char **)t30); - t32 = (t31 + 56U); - t33 = *((char **)t32); - memset(t33, 0, 8); - t34 = 1U; - t35 = t34; - t36 = (t13 + 4); - t37 = *((unsigned int *)t13); - t34 = (t34 & t37); - t38 = *((unsigned int *)t36); - t35 = (t35 & t38); - t39 = (t33 + 4); - t40 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t40 | t34); - t41 = *((unsigned int *)t39); - *((unsigned int *)t39) = (t41 | t35); - xsi_driver_vfirst_trans(t29, 0, 0); - t42 = (t0 + 7128); - *((int *)t42) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -} - -static void Cont_34_9(char *t0) -{ - char t3[8]; - char t13[8]; - char t29[8]; - char t42[8]; - char t53[8]; - char t69[8]; - char t77[8]; - char t105[8]; - char t118[8]; - char t129[8]; - char t145[8]; - char t153[8]; - char t181[8]; - char t194[8]; - char t205[8]; - char t221[8]; - char t229[8]; - char t257[8]; - char t270[8]; - char t281[8]; - char t297[8]; - char t305[8]; - char t333[8]; - char t346[8]; - char t357[8]; - char t373[8]; - char t381[8]; - char t409[8]; - char t422[8]; - char t433[8]; - char t449[8]; - char t457[8]; - char t485[8]; - char t498[8]; - char t509[8]; - char t525[8]; - char t533[8]; - char t561[8]; - char t574[8]; - char t585[8]; - char t601[8]; - char t609[8]; - char t637[8]; - char t652[8]; - char t659[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t43; - char *t44; - char *t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t54; - char *t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - char *t76; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - char *t112; - char *t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - char *t119; - char *t120; - char *t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - char *t130; - char *t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - char *t144; - char *t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - char *t152; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t157; - char *t158; - char *t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - char *t167; - char *t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - char *t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - char *t188; - char *t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - char *t195; - char *t196; - char *t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - char *t204; - char *t206; - char *t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - char *t220; - char *t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - char *t228; - unsigned int t230; - unsigned int t231; - unsigned int t232; - char *t233; - char *t234; - char *t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - char *t243; - char *t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - int t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - char *t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - char *t264; - char *t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - char *t271; - char *t272; - char *t273; - unsigned int t274; - unsigned int t275; - unsigned int t276; - unsigned int t277; - unsigned int t278; - unsigned int t279; - char *t280; - char *t282; - char *t283; - unsigned int t284; - unsigned int t285; - unsigned int t286; - unsigned int t287; - unsigned int t288; - unsigned int t289; - unsigned int t290; - unsigned int t291; - unsigned int t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - char *t296; - char *t298; - unsigned int t299; - unsigned int t300; - unsigned int t301; - unsigned int t302; - unsigned int t303; - char *t304; - unsigned int t306; - unsigned int t307; - unsigned int t308; - char *t309; - char *t310; - char *t311; - unsigned int t312; - unsigned int t313; - unsigned int t314; - unsigned int t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - char *t319; - char *t320; - unsigned int t321; - unsigned int t322; - unsigned int t323; - int t324; - unsigned int t325; - unsigned int t326; - unsigned int t327; - int t328; - unsigned int t329; - unsigned int t330; - unsigned int t331; - unsigned int t332; - char *t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - char *t340; - char *t341; - unsigned int t342; - unsigned int t343; - unsigned int t344; - unsigned int t345; - char *t347; - char *t348; - char *t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - unsigned int t354; - unsigned int t355; - char *t356; - char *t358; - char *t359; - unsigned int t360; - unsigned int t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - unsigned int t369; - unsigned int t370; - unsigned int t371; - char *t372; - char *t374; - unsigned int t375; - unsigned int t376; - unsigned int t377; - unsigned int t378; - unsigned int t379; - char *t380; - unsigned int t382; - unsigned int t383; - unsigned int t384; - char *t385; - char *t386; - char *t387; - unsigned int t388; - unsigned int t389; - unsigned int t390; - unsigned int t391; - unsigned int t392; - unsigned int t393; - unsigned int t394; - char *t395; - char *t396; - unsigned int t397; - unsigned int t398; - unsigned int t399; - int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - int t404; - unsigned int t405; - unsigned int t406; - unsigned int t407; - unsigned int t408; - char *t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - unsigned int t415; - char *t416; - char *t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - char *t423; - char *t424; - char *t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - unsigned int t429; - unsigned int t430; - unsigned int t431; - char *t432; - char *t434; - char *t435; - unsigned int t436; - unsigned int t437; - unsigned int t438; - unsigned int t439; - unsigned int t440; - unsigned int t441; - unsigned int t442; - unsigned int t443; - unsigned int t444; - unsigned int t445; - unsigned int t446; - unsigned int t447; - char *t448; - char *t450; - unsigned int t451; - unsigned int t452; - unsigned int t453; - unsigned int t454; - unsigned int t455; - char *t456; - unsigned int t458; - unsigned int t459; - unsigned int t460; - char *t461; - char *t462; - char *t463; - unsigned int t464; - unsigned int t465; - unsigned int t466; - unsigned int t467; - unsigned int t468; - unsigned int t469; - unsigned int t470; - char *t471; - char *t472; - unsigned int t473; - unsigned int t474; - unsigned int t475; - int t476; - unsigned int t477; - unsigned int t478; - unsigned int t479; - int t480; - unsigned int t481; - unsigned int t482; - unsigned int t483; - unsigned int t484; - char *t486; - unsigned int t487; - unsigned int t488; - unsigned int t489; - unsigned int t490; - unsigned int t491; - char *t492; - char *t493; - unsigned int t494; - unsigned int t495; - unsigned int t496; - unsigned int t497; - char *t499; - char *t500; - char *t501; - unsigned int t502; - unsigned int t503; - unsigned int t504; - unsigned int t505; - unsigned int t506; - unsigned int t507; - char *t508; - char *t510; - char *t511; - unsigned int t512; - unsigned int t513; - unsigned int t514; - unsigned int t515; - unsigned int t516; - unsigned int t517; - unsigned int t518; - unsigned int t519; - unsigned int t520; - unsigned int t521; - unsigned int t522; - unsigned int t523; - char *t524; - char *t526; - unsigned int t527; - unsigned int t528; - unsigned int t529; - unsigned int t530; - unsigned int t531; - char *t532; - unsigned int t534; - unsigned int t535; - unsigned int t536; - char *t537; - char *t538; - char *t539; - unsigned int t540; - unsigned int t541; - unsigned int t542; - unsigned int t543; - unsigned int t544; - unsigned int t545; - unsigned int t546; - char *t547; - char *t548; - unsigned int t549; - unsigned int t550; - unsigned int t551; - int t552; - unsigned int t553; - unsigned int t554; - unsigned int t555; - int t556; - unsigned int t557; - unsigned int t558; - unsigned int t559; - unsigned int t560; - char *t562; - unsigned int t563; - unsigned int t564; - unsigned int t565; - unsigned int t566; - unsigned int t567; - char *t568; - char *t569; - unsigned int t570; - unsigned int t571; - unsigned int t572; - unsigned int t573; - char *t575; - char *t576; - char *t577; - unsigned int t578; - unsigned int t579; - unsigned int t580; - unsigned int t581; - unsigned int t582; - unsigned int t583; - char *t584; - char *t586; - char *t587; - unsigned int t588; - unsigned int t589; - unsigned int t590; - unsigned int t591; - unsigned int t592; - unsigned int t593; - unsigned int t594; - unsigned int t595; - unsigned int t596; - unsigned int t597; - unsigned int t598; - unsigned int t599; - char *t600; - char *t602; - unsigned int t603; - unsigned int t604; - unsigned int t605; - unsigned int t606; - unsigned int t607; - char *t608; - unsigned int t610; - unsigned int t611; - unsigned int t612; - char *t613; - char *t614; - char *t615; - unsigned int t616; - unsigned int t617; - unsigned int t618; - unsigned int t619; - unsigned int t620; - unsigned int t621; - unsigned int t622; - char *t623; - char *t624; - unsigned int t625; - unsigned int t626; - unsigned int t627; - int t628; - unsigned int t629; - unsigned int t630; - unsigned int t631; - int t632; - unsigned int t633; - unsigned int t634; - unsigned int t635; - unsigned int t636; - char *t638; - unsigned int t639; - unsigned int t640; - unsigned int t641; - unsigned int t642; - unsigned int t643; - char *t644; - char *t645; - unsigned int t646; - unsigned int t647; - unsigned int t648; - unsigned int t649; - char *t650; - char *t651; - unsigned int t653; - unsigned int t654; - unsigned int t655; - unsigned int t656; - unsigned int t657; - char *t658; - unsigned int t660; - unsigned int t661; - unsigned int t662; - char *t663; - char *t664; - char *t665; - unsigned int t666; - unsigned int t667; - unsigned int t668; - unsigned int t669; - unsigned int t670; - unsigned int t671; - unsigned int t672; - char *t673; - char *t674; - unsigned int t675; - unsigned int t676; - unsigned int t677; - int t678; - unsigned int t679; - unsigned int t680; - unsigned int t681; - int t682; - unsigned int t683; - unsigned int t684; - unsigned int t685; - unsigned int t686; - char *t687; - char *t688; - char *t689; - char *t690; - char *t691; - unsigned int t692; - unsigned int t693; - char *t694; - unsigned int t695; - unsigned int t696; - char *t697; - unsigned int t698; - unsigned int t699; - char *t700; - -LAB0: t1 = (t0 + 6680U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(34, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng10))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: memset(t29, 0, 8); - t30 = (t13 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t13); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB8; - -LAB9: if (*((unsigned int *)t30) != 0) - goto LAB10; - -LAB11: t37 = (t29 + 4); - t38 = *((unsigned int *)t29); - t39 = (!(t38)); - t40 = *((unsigned int *)t37); - t41 = (t39 || t40); - if (t41 > 0) - goto LAB12; - -LAB13: memcpy(t77, t29, 8); - -LAB14: memset(t105, 0, 8); - t106 = (t77 + 4); - t107 = *((unsigned int *)t106); - t108 = (~(t107)); - t109 = *((unsigned int *)t77); - t110 = (t109 & t108); - t111 = (t110 & 1U); - if (t111 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t106) != 0) - goto LAB28; - -LAB29: t113 = (t105 + 4); - t114 = *((unsigned int *)t105); - t115 = (!(t114)); - t116 = *((unsigned int *)t113); - t117 = (t115 || t116); - if (t117 > 0) - goto LAB30; - -LAB31: memcpy(t153, t105, 8); - -LAB32: memset(t181, 0, 8); - t182 = (t153 + 4); - t183 = *((unsigned int *)t182); - t184 = (~(t183)); - t185 = *((unsigned int *)t153); - t186 = (t185 & t184); - t187 = (t186 & 1U); - if (t187 != 0) - goto LAB44; - -LAB45: if (*((unsigned int *)t182) != 0) - goto LAB46; - -LAB47: t189 = (t181 + 4); - t190 = *((unsigned int *)t181); - t191 = (!(t190)); - t192 = *((unsigned int *)t189); - t193 = (t191 || t192); - if (t193 > 0) - goto LAB48; - -LAB49: memcpy(t229, t181, 8); - -LAB50: memset(t257, 0, 8); - t258 = (t229 + 4); - t259 = *((unsigned int *)t258); - t260 = (~(t259)); - t261 = *((unsigned int *)t229); - t262 = (t261 & t260); - t263 = (t262 & 1U); - if (t263 != 0) - goto LAB62; - -LAB63: if (*((unsigned int *)t258) != 0) - goto LAB64; - -LAB65: t265 = (t257 + 4); - t266 = *((unsigned int *)t257); - t267 = (!(t266)); - t268 = *((unsigned int *)t265); - t269 = (t267 || t268); - if (t269 > 0) - goto LAB66; - -LAB67: memcpy(t305, t257, 8); - -LAB68: memset(t333, 0, 8); - t334 = (t305 + 4); - t335 = *((unsigned int *)t334); - t336 = (~(t335)); - t337 = *((unsigned int *)t305); - t338 = (t337 & t336); - t339 = (t338 & 1U); - if (t339 != 0) - goto LAB80; - -LAB81: if (*((unsigned int *)t334) != 0) - goto LAB82; - -LAB83: t341 = (t333 + 4); - t342 = *((unsigned int *)t333); - t343 = (!(t342)); - t344 = *((unsigned int *)t341); - t345 = (t343 || t344); - if (t345 > 0) - goto LAB84; - -LAB85: memcpy(t381, t333, 8); - -LAB86: memset(t409, 0, 8); - t410 = (t381 + 4); - t411 = *((unsigned int *)t410); - t412 = (~(t411)); - t413 = *((unsigned int *)t381); - t414 = (t413 & t412); - t415 = (t414 & 1U); - if (t415 != 0) - goto LAB98; - -LAB99: if (*((unsigned int *)t410) != 0) - goto LAB100; - -LAB101: t417 = (t409 + 4); - t418 = *((unsigned int *)t409); - t419 = (!(t418)); - t420 = *((unsigned int *)t417); - t421 = (t419 || t420); - if (t421 > 0) - goto LAB102; - -LAB103: memcpy(t457, t409, 8); - -LAB104: memset(t485, 0, 8); - t486 = (t457 + 4); - t487 = *((unsigned int *)t486); - t488 = (~(t487)); - t489 = *((unsigned int *)t457); - t490 = (t489 & t488); - t491 = (t490 & 1U); - if (t491 != 0) - goto LAB116; - -LAB117: if (*((unsigned int *)t486) != 0) - goto LAB118; - -LAB119: t493 = (t485 + 4); - t494 = *((unsigned int *)t485); - t495 = (!(t494)); - t496 = *((unsigned int *)t493); - t497 = (t495 || t496); - if (t497 > 0) - goto LAB120; - -LAB121: memcpy(t533, t485, 8); - -LAB122: memset(t561, 0, 8); - t562 = (t533 + 4); - t563 = *((unsigned int *)t562); - t564 = (~(t563)); - t565 = *((unsigned int *)t533); - t566 = (t565 & t564); - t567 = (t566 & 1U); - if (t567 != 0) - goto LAB134; - -LAB135: if (*((unsigned int *)t562) != 0) - goto LAB136; - -LAB137: t569 = (t561 + 4); - t570 = *((unsigned int *)t561); - t571 = (!(t570)); - t572 = *((unsigned int *)t569); - t573 = (t571 || t572); - if (t573 > 0) - goto LAB138; - -LAB139: memcpy(t609, t561, 8); - -LAB140: memset(t637, 0, 8); - t638 = (t609 + 4); - t639 = *((unsigned int *)t638); - t640 = (~(t639)); - t641 = *((unsigned int *)t609); - t642 = (t641 & t640); - t643 = (t642 & 1U); - if (t643 != 0) - goto LAB152; - -LAB153: if (*((unsigned int *)t638) != 0) - goto LAB154; - -LAB155: t645 = (t637 + 4); - t646 = *((unsigned int *)t637); - t647 = (!(t646)); - t648 = *((unsigned int *)t645); - t649 = (t647 || t648); - if (t649 > 0) - goto LAB156; - -LAB157: memcpy(t659, t637, 8); - -LAB158: t687 = (t0 + 7672); - t688 = (t687 + 56U); - t689 = *((char **)t688); - t690 = (t689 + 56U); - t691 = *((char **)t690); - memset(t691, 0, 8); - t692 = 1U; - t693 = t692; - t694 = (t659 + 4); - t695 = *((unsigned int *)t659); - t692 = (t692 & t695); - t696 = *((unsigned int *)t694); - t693 = (t693 & t696); - t697 = (t691 + 4); - t698 = *((unsigned int *)t691); - *((unsigned int *)t691) = (t698 | t692); - t699 = *((unsigned int *)t697); - *((unsigned int *)t697) = (t699 | t693); - xsi_driver_vfirst_trans(t687, 0, 0); - t700 = (t0 + 7144); - *((int *)t700) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -LAB8: *((unsigned int *)t29) = 1; - goto LAB11; - -LAB10: t36 = (t29 + 4); - *((unsigned int *)t29) = 1; - *((unsigned int *)t36) = 1; - goto LAB11; - -LAB12: t43 = (t0 + 1048U); - t44 = *((char **)t43); - memset(t42, 0, 8); - t43 = (t42 + 4); - t45 = (t44 + 4); - t46 = *((unsigned int *)t44); - t47 = (t46 >> 12); - *((unsigned int *)t42) = t47; - t48 = *((unsigned int *)t45); - t49 = (t48 >> 12); - *((unsigned int *)t43) = t49; - t50 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t50 & 15U); - t51 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t51 & 15U); - t52 = ((char*)((ng11))); - memset(t53, 0, 8); - t54 = (t42 + 4); - t55 = (t52 + 4); - t56 = *((unsigned int *)t42); - t57 = *((unsigned int *)t52); - t58 = (t56 ^ t57); - t59 = *((unsigned int *)t54); - t60 = *((unsigned int *)t55); - t61 = (t59 ^ t60); - t62 = (t58 | t61); - t63 = *((unsigned int *)t54); - t64 = *((unsigned int *)t55); - t65 = (t63 | t64); - t66 = (~(t65)); - t67 = (t62 & t66); - if (t67 != 0) - goto LAB18; - -LAB15: if (t65 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t53) = 1; - -LAB18: memset(t69, 0, 8); - t70 = (t53 + 4); - t71 = *((unsigned int *)t70); - t72 = (~(t71)); - t73 = *((unsigned int *)t53); - t74 = (t73 & t72); - t75 = (t74 & 1U); - if (t75 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t70) != 0) - goto LAB21; - -LAB22: t78 = *((unsigned int *)t29); - t79 = *((unsigned int *)t69); - t80 = (t78 | t79); - *((unsigned int *)t77) = t80; - t81 = (t29 + 4); - t82 = (t69 + 4); - t83 = (t77 + 4); - t84 = *((unsigned int *)t81); - t85 = *((unsigned int *)t82); - t86 = (t84 | t85); - *((unsigned int *)t83) = t86; - t87 = *((unsigned int *)t83); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB23; - -LAB24: -LAB25: goto LAB14; - -LAB17: t68 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t68) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t69) = 1; - goto LAB22; - -LAB21: t76 = (t69 + 4); - *((unsigned int *)t69) = 1; - *((unsigned int *)t76) = 1; - goto LAB22; - -LAB23: t89 = *((unsigned int *)t77); - t90 = *((unsigned int *)t83); - *((unsigned int *)t77) = (t89 | t90); - t91 = (t29 + 4); - t92 = (t69 + 4); - t93 = *((unsigned int *)t91); - t94 = (~(t93)); - t95 = *((unsigned int *)t29); - t96 = (t95 & t94); - t97 = *((unsigned int *)t92); - t98 = (~(t97)); - t99 = *((unsigned int *)t69); - t100 = (t99 & t98); - t101 = (~(t96)); - t102 = (~(t100)); - t103 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t103 & t101); - t104 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t104 & t102); - goto LAB25; - -LAB26: *((unsigned int *)t105) = 1; - goto LAB29; - -LAB28: t112 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t112) = 1; - goto LAB29; - -LAB30: t119 = (t0 + 1048U); - t120 = *((char **)t119); - memset(t118, 0, 8); - t119 = (t118 + 4); - t121 = (t120 + 4); - t122 = *((unsigned int *)t120); - t123 = (t122 >> 12); - *((unsigned int *)t118) = t123; - t124 = *((unsigned int *)t121); - t125 = (t124 >> 12); - *((unsigned int *)t119) = t125; - t126 = *((unsigned int *)t118); - *((unsigned int *)t118) = (t126 & 15U); - t127 = *((unsigned int *)t119); - *((unsigned int *)t119) = (t127 & 15U); - t128 = ((char*)((ng12))); - memset(t129, 0, 8); - t130 = (t118 + 4); - t131 = (t128 + 4); - t132 = *((unsigned int *)t118); - t133 = *((unsigned int *)t128); - t134 = (t132 ^ t133); - t135 = *((unsigned int *)t130); - t136 = *((unsigned int *)t131); - t137 = (t135 ^ t136); - t138 = (t134 | t137); - t139 = *((unsigned int *)t130); - t140 = *((unsigned int *)t131); - t141 = (t139 | t140); - t142 = (~(t141)); - t143 = (t138 & t142); - if (t143 != 0) - goto LAB36; - -LAB33: if (t141 != 0) - goto LAB35; - -LAB34: *((unsigned int *)t129) = 1; - -LAB36: memset(t145, 0, 8); - t146 = (t129 + 4); - t147 = *((unsigned int *)t146); - t148 = (~(t147)); - t149 = *((unsigned int *)t129); - t150 = (t149 & t148); - t151 = (t150 & 1U); - if (t151 != 0) - goto LAB37; - -LAB38: if (*((unsigned int *)t146) != 0) - goto LAB39; - -LAB40: t154 = *((unsigned int *)t105); - t155 = *((unsigned int *)t145); - t156 = (t154 | t155); - *((unsigned int *)t153) = t156; - t157 = (t105 + 4); - t158 = (t145 + 4); - t159 = (t153 + 4); - t160 = *((unsigned int *)t157); - t161 = *((unsigned int *)t158); - t162 = (t160 | t161); - *((unsigned int *)t159) = t162; - t163 = *((unsigned int *)t159); - t164 = (t163 != 0); - if (t164 == 1) - goto LAB41; - -LAB42: -LAB43: goto LAB32; - -LAB35: t144 = (t129 + 4); - *((unsigned int *)t129) = 1; - *((unsigned int *)t144) = 1; - goto LAB36; - -LAB37: *((unsigned int *)t145) = 1; - goto LAB40; - -LAB39: t152 = (t145 + 4); - *((unsigned int *)t145) = 1; - *((unsigned int *)t152) = 1; - goto LAB40; - -LAB41: t165 = *((unsigned int *)t153); - t166 = *((unsigned int *)t159); - *((unsigned int *)t153) = (t165 | t166); - t167 = (t105 + 4); - t168 = (t145 + 4); - t169 = *((unsigned int *)t167); - t170 = (~(t169)); - t171 = *((unsigned int *)t105); - t172 = (t171 & t170); - t173 = *((unsigned int *)t168); - t174 = (~(t173)); - t175 = *((unsigned int *)t145); - t176 = (t175 & t174); - t177 = (~(t172)); - t178 = (~(t176)); - t179 = *((unsigned int *)t159); - *((unsigned int *)t159) = (t179 & t177); - t180 = *((unsigned int *)t159); - *((unsigned int *)t159) = (t180 & t178); - goto LAB43; - -LAB44: *((unsigned int *)t181) = 1; - goto LAB47; - -LAB46: t188 = (t181 + 4); - *((unsigned int *)t181) = 1; - *((unsigned int *)t188) = 1; - goto LAB47; - -LAB48: t195 = (t0 + 1048U); - t196 = *((char **)t195); - memset(t194, 0, 8); - t195 = (t194 + 4); - t197 = (t196 + 4); - t198 = *((unsigned int *)t196); - t199 = (t198 >> 12); - *((unsigned int *)t194) = t199; - t200 = *((unsigned int *)t197); - t201 = (t200 >> 12); - *((unsigned int *)t195) = t201; - t202 = *((unsigned int *)t194); - *((unsigned int *)t194) = (t202 & 15U); - t203 = *((unsigned int *)t195); - *((unsigned int *)t195) = (t203 & 15U); - t204 = ((char*)((ng8))); - memset(t205, 0, 8); - t206 = (t194 + 4); - t207 = (t204 + 4); - t208 = *((unsigned int *)t194); - t209 = *((unsigned int *)t204); - t210 = (t208 ^ t209); - t211 = *((unsigned int *)t206); - t212 = *((unsigned int *)t207); - t213 = (t211 ^ t212); - t214 = (t210 | t213); - t215 = *((unsigned int *)t206); - t216 = *((unsigned int *)t207); - t217 = (t215 | t216); - t218 = (~(t217)); - t219 = (t214 & t218); - if (t219 != 0) - goto LAB54; - -LAB51: if (t217 != 0) - goto LAB53; - -LAB52: *((unsigned int *)t205) = 1; - -LAB54: memset(t221, 0, 8); - t222 = (t205 + 4); - t223 = *((unsigned int *)t222); - t224 = (~(t223)); - t225 = *((unsigned int *)t205); - t226 = (t225 & t224); - t227 = (t226 & 1U); - if (t227 != 0) - goto LAB55; - -LAB56: if (*((unsigned int *)t222) != 0) - goto LAB57; - -LAB58: t230 = *((unsigned int *)t181); - t231 = *((unsigned int *)t221); - t232 = (t230 | t231); - *((unsigned int *)t229) = t232; - t233 = (t181 + 4); - t234 = (t221 + 4); - t235 = (t229 + 4); - t236 = *((unsigned int *)t233); - t237 = *((unsigned int *)t234); - t238 = (t236 | t237); - *((unsigned int *)t235) = t238; - t239 = *((unsigned int *)t235); - t240 = (t239 != 0); - if (t240 == 1) - goto LAB59; - -LAB60: -LAB61: goto LAB50; - -LAB53: t220 = (t205 + 4); - *((unsigned int *)t205) = 1; - *((unsigned int *)t220) = 1; - goto LAB54; - -LAB55: *((unsigned int *)t221) = 1; - goto LAB58; - -LAB57: t228 = (t221 + 4); - *((unsigned int *)t221) = 1; - *((unsigned int *)t228) = 1; - goto LAB58; - -LAB59: t241 = *((unsigned int *)t229); - t242 = *((unsigned int *)t235); - *((unsigned int *)t229) = (t241 | t242); - t243 = (t181 + 4); - t244 = (t221 + 4); - t245 = *((unsigned int *)t243); - t246 = (~(t245)); - t247 = *((unsigned int *)t181); - t248 = (t247 & t246); - t249 = *((unsigned int *)t244); - t250 = (~(t249)); - t251 = *((unsigned int *)t221); - t252 = (t251 & t250); - t253 = (~(t248)); - t254 = (~(t252)); - t255 = *((unsigned int *)t235); - *((unsigned int *)t235) = (t255 & t253); - t256 = *((unsigned int *)t235); - *((unsigned int *)t235) = (t256 & t254); - goto LAB61; - -LAB62: *((unsigned int *)t257) = 1; - goto LAB65; - -LAB64: t264 = (t257 + 4); - *((unsigned int *)t257) = 1; - *((unsigned int *)t264) = 1; - goto LAB65; - -LAB66: t271 = (t0 + 1048U); - t272 = *((char **)t271); - memset(t270, 0, 8); - t271 = (t270 + 4); - t273 = (t272 + 4); - t274 = *((unsigned int *)t272); - t275 = (t274 >> 12); - *((unsigned int *)t270) = t275; - t276 = *((unsigned int *)t273); - t277 = (t276 >> 12); - *((unsigned int *)t271) = t277; - t278 = *((unsigned int *)t270); - *((unsigned int *)t270) = (t278 & 15U); - t279 = *((unsigned int *)t271); - *((unsigned int *)t271) = (t279 & 15U); - t280 = ((char*)((ng13))); - memset(t281, 0, 8); - t282 = (t270 + 4); - t283 = (t280 + 4); - t284 = *((unsigned int *)t270); - t285 = *((unsigned int *)t280); - t286 = (t284 ^ t285); - t287 = *((unsigned int *)t282); - t288 = *((unsigned int *)t283); - t289 = (t287 ^ t288); - t290 = (t286 | t289); - t291 = *((unsigned int *)t282); - t292 = *((unsigned int *)t283); - t293 = (t291 | t292); - t294 = (~(t293)); - t295 = (t290 & t294); - if (t295 != 0) - goto LAB72; - -LAB69: if (t293 != 0) - goto LAB71; - -LAB70: *((unsigned int *)t281) = 1; - -LAB72: memset(t297, 0, 8); - t298 = (t281 + 4); - t299 = *((unsigned int *)t298); - t300 = (~(t299)); - t301 = *((unsigned int *)t281); - t302 = (t301 & t300); - t303 = (t302 & 1U); - if (t303 != 0) - goto LAB73; - -LAB74: if (*((unsigned int *)t298) != 0) - goto LAB75; - -LAB76: t306 = *((unsigned int *)t257); - t307 = *((unsigned int *)t297); - t308 = (t306 | t307); - *((unsigned int *)t305) = t308; - t309 = (t257 + 4); - t310 = (t297 + 4); - t311 = (t305 + 4); - t312 = *((unsigned int *)t309); - t313 = *((unsigned int *)t310); - t314 = (t312 | t313); - *((unsigned int *)t311) = t314; - t315 = *((unsigned int *)t311); - t316 = (t315 != 0); - if (t316 == 1) - goto LAB77; - -LAB78: -LAB79: goto LAB68; - -LAB71: t296 = (t281 + 4); - *((unsigned int *)t281) = 1; - *((unsigned int *)t296) = 1; - goto LAB72; - -LAB73: *((unsigned int *)t297) = 1; - goto LAB76; - -LAB75: t304 = (t297 + 4); - *((unsigned int *)t297) = 1; - *((unsigned int *)t304) = 1; - goto LAB76; - -LAB77: t317 = *((unsigned int *)t305); - t318 = *((unsigned int *)t311); - *((unsigned int *)t305) = (t317 | t318); - t319 = (t257 + 4); - t320 = (t297 + 4); - t321 = *((unsigned int *)t319); - t322 = (~(t321)); - t323 = *((unsigned int *)t257); - t324 = (t323 & t322); - t325 = *((unsigned int *)t320); - t326 = (~(t325)); - t327 = *((unsigned int *)t297); - t328 = (t327 & t326); - t329 = (~(t324)); - t330 = (~(t328)); - t331 = *((unsigned int *)t311); - *((unsigned int *)t311) = (t331 & t329); - t332 = *((unsigned int *)t311); - *((unsigned int *)t311) = (t332 & t330); - goto LAB79; - -LAB80: *((unsigned int *)t333) = 1; - goto LAB83; - -LAB82: t340 = (t333 + 4); - *((unsigned int *)t333) = 1; - *((unsigned int *)t340) = 1; - goto LAB83; - -LAB84: t347 = (t0 + 1048U); - t348 = *((char **)t347); - memset(t346, 0, 8); - t347 = (t346 + 4); - t349 = (t348 + 4); - t350 = *((unsigned int *)t348); - t351 = (t350 >> 12); - *((unsigned int *)t346) = t351; - t352 = *((unsigned int *)t349); - t353 = (t352 >> 12); - *((unsigned int *)t347) = t353; - t354 = *((unsigned int *)t346); - *((unsigned int *)t346) = (t354 & 15U); - t355 = *((unsigned int *)t347); - *((unsigned int *)t347) = (t355 & 15U); - t356 = ((char*)((ng14))); - memset(t357, 0, 8); - t358 = (t346 + 4); - t359 = (t356 + 4); - t360 = *((unsigned int *)t346); - t361 = *((unsigned int *)t356); - t362 = (t360 ^ t361); - t363 = *((unsigned int *)t358); - t364 = *((unsigned int *)t359); - t365 = (t363 ^ t364); - t366 = (t362 | t365); - t367 = *((unsigned int *)t358); - t368 = *((unsigned int *)t359); - t369 = (t367 | t368); - t370 = (~(t369)); - t371 = (t366 & t370); - if (t371 != 0) - goto LAB90; - -LAB87: if (t369 != 0) - goto LAB89; - -LAB88: *((unsigned int *)t357) = 1; - -LAB90: memset(t373, 0, 8); - t374 = (t357 + 4); - t375 = *((unsigned int *)t374); - t376 = (~(t375)); - t377 = *((unsigned int *)t357); - t378 = (t377 & t376); - t379 = (t378 & 1U); - if (t379 != 0) - goto LAB91; - -LAB92: if (*((unsigned int *)t374) != 0) - goto LAB93; - -LAB94: t382 = *((unsigned int *)t333); - t383 = *((unsigned int *)t373); - t384 = (t382 | t383); - *((unsigned int *)t381) = t384; - t385 = (t333 + 4); - t386 = (t373 + 4); - t387 = (t381 + 4); - t388 = *((unsigned int *)t385); - t389 = *((unsigned int *)t386); - t390 = (t388 | t389); - *((unsigned int *)t387) = t390; - t391 = *((unsigned int *)t387); - t392 = (t391 != 0); - if (t392 == 1) - goto LAB95; - -LAB96: -LAB97: goto LAB86; - -LAB89: t372 = (t357 + 4); - *((unsigned int *)t357) = 1; - *((unsigned int *)t372) = 1; - goto LAB90; - -LAB91: *((unsigned int *)t373) = 1; - goto LAB94; - -LAB93: t380 = (t373 + 4); - *((unsigned int *)t373) = 1; - *((unsigned int *)t380) = 1; - goto LAB94; - -LAB95: t393 = *((unsigned int *)t381); - t394 = *((unsigned int *)t387); - *((unsigned int *)t381) = (t393 | t394); - t395 = (t333 + 4); - t396 = (t373 + 4); - t397 = *((unsigned int *)t395); - t398 = (~(t397)); - t399 = *((unsigned int *)t333); - t400 = (t399 & t398); - t401 = *((unsigned int *)t396); - t402 = (~(t401)); - t403 = *((unsigned int *)t373); - t404 = (t403 & t402); - t405 = (~(t400)); - t406 = (~(t404)); - t407 = *((unsigned int *)t387); - *((unsigned int *)t387) = (t407 & t405); - t408 = *((unsigned int *)t387); - *((unsigned int *)t387) = (t408 & t406); - goto LAB97; - -LAB98: *((unsigned int *)t409) = 1; - goto LAB101; - -LAB100: t416 = (t409 + 4); - *((unsigned int *)t409) = 1; - *((unsigned int *)t416) = 1; - goto LAB101; - -LAB102: t423 = (t0 + 1048U); - t424 = *((char **)t423); - memset(t422, 0, 8); - t423 = (t422 + 4); - t425 = (t424 + 4); - t426 = *((unsigned int *)t424); - t427 = (t426 >> 12); - *((unsigned int *)t422) = t427; - t428 = *((unsigned int *)t425); - t429 = (t428 >> 12); - *((unsigned int *)t423) = t429; - t430 = *((unsigned int *)t422); - *((unsigned int *)t422) = (t430 & 15U); - t431 = *((unsigned int *)t423); - *((unsigned int *)t423) = (t431 & 15U); - t432 = ((char*)((ng15))); - memset(t433, 0, 8); - t434 = (t422 + 4); - t435 = (t432 + 4); - t436 = *((unsigned int *)t422); - t437 = *((unsigned int *)t432); - t438 = (t436 ^ t437); - t439 = *((unsigned int *)t434); - t440 = *((unsigned int *)t435); - t441 = (t439 ^ t440); - t442 = (t438 | t441); - t443 = *((unsigned int *)t434); - t444 = *((unsigned int *)t435); - t445 = (t443 | t444); - t446 = (~(t445)); - t447 = (t442 & t446); - if (t447 != 0) - goto LAB108; - -LAB105: if (t445 != 0) - goto LAB107; - -LAB106: *((unsigned int *)t433) = 1; - -LAB108: memset(t449, 0, 8); - t450 = (t433 + 4); - t451 = *((unsigned int *)t450); - t452 = (~(t451)); - t453 = *((unsigned int *)t433); - t454 = (t453 & t452); - t455 = (t454 & 1U); - if (t455 != 0) - goto LAB109; - -LAB110: if (*((unsigned int *)t450) != 0) - goto LAB111; - -LAB112: t458 = *((unsigned int *)t409); - t459 = *((unsigned int *)t449); - t460 = (t458 | t459); - *((unsigned int *)t457) = t460; - t461 = (t409 + 4); - t462 = (t449 + 4); - t463 = (t457 + 4); - t464 = *((unsigned int *)t461); - t465 = *((unsigned int *)t462); - t466 = (t464 | t465); - *((unsigned int *)t463) = t466; - t467 = *((unsigned int *)t463); - t468 = (t467 != 0); - if (t468 == 1) - goto LAB113; - -LAB114: -LAB115: goto LAB104; - -LAB107: t448 = (t433 + 4); - *((unsigned int *)t433) = 1; - *((unsigned int *)t448) = 1; - goto LAB108; - -LAB109: *((unsigned int *)t449) = 1; - goto LAB112; - -LAB111: t456 = (t449 + 4); - *((unsigned int *)t449) = 1; - *((unsigned int *)t456) = 1; - goto LAB112; - -LAB113: t469 = *((unsigned int *)t457); - t470 = *((unsigned int *)t463); - *((unsigned int *)t457) = (t469 | t470); - t471 = (t409 + 4); - t472 = (t449 + 4); - t473 = *((unsigned int *)t471); - t474 = (~(t473)); - t475 = *((unsigned int *)t409); - t476 = (t475 & t474); - t477 = *((unsigned int *)t472); - t478 = (~(t477)); - t479 = *((unsigned int *)t449); - t480 = (t479 & t478); - t481 = (~(t476)); - t482 = (~(t480)); - t483 = *((unsigned int *)t463); - *((unsigned int *)t463) = (t483 & t481); - t484 = *((unsigned int *)t463); - *((unsigned int *)t463) = (t484 & t482); - goto LAB115; - -LAB116: *((unsigned int *)t485) = 1; - goto LAB119; - -LAB118: t492 = (t485 + 4); - *((unsigned int *)t485) = 1; - *((unsigned int *)t492) = 1; - goto LAB119; - -LAB120: t499 = (t0 + 1048U); - t500 = *((char **)t499); - memset(t498, 0, 8); - t499 = (t498 + 4); - t501 = (t500 + 4); - t502 = *((unsigned int *)t500); - t503 = (t502 >> 12); - *((unsigned int *)t498) = t503; - t504 = *((unsigned int *)t501); - t505 = (t504 >> 12); - *((unsigned int *)t499) = t505; - t506 = *((unsigned int *)t498); - *((unsigned int *)t498) = (t506 & 15U); - t507 = *((unsigned int *)t499); - *((unsigned int *)t499) = (t507 & 15U); - t508 = ((char*)((ng7))); - memset(t509, 0, 8); - t510 = (t498 + 4); - t511 = (t508 + 4); - t512 = *((unsigned int *)t498); - t513 = *((unsigned int *)t508); - t514 = (t512 ^ t513); - t515 = *((unsigned int *)t510); - t516 = *((unsigned int *)t511); - t517 = (t515 ^ t516); - t518 = (t514 | t517); - t519 = *((unsigned int *)t510); - t520 = *((unsigned int *)t511); - t521 = (t519 | t520); - t522 = (~(t521)); - t523 = (t518 & t522); - if (t523 != 0) - goto LAB126; - -LAB123: if (t521 != 0) - goto LAB125; - -LAB124: *((unsigned int *)t509) = 1; - -LAB126: memset(t525, 0, 8); - t526 = (t509 + 4); - t527 = *((unsigned int *)t526); - t528 = (~(t527)); - t529 = *((unsigned int *)t509); - t530 = (t529 & t528); - t531 = (t530 & 1U); - if (t531 != 0) - goto LAB127; - -LAB128: if (*((unsigned int *)t526) != 0) - goto LAB129; - -LAB130: t534 = *((unsigned int *)t485); - t535 = *((unsigned int *)t525); - t536 = (t534 | t535); - *((unsigned int *)t533) = t536; - t537 = (t485 + 4); - t538 = (t525 + 4); - t539 = (t533 + 4); - t540 = *((unsigned int *)t537); - t541 = *((unsigned int *)t538); - t542 = (t540 | t541); - *((unsigned int *)t539) = t542; - t543 = *((unsigned int *)t539); - t544 = (t543 != 0); - if (t544 == 1) - goto LAB131; - -LAB132: -LAB133: goto LAB122; - -LAB125: t524 = (t509 + 4); - *((unsigned int *)t509) = 1; - *((unsigned int *)t524) = 1; - goto LAB126; - -LAB127: *((unsigned int *)t525) = 1; - goto LAB130; - -LAB129: t532 = (t525 + 4); - *((unsigned int *)t525) = 1; - *((unsigned int *)t532) = 1; - goto LAB130; - -LAB131: t545 = *((unsigned int *)t533); - t546 = *((unsigned int *)t539); - *((unsigned int *)t533) = (t545 | t546); - t547 = (t485 + 4); - t548 = (t525 + 4); - t549 = *((unsigned int *)t547); - t550 = (~(t549)); - t551 = *((unsigned int *)t485); - t552 = (t551 & t550); - t553 = *((unsigned int *)t548); - t554 = (~(t553)); - t555 = *((unsigned int *)t525); - t556 = (t555 & t554); - t557 = (~(t552)); - t558 = (~(t556)); - t559 = *((unsigned int *)t539); - *((unsigned int *)t539) = (t559 & t557); - t560 = *((unsigned int *)t539); - *((unsigned int *)t539) = (t560 & t558); - goto LAB133; - -LAB134: *((unsigned int *)t561) = 1; - goto LAB137; - -LAB136: t568 = (t561 + 4); - *((unsigned int *)t561) = 1; - *((unsigned int *)t568) = 1; - goto LAB137; - -LAB138: t575 = (t0 + 1048U); - t576 = *((char **)t575); - memset(t574, 0, 8); - t575 = (t574 + 4); - t577 = (t576 + 4); - t578 = *((unsigned int *)t576); - t579 = (t578 >> 12); - *((unsigned int *)t574) = t579; - t580 = *((unsigned int *)t577); - t581 = (t580 >> 12); - *((unsigned int *)t575) = t581; - t582 = *((unsigned int *)t574); - *((unsigned int *)t574) = (t582 & 15U); - t583 = *((unsigned int *)t575); - *((unsigned int *)t575) = (t583 & 15U); - t584 = ((char*)((ng6))); - memset(t585, 0, 8); - t586 = (t574 + 4); - t587 = (t584 + 4); - t588 = *((unsigned int *)t574); - t589 = *((unsigned int *)t584); - t590 = (t588 ^ t589); - t591 = *((unsigned int *)t586); - t592 = *((unsigned int *)t587); - t593 = (t591 ^ t592); - t594 = (t590 | t593); - t595 = *((unsigned int *)t586); - t596 = *((unsigned int *)t587); - t597 = (t595 | t596); - t598 = (~(t597)); - t599 = (t594 & t598); - if (t599 != 0) - goto LAB144; - -LAB141: if (t597 != 0) - goto LAB143; - -LAB142: *((unsigned int *)t585) = 1; - -LAB144: memset(t601, 0, 8); - t602 = (t585 + 4); - t603 = *((unsigned int *)t602); - t604 = (~(t603)); - t605 = *((unsigned int *)t585); - t606 = (t605 & t604); - t607 = (t606 & 1U); - if (t607 != 0) - goto LAB145; - -LAB146: if (*((unsigned int *)t602) != 0) - goto LAB147; - -LAB148: t610 = *((unsigned int *)t561); - t611 = *((unsigned int *)t601); - t612 = (t610 | t611); - *((unsigned int *)t609) = t612; - t613 = (t561 + 4); - t614 = (t601 + 4); - t615 = (t609 + 4); - t616 = *((unsigned int *)t613); - t617 = *((unsigned int *)t614); - t618 = (t616 | t617); - *((unsigned int *)t615) = t618; - t619 = *((unsigned int *)t615); - t620 = (t619 != 0); - if (t620 == 1) - goto LAB149; - -LAB150: -LAB151: goto LAB140; - -LAB143: t600 = (t585 + 4); - *((unsigned int *)t585) = 1; - *((unsigned int *)t600) = 1; - goto LAB144; - -LAB145: *((unsigned int *)t601) = 1; - goto LAB148; - -LAB147: t608 = (t601 + 4); - *((unsigned int *)t601) = 1; - *((unsigned int *)t608) = 1; - goto LAB148; - -LAB149: t621 = *((unsigned int *)t609); - t622 = *((unsigned int *)t615); - *((unsigned int *)t609) = (t621 | t622); - t623 = (t561 + 4); - t624 = (t601 + 4); - t625 = *((unsigned int *)t623); - t626 = (~(t625)); - t627 = *((unsigned int *)t561); - t628 = (t627 & t626); - t629 = *((unsigned int *)t624); - t630 = (~(t629)); - t631 = *((unsigned int *)t601); - t632 = (t631 & t630); - t633 = (~(t628)); - t634 = (~(t632)); - t635 = *((unsigned int *)t615); - *((unsigned int *)t615) = (t635 & t633); - t636 = *((unsigned int *)t615); - *((unsigned int *)t615) = (t636 & t634); - goto LAB151; - -LAB152: *((unsigned int *)t637) = 1; - goto LAB155; - -LAB154: t644 = (t637 + 4); - *((unsigned int *)t637) = 1; - *((unsigned int *)t644) = 1; - goto LAB155; - -LAB156: t650 = (t0 + 2968U); - t651 = *((char **)t650); - memset(t652, 0, 8); - t650 = (t651 + 4); - t653 = *((unsigned int *)t650); - t654 = (~(t653)); - t655 = *((unsigned int *)t651); - t656 = (t655 & t654); - t657 = (t656 & 1U); - if (t657 != 0) - goto LAB159; - -LAB160: if (*((unsigned int *)t650) != 0) - goto LAB161; - -LAB162: t660 = *((unsigned int *)t637); - t661 = *((unsigned int *)t652); - t662 = (t660 | t661); - *((unsigned int *)t659) = t662; - t663 = (t637 + 4); - t664 = (t652 + 4); - t665 = (t659 + 4); - t666 = *((unsigned int *)t663); - t667 = *((unsigned int *)t664); - t668 = (t666 | t667); - *((unsigned int *)t665) = t668; - t669 = *((unsigned int *)t665); - t670 = (t669 != 0); - if (t670 == 1) - goto LAB163; - -LAB164: -LAB165: goto LAB158; - -LAB159: *((unsigned int *)t652) = 1; - goto LAB162; - -LAB161: t658 = (t652 + 4); - *((unsigned int *)t652) = 1; - *((unsigned int *)t658) = 1; - goto LAB162; - -LAB163: t671 = *((unsigned int *)t659); - t672 = *((unsigned int *)t665); - *((unsigned int *)t659) = (t671 | t672); - t673 = (t637 + 4); - t674 = (t652 + 4); - t675 = *((unsigned int *)t673); - t676 = (~(t675)); - t677 = *((unsigned int *)t637); - t678 = (t677 & t676); - t679 = *((unsigned int *)t674); - t680 = (~(t679)); - t681 = *((unsigned int *)t652); - t682 = (t681 & t680); - t683 = (~(t678)); - t684 = (~(t682)); - t685 = *((unsigned int *)t665); - *((unsigned int *)t665) = (t685 & t683); - t686 = *((unsigned int *)t665); - *((unsigned int *)t665) = (t686 & t684); - goto LAB165; - -} - - -extern void work_m_00000000003586053589_0453017098_init() -{ - static char *pe[] = {(void *)NetDecl_12_0,(void *)NetDecl_13_1,(void *)Always_14_2,(void *)Always_18_3,(void *)Cont_23_4,(void *)NetDecl_25_5,(void *)Cont_26_6,(void *)Cont_30_7,(void *)Cont_33_8,(void *)Cont_34_9}; - xsi_register_didat("work_m_00000000003586053589_0453017098", "isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat deleted file mode 100644 index 229fac1903a3980017987545d5bdb6fef48cad8b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5320 zcmeI0J#1T56vuBVl<-jqUm`G|Hf)H-P8_EW708wopb&5sx3o+TH+fR6I!=h)gjO9p zFmxyq14D<7ATcvBF)>7lF=H2I7%HJo=Ks5X=ka**M?ySM)Fb_U&OP^>|GW3xkN1*W ze~fLP>a=TzoOAad*Ng&pCZ;GXA6&MjdNk%nTfr{aE9zF}AP_zPW}dC|GggpCM4OM^=q z|E}<+c*dS~ZbJH)@U?Pp3roUv0l{ZTxAEI^(FnCO!3NJnTIKR>^Q%<8jea84XEAB5 zuzx&*b}zBKetnDyc}Ic7svKS}L>grr=+{&2WFN8nk%EFdl1F_~r-Qt`&gh#5;5i!QqQ5!-&nwCum9T%y7ya@X|p!Oy&G zTr&F#_;J;<+dH67SELV*BwhFStyMpLMe_(8ro1X&%c>`;CXZ`^_sI-;d`(_xD?0)(l~O2KG2$UGecgVcmEipt&>H3+#1bUJ{6# z5a`>__epYnlp|2PLI~kX(2Ytl|*vUA%AA3EQSd--a zh_4s{J1KUCdhsQnYwzA(aY??1_Jdu4qZ}Lw`;k44ws#2s*W$ZX|0?p|ot!Ja_xM&j zE=&qrZ&Y3Rt<1SrxY^2Ft2M*RnT7S0D{Eo1-O9XIZ@+)#Vy3!yBAd%*RyG=|nR0b% z%axT=)t}Vmr4Lb%jS6jjKY%mksg&^n3>&vulL&gOI3>66*{!qjSgwbpij0s33n-T(jq diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj deleted file mode 100644 index 050e4f830ac1be63ff2efd89591ebfb6cd7efeb3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15183 zcmbtb3wTslwLS?0N^)FRbun`x$&3QcAb9s#cH9C}6$y_1^S7m9X5AwVq9G|5oZ z+8ge0YLC04(fVnx*GKBJTCU<(ROnYV0onk*0=19t`Y6`=I1ROUwGP%&?*H$7&dHo1 zP`=y!k=g64z4lsr|9kJX_g?3)=B|jwG_pX`=1MA}HS~6D>6Ntl*^$(=ogjbFq@GtI ztJB)r8`iAqU8Pv^cM!j8Qkr%%bTLKom)6<`8*c9Dkqqyrw*0}P`?R*fhVHP!9Vkn9 zHvKATtu1X8j?T7<=aFRsJ4pR5=U5RnCdh`)C{@jI5k$$ieyAOVhsK19Mh>P)Wr(% zm^HjDF#=g8p_^jjdqHHJAwxfqaSj@#!)9toXw4H>fUOT1_QCucGWLO({qO`$^Qu;% zh-wBsH8f9^!itzeGYUtloc*N{!yb&;UqCI^{u*+Mu}T)rDzs>^Z4ZKJ6c%YQ`+gP+ zRctxi5`7S(g|0FS6fSS7IVUtlT=pI|X8u$UH-%weGpITNdrH;#on zCn^hfA*UFt1QrCC%EDF_!vc$G;Rs-oU=uFS0(s+D*fdF5*o>SOMj#eg_z;-N!fh;u z1s2mnKXde<54mrFym2htG+9|#i<}l%C9rS{n99N`7Q+IIX(7!V7Lv$)3*?Ps;p!S? z;RfUqOo#;*R)VQ4T*G2mphj9a2DP+sMCw;n$s5PQ<+`%)4&=1JDuIQ615;TrSPTm+ zriB6Ku+Wd3iB$)Xk7J=>in6c(ImK8dTTH9jx&%yv^VUwW7#3J;IcB2G8a5MYJfISu zL|a7E6nyj;3ko&*wO)Y|)4q zqC0{I!%4!)81Oa3d;qdc8KIftyfvUq=Sfq4%y9l{lpfEdMugrxwH<6j-=DGf=41BX z^Xt8OvI879PX}#NpSTTPrb>F5zmyIc_Wpb;YTc0Kc(G{^>qBmcWHjldmKVDqJ~I=Y zo-MvRl5x(>*oX6J_ly5zPnE*qDw-NLBqpQxcqYjk{U&9oH7s+lsZTeAUOH4?BPPMq z)oVH(UVMVP40@rU3stDVeZRD$7-2-)P~sul&Ssw_qHU06QiyHkC8wkROFulQHoOR2=X%{`lEj+M5!>077?W$ zT2*tDPhpwUBf1WHpTdNZKz22|vq0a1!l>=-qkac6q*?+Q^W45LLvsR#c&L`jBaPLJqkpce{`pfVM> z4@*0W5k_s^~ zM)c%M3eiDGhS4IT)I;;ikMb!jb9zL-4!uy&?CvSNx={8XY3($+9M zI$N#tng;e&I6d9Q)02}bT%;~(W}=HSyrE6~90=ac^n*aO^rV?OAZ9e5cOSU=A^T_f zsXWqLk0WT8g3(O0qX^(!m*^5#MMP8K4^_^=(qa3_{FU~B{Bo<9O|-MLO{8!{YLW*d z5zRzvvc-4dh%^QFz5GJ=64@Q-7_O$?;ic+)^hFqImwBO+4hg6RyE*xgjd z9?UPu;vk)5PwKqEZ!NCCWjAU@r(}!Q_`RricPO(-+2Vt&kPMIS_e@W)J>@8WgmqgCO%cpUBXF7HC{F3vtBz4N-5KJ@%KPAw1nVtGW4G;iP+;b4q2 zKD#DnsIfJi{f0imJ9z0(>L4%Qa%}e)_8|`LVE#tdU=MIJ*!8+@22cApgTlV_O56&d zH#7vJpGW-RX`d&J&yOTVWKJw*&pHK*3s9)A$QBF4V!1#7LandYMnql7 zphAN37379I%urlqJ+k_HSfcUKj5BecXiytMFhI9;{pU5p{V_8vqd+6gPe{>}d=FTfmeOTe92&ULs2`-`8_Y51^8 zjl8i@<0RyAO;Zq;<0>;4bAL69iwzU=iW8S=&M@4z=qHU4BTQtIdgSi0bi?Jl8=DL8O7DdX?si# zsW(3kfGOw4AWN|#WvLeT?}gWUJj>ogE=iQO?jv}6 z;dR(3IS~IUCyru-*X2bh$rj}v(JH2M?H*x2htVw_1Q14vI7x<@R2U_~FiKqzMj4@Y zBpj`*;(Q(l7}9Va&**zi=b6$|45WCwhM^Cd_Aou$;8uV1L3FMoI z51XRaKsd>C1{t;86SJqGKrWf{KrpbvTCLc~3^^F*<4HxrVxTxIEN84O$sAVdkTcN= zd9)&uaubIQ`>~k4eS&A=ArKT}6$`-{85!OMX5n%K#=gx`7?7nH_jH+Sz|*OElQo{+ zc_6$)f+-IP^-WGPI3(y<^hOLa`5j>_ex7-7jnC|NER zQOyo^M-8uNbY^F9IuZYlVO=7JwO&QXs1C^xOHA<=bz=Ute!_5$8l_*Dsb@H*bn*JI zVZV|;*M1>p{~V8K%K&P!GO_OWw9qQ~VoOBKF8sdAIS!;BjoIIWoP9E8|B&*Kp*))- zf!+(IQCI?`>Cxq$hhh$88*`{nBWGe&@FLdK9y zQucDAzQt*=ikl+h!T1DI{ zK9cA|p*4&cHZh%>a2l=RtvD2}NkmMiLn~YoD|BcQ`7Y{25*szKz;OO%=tnco?~T$E zsXvP=n%^#uU#}YWOZnQ2{an5S4PgydA|&lzZFZM@YyzTzh+_*tomT7sH?TRBqf8rR z8l$tFhAi4+z^D?I=%3+U@?dJrsvp%?M6*pLf>r(t!gMcXiFixDPf z2#$vj{hy}u>(Ucu>M33+n_q52;pw~8AQUETn-YMJ^P z(}`t^9dH8YBr?1j=74=vzR>&{#NA8eYEUf?BU-1hAB0VI)xFZgo{X?0M@c%M?J}5P z4QC(%IAPLsTG7*1<%2R#oc_n~UcwGYDazrFe=(;cTu?^;X~y}pQF=0y`YrrK^JP20 zH*`0Hi!Xf93;8a<&zfwL^!~`1tm1abH$^M(?*OFFVbcG!^n_s_&0otK{mLw&CHehy}iXgrhX-lgd9g!I}gv|>q&rj z3{0lV^KdgX@jSeQ8hIXuOmJUHgDH<-3t7tZFiWvp?_lmt4Unjzc$M0laAc+S#mK3B zK+1Zj?JK~P+83}C+GQzDUF~JQ4^Nd!=fI0mX%ac_R=D}_EhS%-&I3!Tq>Lr#6#X}x z|3b$9_YLO*{^@<|K0?1z9>dWW(3;Bw8v!6V;gNh0C0RdIdGOt!e_n9f3Y38R49&R_wuyzDM1ZGpYSNuyG`C2Ojb25z zj7kQPGqHhGs1D#Y^l07zd???BeI&m^9=ttCYR!lmvwn){)C7A;*(nx>h*$g*EM!C7 z9{q@Cmu(n*fR+bOk@>`FX86~j71ak&L4y03e`KrF;BH4r8Myrr@J_%AT=?R0aBC#E z!5ya$;!Fx$FXUMXE)Qnq;I2W-`oL4*23v(Jw=@#Q zwBPq!qu-&Xu5jt+PlM(|`?<^+h)g3gRpD(Bj|c*h^1{7b=)O1b zZ)6C~PAdz|PIIPUi5D)CtNaK7L1J{4(J((cOUP9qlBGc)5`m>gGzSy$2-u+HD(A{( zqTwURD_!PH2-0Og~t@4#KYC7g90%^C(~>_e-8YdvMnIIyiC>e1S#cw6Wo`_ggXhgr|1B# zOW~sW#m?o+iyy!Qd85gXlWy`SNWFW$GAoa@qj+a^N>CW@tY-SB(di5da}LaOf6IyG zpJiW_6W}`d-166V?jONE?K`>?aJyx1yjIKrh~cB`RG{@b-*_?9@B7rTH(mqa(x;B| z#%s&#@<{7_k5o9_8!z@asSfY$(@*K~IpsaprlA}V*MW)obxnl6M(02q&Y)2m$=E~r znLzAL?sj#dbtgH>$H+LZ7aExY_wgS17z2NJyVoN7dJVq&dl$a@tEL$oi1>a%X!y~q zO&v#T-ju^%{H+-4WfF&B7?&R8qr9~kdM#e-6^^dAocAUy%fWPFKx2jFY~cHrA6v!m zSZ_dg5OD3i$`Y5gLAm8TzZ;{Eb@z7KI{6eC=PF9vdmleUYWe5EQWnN zziM>3|G_fVcvGfWxEt+MuvkuEJ*^feI$S}{BulV$f~^y5onY$<`_}ITo>vmR@aaKv z_~KUW;|eRW2Lyx5KO@fY2qd^Wr1V`(rzswwDIcicCz;aiX14L+d-mc0ppj5{o$-NN@KeXZn} zX1~?|<753ADD=je(ODI7b=N?h7krgb2KQQGD+4(bPbPf#ArpNr6NX79&TuAAt;SiNvA&^WOGg8_x8K@*OXJ2|GDywyTNWhe<9AUmId6V*GPN+dsHI^|C;n%L zM&aCR3TxVxiyN=+>FDlh{NSoh9k(=^x311_?AX-X)3~g&*UI1Acx~pgWOK4{b=QV9 zjaOdVuvxWo>~}IqLK@-z;!%Y363N4g*5Z=l?`%me3wZAWb*bd>D5d1MfED$AK*dpr zXp;fH;$09>?}i^&ysm(H5RFm1JppwB1EzRyz>q2GoftGltqrLAF@TD?0!Bo5{ z7*0i9fo>}5`ha>IgR6MI0i}BMX3QtWO9j+6P^w4I1ia@#sTxUa;Ht(u0_qh|s>XxZ zi|QpBdjjft0Ih1g1WIZ77#xMFaW$fOs&rjIT|CR@EeWU{i18`81`Lc7U`Fwl1k}q|Pl`9O&TmT=4Aqt=K&jHB0o8==DBkjbT92uucs-z0k9LDnJ^y(? zJ@-~$^G`6TRE=i?>QNX~yb(}J%T)LU#j6jf&7f5Kz8~=RfKoNy3y+~nzXeLw{Q)Rd zdOYAwfQM1M`vdAQC@8|*ozdXeje}Bh?+$ot0$z2aU+M(ZPEe}n4}((rZb|xbp9ZB` z^*K+ItixXtY_4p%TDgyoalQu*chE7;UU0a#Dh|eiFw0q|+6)ds)pp@ewXpnu zI&z{+sQM~~ZzY9-RQ|slWv!|~ltqJb66OEHQQE2km=&q~e>ZYIPN=%SLP9-`lyPZK z)1E(tBM(a+SIN|~`615I5QppFHMib|)mrZCHtAgFrp{h1cjc{{dUMV5a*KSjIoINo z$=pJZY+8_8pyjUa=ruc5Z^$*x&&~G>nsf8U3R2CvRM}HgE~({=4Y#lQKu<2!l4~A& zn3rqPa=FfpUAf$rp3Yo%$GXm*-j42^I%SMkg5%2Sd~Zijd9|Jn9BK01-8fm~Hgs<4 zC@)*n-MJYGH?QsN?&;0-cCXqLs=9f@t?M?g>dxK1sz diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index b05f65d66d8c1bfed6eb5c8a1e09287b2e2831e0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2794 zcmbVOO>7%g5S~rkHa!4QAhi4&>PiuawVl|Bi%M~5khaJ|5fLI%kzO2o>#T4Rv%7J9 z=mD@OU9F7xIU#`*ae*U990;eNRtgdl;y?)ERFw-q6++@xp_*^rTd&tm-J*=-_r94o zGvAweyU$xbA0w8}4iG&kQH*rgY`7Aup$3;P0b~1>egspTW*78w(Jd;I9P=0x`-m2i zi-o5=Eo|zGjw21hFdS6^{5s8U>UM9!b*z<-Buq{xU zm&Pu_bKdY?N#qRAP2>&lT*5HCYGT&#zB5{148@V4d4k;IhCiA@GG&{9-*uW6zmbr55qxO%86P*a-5u&f5 zbkuFw^=VF&`R&2p5C*}(%dqI^wjLfp^wSs??w_fnB zZ164&KPw4;GFq|*>^s-52i`T*Exorn{1{*xaem{jK27tkwYPu&M6!ha%1AZRFXnIW z6k12+cKXwUvY_av+uPjeNf~$xenbSWQytgYhtc8n^IEsVSFiW&aKYy$qSp)P_0q*T zG&|1i^xrs)G{#$-?m+u;=P?>*3U#FZ2cM%O*w}@~xWUsnxqQypf&i!d0F#E znpd=g!!rl-G4+H+&V8%dc$A1ALGZ>;M~UMP?IKRYFC-pT#KvBUm--Qp;i9;qVt$N5 z;u;Iq8a$BN&NAb4fQFrq0&0tM;qOWswRclb*1X6x~ z1Kp2bj0$L)iZ~6VBFaE2vj?Oiz6t$)2>pHyZ9_O2<@X|x%KQUUy{UuyIfd5AoI|8I)=YUi!(#yVTG!!EC=3Z;kTcLPp8w*hi zh)+Tz{ft#Lfmp7$i7Kd-_kE*qEQ>~Q8jwCp?~I~m>e*B($2y896anG$fpWWV>2+Tx3X` zTwQTRHYFwkm^miKJ1{5GBwjGx8M9Os=}D0yA*|(^5Dmu?wppy7n*|rHswCrvd*F}PW0_3B|6dX=$#xoQ|90an9~%`_o=3&x6TR?s1lhVKCjcn|7OV!!!-U zHqBNWpun?q)3U6V)ogKtwM^Y$4PD1OxYiwsq2CWY?>e7_f(w5qxN5;gtm-&^Rj4DL zP}&tl>aq%$2q%?x1M!s7ZXr_3Rk)3KPHA@#&nxXd;t{1iL_DpuUgir>JEMHsju@qv z@^1k!RCiLv*iyLH6@Frtpa$iYX`_Tn=?x;y*i!P7hJ%WnWh~Y5Q&!o;$xRs5RVm#| z)3Y@{UGz38CHf0Rp(&t$OHu~T^POQ|Ybqq>Wr9Sg6M&nEMN8~?Q1HAcc-|E}?=uhm zRg&)o&*7{p;+SYj-VeD5g~xmD6V08ustv6V*+5}y!W;9%7LpI#5T`Ehp14C1N_V0L zaBaX7J|E+&7%Q6vwB0wa`*N3N27RHs$-HaqQS>0|n~Xt`lOCU^}!2V4I|F3Am2yI4y-j z0f`e5AUGjF0;vLl5O4qvNF3mRI3S@O=m9Qp0R#sSDnbaP@c*r6lg%JE;R-zI`M>YY zZ{E!8tY`O`pI01s`?Yt+j?d1{zG#eD%#WXH%<;43Yg+D|XH546#+)a`i5D|!DD*C-Cxp-ZzuPQUZz*UM=O+i!@( zo~}DI@lJcguC{IT4UPI-hkBE3h^nVtQZ=^PIt9gCwyVQj&XT+8DVJ5pAzSBm#f#Np zkEs(?PjOKleOqTp@nUtjSJa8Br+7jg>$c9Y;>GIdQ4Z=vl{!sze8konSwbD28|D*L zPx0fb@szD|hhi>Ibf0-vsS{OC@w4jqqODU@yjY#^xrr)uURK99ZJp8*>TDFle4^?p zen&Mvv~>E99`J%Q2VjP!9H2`IU0mGvt`dGDp}yJtM|oxwklZYS6Y?>`o~;-glVG!r|wN9h1VYmSZkU4cN6}$EC2mUoe-Y2JCvV z6H-{t5)77o3Fk`<*ek^DlER)N$K1NqfbA4pk;0xU$6S^?Y_HheQdqueFqb6{n-#l9 z3LAbRxh!WqY*FmJmgRkhxh(%(*qvf0rPN(3$6S{G1#C_1eNxzUa?EA&f}iQOxOWxbfovJTi6#MY#+tQT`x)&YA&?35Ii z^oBDeMM0=CYjCuwXg$%4oYEJ1Lm?fCGv z6N2uR!mW+LY8@j^QP|GO2nkFtQTv?z9*LNA6WWeF3bCrx*v$`mcsJwg@tUmHtreh zM`BY_Sn6Z#*iXf7Qadc)P*^+mbFsZ@hh;99%bu$ zNnyDkSjdKR;Jpd^o7inq@;Aycm*t%W%ZGYi3d_C2+Of+8^{X9r3!tnWdzPR9wZn3s zF_+~X$sE=Sx=jjuiyUjmULt5v?Xc_#=Cb_9cqWuTjQ@ZA@4gS5#o=B@um8*Y9{D!m zKQQaYx@9IS=Y5~KF*Z6~X-vl+9II9Ck7cJOX7*NU&FNTvve`c~9@{?FsBbLgViWc1 zuGo%DZz`Tl?kL2XmFZ@z>F=H%@7({-YHTa@wYuz>tWMS*h{cngn_>f{oS!WrvHg|C zWOZs!Y`QTK+fyB{3ig{*_0~@?qG|osvgLGU(A4f6Nt;?0+hWUa|00%a1xMNmJJ z9x0C&bCI6KTq$R}21KcUU|TdE9m%H43p$Jrm2=@}tuDDjJW{cgDOP0Syry2?Kf@dM5NN=-JS7;JDE9pyvZ_ CyaJ5? literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe similarity index 56% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe index 640ba593cbf8c3b96098a9346e43ca20e47c2bf8..48d934f34e7335ec387755d4380a83f62a32f836 100644 GIT binary patch delta 24153 zcmd6Pdt6l27XR4;C=3FlIEsogJVa7K5J7y;DB!55yi|M+h|ilTDU?|{C{j+-7 z$I8S-_*^M&#%HU*fY;?BLgr#feGy94=1BXO6fIpM~NMd=`m+!RNaAAN>k+ zy4eNdqz(&0f6W&JYvVCDuI3Vp_<9GEE^3_k*AD%3JMzWAj<@R^mimg09a)Nb9u%t^ z$~$GHG#nwnQ{Hu-Zr(i>s4L_{0B&PN4JwX+d20os`AoL>Qs8jiD-*<)zy~sOas^?6 z<3vhB^>97juYw5;kMX?1pun(Sz{I*wVZ zjt4e($rTR--J#nvRgBfo(9N1E-lvb%jhZSxr_a#&O%*TbC-r(`iXbcsi^_x(RaX)k z16JM(FL5=`%@!@eeRQL;#ggC*UBMLbso)yjvB_d$r{TKl$>P#ZE5~-8EC|&R$=Sq$uYuOFKq8cvpC()T4v3JNoU1_#BDP%y$MLhvo z(!{kPd906kDx{a)y8g;e=vf_e;#ON72jVK_%4>0(+cM7 z4!2i*%N&2XajyDCSoH?rmls)`#y4l6V?^XO{@^6ts;#{Rp;4d?TOCz_k%R?oLM$dY z{Nt=na^0!8?o?cNDy};f*HNz}^YtgFyT_~F4coXMH%V4kPF!&#BkD$rzubbWJg(T; z<;Gb@H>+!%e`8081gD|;Y*_VX@wt)V_G;9rXDKM%#w_yCS#?v7LhYS17oDs68*`k# zak~0gSoN3CB>TUitQsSPRkzU1Xxud6#_B{+td7jMWUC7wFurlN+7Pz!Ym8;fOK^#3 z$+MOMbUCPRH>VKPv1Kvt)%YUdZ$797d=NC<=fpvy`$gtXC-zZWNcIUSC(AOc%ekqu zc;|>HyVYgX-$lZfQE05OgWO6KnE7pEM;QpErZ9k*Yt%)o1KhYK`a4;sQ*S{(Esh4u zjni4x$11vEA+Dir;duVpU>oITKh`bwkCpDif=UVMJ{v+}Xt^ zcopXAvlZFm@lmn%^p=g}B$&vn%bPQU1ZPl?{_=J*l%XTkvRTvexZ$da3{Kk_nG?kjgKwW%@s2*kHV@DU?6L|jcHKEs#xv2Dk4CHRpF4Q zMMrDZ3G3{6wz-x$mbu5P8^Siy3>S4H6708Ce-~E$1=>K=oqC$2`Wt7e1H-C6B1h1E zG(_;cdt{?8BqC0)j zC>6CDd~{7J+AO1e&;-qqHNVm%yna{?udyCk#p6X^tjpXyit8hQTfSE`d8k)#P3{h9 zc9J!xkmd)9CJ*@luE|{_&68NVZlj(w_b8fu$vNY<8|Buy+oZWs)_ezWi$l@mBk(-e z?GqnT%)$iLZP-2IJOLRIrA^ z-~zJLAW-luMF8%c;vs)XXjlOtI+Sso{j0uaj>a3GRR3C0GVn4Mobe!dWD>XWN)_o< zCHO83Gqvdocu4%~@EEojYha)uQ^`24Ldv<$lVaW|lih8!!MY^}Ge(_Bm!AvDkWIa^#lNhZmf zBf#YYnL$BL9F`q#S)76;*f09DC0ZBFTK)t>>?d6;L57xdxc8YB0ws65XI?ywBiUg< zm=|X%DcqjP{6Ef%|7lv-+uKI}@8`w;G%dn^GcR`Xc`?UM^J2;W(|MtuN964A|J%G+ zf2EEVfSfS`BhY(q%9u3~QzJs28V|3dsZm8cp0#mfTrEJlTAv?p!(yT}or2Qp;(GG3 z#%kV}4p3g-i6nI@Qpd))N-)v7DHDWjZbwLK?k5%QXJu|^dx&39iJy{*ArhbJ9GkAg zR!h!wq@9@-t;e7f5ZMzy68R(;=aN2;3`_5;UrUpqNSy@hPf&=EAGphCL})p6OWd3s zVSfc{3oF0UKt0$fKZHPv6XS0e|1etomK;Q-1{zk^LvfWTDFXzzn*nZX*+3b9n^3!# z-y}mKkn@0EeHOU9Q=HqohuuQj=)de@3HgS8mub$KEfcxRbTpx$7lW%!f4#}7m=aI! z?|T~C(*2e@iW--n0C=*}KCfIpAp7_^wrQyGg!&Wh*)%pIO$-4RbhVz;Q-RYQok5J48l-1+MPnnR6*C7ld7c&!j1?n`v0|FUJ*oZduLC9H zw`HS^ai`IMXA^yoY-tlcrr63-?P}v~R<_~S3O`O=j#8dO9^QL^$h>7;NQT9OQ}*azK1i^r-i9;XsMJon1s!*j13zV#<4y0oUKQ}|D4*(Fa{4ru4Y!+g%xhN4AS zT!l7WZ7s8T-gQQ5v|O4{{{HN}tz`~cP?*UVzRrk1yWjF<){84sL}kZED2@}Lbv&9o zPLCVpcmovZYQyoc>KzcsXQ!8NLw+})%yC)#tA=4N&`SV|9Qd6`(g-}4TOAL@)lwbo zH7MyK+gg-uwnB$Fxs|TCX)7DGdmag^{x?Wl*8XacL)Cx^DCl8dH23OmZy6?^g6hpU z6_Yo0kkRE_hjUOUoz@(;Zk)4JU#l2e?XEEAxE_^NaujDR&cVSc7Dvhi*BaFASHeWBvEmS8%DaZ+mZa)Z@%K(<$20A$#M}DSQ%fNq>n3@uacmZZaczxs3PyTp z4xV0ebI>#M?~ZY7OT2g?I@bO>!}l!>pZf5uS3J|6h+F>I{=i-OnID7^Mata~-4H=f z?jC1G?luU59m#ujIfeVbKM2#K3{8Un>Rl3lKH3A5HlL5icwWZQgrM|J(}38`p7YDI zWK2&=kCVvv{LG8FmBy3&LOe&~mHA#2Zex-BRwSfkG*t?y zQgtHXxcYRTOi%Y2^mK2gr+aITV*%zO?uv3CkSBs`UnR|AX9v$*NQ?v-J@Ah}c)R0? zzb|O{6aOe6xWfxKvBAY;#^vH^_x11`^H3sT*`4= zQ#8g1VqSJsaE{}W0+_|TF)=}E%_83CQyVM(X-rJGD#IG%ty_bM)q`iMc(aU$s`@yN zKwrF;)in>zd0pT*deMMEJz~O}0k?5P83i=}`3ha{&~hiv{do0}HIheSJi*RUQ=lYw zAKf};>5<>&d!IV>m?3Pm0{REG90ft^DMpe7#J?frD+hT zRPtyROj0WODwiDBt*~?(&)=c()Ihn+nRsy+3WN-AU?~9KLCH6+Ps}9K_sLXI@&?WJB(4Q3=Fu<0^%gl)SV&wB5C|lR=A@ zaSzG z{en~!2mQ@FFMdDDM^l5e2a6-X^#%#7L~k5C!pjL|H2xG-Nx-?3R+U~((*uMYiaKTEizgz>?jwl z=d?2w+C&rF#Azb3vz*OR0V_#qL#rfJ)hbDC)HKZlwGJ89r$-0$a*sA8acA%6O>cpc zPml6P;lSfIt{_wRM@rrv@j!)ZX(w>n-U{sloHkxV+cPhbSp5}N(rL}Qvqa}-<4>eM*P zBYU=3<7^;j{d^$z!^K&@hLVr7`THQo`$RH@v!vv8mPd9m$-GJJnLt#LRutOJut2U{ z10`SD_#C1wB2&|Tu-Q+07RJ>yUTRgVY%{Cc93#lew4QADDTnwG2e9Y zIAzq{il(G-kdIRNJh3vfYtME4c^{tO9zB5LjBor>C!%g#2$VWXO5Tq0VF@CccUZ=B zKovWrLi;4Ajci5Rd>hgJj3XJ*l2U8hZ6x#3eiR_n?t~p#JjH4ELCMG4xVaOE_F;vV zlv>lK&J~Ykb?rGy;dz?#WNUfyyU6avDLkaqn&;#kjeEEJW%te|aQB|!v=^Y{<6iy) zR&wt!nW7J*)S7m#mR97nixt{!oVKhL?MR5-#wiLdDYd4(jw7{CFcUcKFa5Y9&vM#; zRP+0C(AIL=C!ypUghdeJ-IGE~O08)lwY2%1HeR89 zj?)fmMSCHeXhRfQQff`R56>PxLHJfDdwVpV_isC=JpmLnjuzAN)5&R;W6ZcaSp_yA*YY2 zr|jTJR4c*h2B&Q^x3?ED%Rd9oPxoq!-`7 zcNd7|Q^)9{3&dBZmZt*OmFpV=?xq{atA5s%cd7cBm5-rUtcNg@h1v6t*H~S>cVQE= zy3)4K6X)cP(5=lApU54n+cjSNA~z~@(|G)ncaQuIIi!5NsL#vjKbD{hK!*dtf!{md zM!=lTbpI*1lV=~dIzDq7ujh)(@@DGRCX0=E(Yj^H;-$Pv#gpj3UfLDS`qK}aT)pqY znfUU?uqZQJ=zSY1E#;tA>ObLl*|NY}m*w>3g(7#5ex|pcH%NReKTG%4Ao273yL69C z5eo|j>gG%lHxvvBjh_PDmC(f`{5eTHQ4p(pY=qcSFfn^6SkU-<6paBHBlwp~R>xb` z+s<37e(t#L6?_J->*q`~L!9IZ=D68~M;E9`zq!>U^FM%?O3yk}Q^-)X&=?!dtMvD5Xsh}@wt#ZR!~ z@H+z&d=zjrY79spDlRA*W9$K;{Ht0Rx-e@qU48G*5DyjI87QXH&@Z%#DKmR^-7-uN z{dtGvye0fRDd(cUub9ySb;m5 z8yMGe+(PvWBh|7RaC@HLo^%@2g?+xRj~inlOB7S&jt zU9tkf_Yyhbl5fWj6Nk)-Wk#`RRt!Gxot2h!09yxTl4Q5>9^5+KR?0@lcW&deK73QKZoxGpztGxv^)|| zm~|v0=axYV!3PkuEay{VEo7>}+rTSMp?l>%U>$+@EIzZZAJ6 zj-AuB^K#tco2dXShQQ;E0kcPli|0fagp*_PS602ZkKU?0<>I@0Pt+o2y@?MPrfw-m1ZOqRE*ckBraPh*NUb=6Fi#O+thj$n_a z4(wLS;q8>O6nwu@ZkJ2DyKlY%sO1Kwes&Zmp0Ct@R_brHDlaK@oub#JRk=ZtuT;vO ziu^&U8{zp8MKDn*w~rD(Djg{H@UP7ZHzAz3ng;Rm-rIQjPG4R=5X;LCd-2j5&C5&O zc^R=DrL=xKGj2)l5TYN<(UU3~K6Y;|htibtwW+*5PANZ`%$IL?}$k~%moBQ+!0HW+s)$wSiyCnqgfihq8kvfsU8qvWa+AN(w zU`?Y%i|CGpKyws&d|@;)RbBK}*JTRJz9_vV6&4e*)^CUXs9ijy~mf{~3Ru z~{a<1G*O+xd$qIOQ zOLTX!_&!4rlx3~X1k?a92rnLYHIS@=hE&zi9JRzmL ziY;{A+AjLK8C>T(-InNXVjYxfDXHN4Q7Wm2(wA$yh{53t6_!q(F60CuA!{H*Q@=RUJWG6ub+DG zWj~*~7_&%>$kslS*KTpk@=$Z=5W19(HWR8UU>6m zy*dub`QoDuPkX9A%TY%TBNH_tb+6#j79P@~z(dxU!2n_`8%5 ze=v}`IH3NOa~=Hks<5n3eEWQkzD$`Ysnf(>7uM>{(|D+N%@DU=NYd3z6VG0lsxO<) z(T3^I5BQvQQD@RK%KXvki9fZz?2A32o@usfrr7t&2l~pH-1M1Q^}k$+XL@zcJwB&? z;6Ij!dg@Qj7GL<~w7zyWSATqtxbD~8x~pYk|6Bc-NzA{M#1h2yx9$ImJ2M1)haV}&m1w{eXm}fxY_gS_qf;ld+OWgO6mS=n7(c?`qI*a zFNLt2U=MJuSn}(^lJ!CfZ((wYG^z(H)aNSo4@#t`P}dcfNY{F>Ed6N(?=)XB_hd1; zk4mHkJz2iqFrVAMFkfou$)@SL&X>CPVncKj=S!1&v8nnxMZUCD+R=*@#r&Yuqm^~e zCP#e{EC_wQxMYfCAM4dTP*!@-9m&=Q$C{OGDa2;WES#Ee%b8G6XtN1^F9qI&Jqz;7 zT_`=Dz}9;#pD8_7s5<@RR_^fgM;&y-4U^k>O_hC3<6hOt)%vd??d7vzBJg%cIbqHgXgX6S*8;TrF$o`S9ONMgQ=5PCDYZ- zIQU8qOJus*S<=PHY`3mI~bYEW+CeoA6%WsE;Ai^x3GX+ zV4ln#EV1b`qr^XtG?!;gjTQDqAXDD`MTH+G)(>-4CSb>8zi0bs7t# z4*Wcg_0gH~rJmDSI&~y}I*Zpe;bwE3%2V-WlvuW}3;K(hv zAS_1M@x-T7{PGlE0dMFiunGEC| zqc1WW$h|#$k)=SIqEsZQd?Ee*5gjE24zV7{bYpPmy^m+R!nV#*DzIU=7@hhODO(nBYmC48)p%8Cv9;tqcr+4ruTL* zI2VTGoF99Q_p%xlZ!hV$^V;hM`p_^^?qw3qo3!NQj7q3@dl?6tOCwwNvQChGc#Or^ z4{3AH7OCko7Ay67j0H(ccCwz*I*A>CQtH+=rQ5c$ z1Mwe&hzG8G*{W3)Wl5#fp7#>b5{PNRZAtczs72Lm@$Ew$kV=cR_X##6ELoP2XNSSi zK{|GUb>r?t)U%!k`#;Gx>EgeKh__g}q-5nCC97LC*#N?;7Aff=bYSm!Br8;Yc^Vtx zY2l#h8McVAKqA9u0=PYY8uO3(+O%Y4KhM5MM@Tl_0Tm5Qt?g}b1>mq zW@0Qtnovu@87tL3j3yMJbf6Z2OOcj7hi0yU7jlX~ysZRFb7{HI^qY2)J?)%81BY0I z%5_k`of?`X4R{`8ZjcG%h%6?Iey~joz>occ&tNG|i}TidW^XW*cJzzdofVjBw<24w3{u{ z3I5X0yV*JRXX(ry#B?4=>c*?{VD>$zR^rQ6YMwV%L#?0#R8af{p%%9$4YCJFE!4S= z>QLQy*$@tW2U!?QU|{69d(gS&W@4a=x(#maJTbOhm5qMxYxc-woZ zn(@b5Bac2O1vuwLuHx1tJ-3%d1q22NLV|qTe*kW;LZ5oZ`(go7J4x-Y>Ny&_w3m-y z=*zTdI!YCF2-Zx}&XFDItRBs4a};YSWhYKmg0!WU#UAXok73^SlZNhxSt-owxS4d< ze%7t{E&v&L56%6x*=%=|EL|?tO;-J&K2<>{!J|V+4Ui^}j;$;yJtZw8jmC!eNA(^} zUD?lK;7V9Ms}DYnR;aUnYyh6vrN7s+M_HD1_W?NeJQ#DQ$P>^0I_}My_Rat))*wwl zHffOWff%Ox`t46A5)IN9hzYwHpHr%rx%fH)uEVYQaNi^idxaU9fk$uUE6l{grUB>E zf_gtrdJd2Ix`teN9V?pBtyhtT@A4>?;bEy%Gk3^z1{{E$l7@?KM^(sE{UL>Wfzba4 zz&q<7269@1)B-W&`|7*_q|Sq={X#?24DYU04AQ=o`!e%C*l>ttGPX$yID%wU zR-kr5)xrNt<`A1FO*o2C`3StwP~7d?f@)2H+OXP8nT$~Q643#jytvS2)HB$_%8nqd zg}%mq39nVPge2vEC}fg4H$cVwI+mjA_3(}_cq`OJl+@3VyIHXtGlkOnt z%{HX$1iL+){vRZ5c4qqtm<6^cHN6d~p*`s~l18*AjeG}EQ+v`UNt)1}G@=pG9t05)!c?ao}!POgqgKHso`BnbK8?{CTUT7(oXL&t8ST}wDmI3NCVrGeo9h9d(!EjKpN48bl)fFs;Lcu+|~R~VV2OI^fXD6+mlZDj9GD} zz@q6TmA!{Yj3b|6QQ?gYJr^)}QH_@-o`+HaUs)PawiJDV-NBxf9=?D@rxAJfPpQx6 zoH6rrRzy16l=7xh=6}KQ7nQQZm%LuAlt+}Z>t8v(Tq#c~WlR%mum|9s0i7&ysKJ@a zW-FDSSNPsxl22KJ5D6Vppd%H|9k@mSQ70Zpa)E5cIf?U-&N8yXMRKllFOXa%pwyOa z^-`(iZ_H@7%2P&d?m?*4pe--e6F}6p$*J}dqHHA`X#k>5AC8;@(xBn_8i+cgT!+3A zxw=Htd<6fNM1Zd1cRx~6&Vbx(w4&p6aV8LT26COnK$dtSOObF$bN|j_?TSUVsx@j~-}WB|dbOX+bL z5FQm3aRI4RRyOb7HXv4QH-MCZ1E9jw0EFJkc$v=zb;d-|_;^uz_$!3v2k7qfbjN0^ zSdJ&g+aok%6Q~!2M>Q=(1F7>^K_mV2UQbKy1R*YPO>zEyLNpQI z2BZmYdd>C#>BKzzCkq)3BY1fpI#mX2FIxzt@`hM_vVL0qkIH4;cJ^u42; z21Lu3CEd7)2o^v<7av*MqPtfvEng@kYa+M=Iwno{D}bETMDS4{WwMnTiXA{UX>{cO zJEUOtnMEhlp=8idHvwtVAXk8BW2%!*ea-sW`B~Pa1hE^w3R<@(REUL~(Ald<& zMF=kM@N5Rstnqt05HobVt9vhyT6!_-jqpi8H#Otj1cd(CGt?9pzXP(fKzi{Tys7@B zll13r@Yc^50&-7+gQ^ejMn&H?Dqz-0Yhv*-EZPHks1j3(xu6zTeQquul z7`7rb;dRacBw1q>3*@wB91?-h(0TJR{eL-5YOJQ(0hMW>5;D^?wgSjjO_#`uqUDX~ zW*`&nUvr&ddU-A7^3+uz0r5=}%hOWbIiN;4iWKpXI z=?=so6H$=qiUX41(Xp*sx_EiXGJ!u#syZW~bI7Z62Ok>#kgV!VutUjv${^|)K$<;f zge(G5=jjI_l|Tf|Tyc?(#%desAbWX(b`Xd*6~Aj34@eWWZ)7vyRQXRJVD=$m*cBr* zR$tSG%n*xgxLcLf?z{zrF0amcLNwI>1d`wh9d%>b!sWst`3Os+Vk=m-aABDpgP@`I3rBL+^fe4f zlg7mXKq@tjS%A>d%NxZxK*~JLld}~-YBb1`;euVr&_IWwv=z#n$R>{@)=~i-Sk$?6 z20DS7=D!DWQ{$q(E4rcCKY9a+&{&y)*guu~s*ZgjpsgCB6+mcoU?~raAZ!8Bpwwuf z1YtLjT9n*rLS6^5Nz>TJK(1<-e*hvZz@N{g1f*TvVMeUBLf_u^0Mb=+JWB<#Q`S*k z%m#AP(|qAU`}gcUMnA`sCSAkPr_CSN*_JL}S}xcu%kD0@XO(S8nr*13GC19q=BcEl z*^&j@xE0IGZ9|6G(v{o6X|^GPZOX#(%!Q@PY=ei`QhjPEw!w;8O1dpYu>Z#&`r(TS m+i!jn*ygYE6t5@1_oXGvY-z*boG*P_MlAi{rt7S@%l`p8|CmDn delta 28347 zcmbV!349Y}`u|MYLX%Qj3gJG|gF~T^wj2cn5=bG17Rp_@QkEMF7Hkz+HDyDTIA(vv zK?jx9ipqMRR*Q11y5W!_>rqe;b=B&+N+@b~S1HRP`G24Hok=paW%u)+56L_4_c`C^ zecxx^_nnm8m)f8A#aC_XIyO|kRCquX?u!$ICSjnEU@&wMmTZ4~jKTHY_D^3o2}=Y) zNXBQ0{HWM>WW9*nGJFj9EXOD6vI!-Dp&OSkg+ier7KBQC+TpWO{z04}mdfJ|1I119 zN_=mYe~<6GAJny|tHOW*ZFu zStw6yx4h#Y77Bv1?r;d#d2y=zW;=`F&(q{D+YK~KUMR=6zr}FF)Y^*n?ZvDHG{NZ& zly}I>3LGN8v)&2SpPxDns6X*T03mZl6)L{CC3g$L`3*DV7vskm=FXCX@%K3{77IeY z?^ssA`@K^d_wZeUaF5+7b?E0gE}lODn!~?ZEL`?|fiHOeFk_AF?UcIoEA*Xr`VKoi zN8``GS}gA~t}{$4meWo14OiyNcbU=+pU;<{H02tenlFE5n$~N{d;}?}p94;KE)J@T z+xS~}iR=6?(`9=?U&H6q<)sO^hJVeIA4{k*ES)E3b{J#mKTlrMVdKPC<_d!MQu*iS zi>C|10g6UaKOuPZS}1gg`s!c;>3IN5j23(zgq2mCM16FA!(6#f;t)g340&4O;P#iS zfW8_h-<>#9>?(hd*vma{wsXtH%ZQTG_ZO$MrF&1@A()0xgHtl+p~(l+peAHq`v3@j zxjU2=NCu~WYeoYJ)qyAob}9aFu!Aq&>37EFNw!$~;qx6FKG9=I@}wp?>$YapgO@JJ z=#b+6gK*^e4%?0IWvQv8C!p#f z`=nEH#yTXy?r9KxCz|W+-awM~aY&K$9+bpJA_efvlPe@`eF31|Gg?UUK1pP0tDDiC zzO5OR;3E@dQ6>m$#l-Is1Tyh3N-{wrVH1x5j}}U{x(xqAa)xY{kSqn6G5w zUX)~lWWpvSfZW6uBEtlc$wUJJ#o|o?qnJUIuvRRr$JF({<4*G4jvL~mxgR$1TY%ie z1|q`*k;z0Am55U%O3eget(aKSo;PA8O0qyAVGFkaw0qpt7fXo_6GSHyHY#Dlic&K{ zSSu!`$8!_&P?8A}37c35kT+r`kzs+zWT6Ra$wGshTVS#Twqjzuk(-!|lEo8jVH48; zauaqU!$bqfWTKi%m_X`b7l~HmPH!RCPGfeciJKUSlK4obz;EMYb}T?Ujb3jSkzsFZ%tq?kR3#p9sbdL%I-Z; zQ3$Dd1#sL=yu??D(k@vOtiG&#e;N3@<|TA0@ZTJp=j)v3JzZf7KJg!FE7w>jlC3&B zi%R1Is!hTgpC=yDs^^g%#!hz0*nBEIUTTLFl`a@5Q@K-Pf>WRv8pj(AE|K>3zh5C3=Oi2&f=L6ME zRXtPNL0tvK&`_^x2;R>OaUeRVLa+=JHKqY@w;fZre)iXpXSn!C{nLzAgIW!^#Xu!F z5@i`g)j`1`{5mnMruY+I75J_n)DJH6ppr&?yeO#EK=DrvD%JEnZN}6cyaS4%p;FZl ze3TjDfIg^|pr}DD19#g&)yK4i9K*$9x&h0_sEq2?QtCY5ErLpdBuhapQk77!2)|BH ziIv!FD6b#WFPlSdmQqQhK4277QiOkMP^qTpX)~z7`pej%d4GoYc4Z%aq5C`-@tp-JnX+1cv7gKlivp)c4x_D4O zpVn$nj{t5F)Bt42B?@W{O1dam0hHH?DY3eVuNpVk4{GRV9@GFh_3@&hlA=gZsix;? zJEnhhLoqZ|sTzVOnIR77gIWVhfn;*}cVtw8+gXR~PHr1%#|3yQ7E~Kz+3L!Z#`jx} zj56Y(%~s+gQxR9+NxShRW`FZpN7g%1N{-p>25da#eZL}+7CCe4Ai^W*$S5IMr+-dH znKU(4%JzIK`c5{V_MWYn(G)CnY6%@>33@$u1=~pH5G7ub7i=|XU@Z3*` z7gn((8~phv`BZoFOvB_{9_|XT&Bopxi)h*;TYA7^c$0k4iA{1U6okxQ z;QRm{(I%Oe<5qQhEOk13p@T1(7UxoaEbBMg8mD35i#0hU1t~>Jd=q-?z7uw1J#CJg zPi38?P4QDedl-+{y{D+F0u}Q~gxtka{s3RfH{m#;&T~#%%6pF47GfC>Hr+sK`~x=n z=Sa}Ei_H+HZ(qgGR=_7P3@! zohI^a4|K3r)6yHi@H;O@2L%JWi1l)+N&0i`yVIn7a50dG&CbL5_u+0#rv)x;t3IaY!Q=} zAo6Tsz>rSzHo-_R8z)!9tj`$1T#B)pG=jw}z*^c^qNSA}3%pcYO#5Rn7eSvO-2>;^ zvG!hTK5O?jRb+WX6{8AZqKMLg4SUk`Y%H1b{hPtr&ymoBZ2*0J^Sqx`qz6-ESO0W( zcPS;Ilkb!rMYq(Gsa@@<$-z(IDFuQ?X7GyYwpEwOf`0?-FdFPqr&f~LjlZC&TQ24z zlhb6R^*T+)cvilV!FD6Qy&2sNLcUPodzv5q02l;@0x;74if1BgH{6A1>+VUItvJBL zY^}Qk1j)m5BQ5^rYrVSO^af}&N@zmRSZrdwr1tqc09l_0h>A(VsOqUfE>AVz-cFO` zK-45*&SR1==P^l`^Ad9&2ZgHds59Te$9&&%jM{z_bBAoOINqer9Rl=oCk>PWKTE3a zLa7r?um^cza#(=|HM=9vDB<~P%B(mwDHAcX#>LMRVuLEbD4k_90`5Mog03ARc zN%D3CfemXjZs@EbfU*#|-_D}j12uk}lY~2pGtue9$Vw&5SWwbMRtO_2l9irmZZhIM zp5)ydquQv&4e^nT6XzG~l=A>U&v+dEyi8PBU{v&E^aMsNqFB>wjaT&!$Bp{Xbg+kJ zdbZD6==K-md^f?7U@Sm;_`^QQYXNWY1sc<8SoHn(Hcc1aN@`fJk8-ow%`-=G2*mfW$}HI8Lno6gUSNFOe{G}a;YdBKKI`93%PV)tFNH-F^F`jYx} zJ5Xbt-Fvm7v-kTX?+0LqGu4nJVG4JZs)fuFE^UgH26_H2`Yt2ZZzp+Q2cI{TDrelgeVHT+er+uL=6=lDuTodkI;X z`2G9%=_h!t#5>-ep6knX`q#%wcMEoR!Wc4)D3C)Bf+1M1#+|h2qe=5dZ60VsaH2ZH z$bCKMAW#aWRHy%qj7kulb?wPtyEG)jH_Yk368Jz;QxejVHO6aOcExf#^=(U06tH4``32AtB^n<`%bWdWS>Ou);n zBdG9HMB%5P6WQs*tfmriFGI=n6TtMN6<9(u{r^S^gD<51EtF1wX-1jaM}L`|p_Oi{j zvn~%T2q;WCe1!t+7xHYB=S!tTWLMuWRx>tY#GbeRdt;~%{+?%iFVFXbz4>fj)>p_E zIhn5jw;O|b*cKuweP2)S} zQYCVxJ>AMvr6IVNPT;h)@w@E!4K;m!dkwI|7_Y6YlkEweQ%~AcQ?RtIz_9_nkfuoR z6>e@<+-WP^-sT5$vBbsp8%x~%rJzWj?)2Ub@oSQQ>D6so4g_h1JC+pC3Ky*5U5yPO zTZ4@vDy?vdibr(~mFi%?EhK=+V(-rGYy;Of1|{jMW>j^>JOLonH&53CVU}z0?VL&XIbAC%V7VT4l?{?$F1M09c7#G%K--W+k1++05YZ2Hff| zax!v||FG#t{>xuQabx@9e95TIcWu*zBgc+WM~)qRCQM>a8k@%r6cSu?>R3l_f7Me* ziaI}!dl6{(JPs!`!xG>ShGPSyM7f(~U_n;^l*9Uxr$~%6lOt&?4QP0`>y-FJr%YQ7 zHcA3Cf9N8&t0~it`=_e#3RB2A!oPtC0ptntXCgx(n}BL&Jis#J+^QAu(X&&_j5xug zspF)>30A6M-Exeg&VK0701tFyDtH7567ErJz$65}mOG^NaG#)rDoOl&DU!ho{4+6X z4eF@%9j9Zae^vCMF?&CRTg^Kf*mB_F90R{iSgDO${!D@r3|$E?OTJ}4aPl6nn2v!v zpI%NvDZ6YSHn;tVb`iy>qVX|U`(g8m|_hfFXiyx9NfhBYR zjuZ7SF_OH00D(>@cdHB~;pM>}fv`dQIFY2tp{HBTBBamOQr|DCK6=j)Iq_`!n*H zK#fix;~SsvzY~L`n}dGjvP2ss>A@b{E?fK2i;!|;?eHF&p81WhJB(emC0i#t45e|< zHTV^cF2sj9e2oo)IY@)iZ+(K_0dDin&M(M>QSVlYQxHaNy=Sh=M7m2P`yunirPM3` zpD$NFgd#1?MP9BP1WIYHm3J$fdXqJKu2Zt*%AZ(27s*hyFTGal}_E7Kq@dPHMcLmfm(_GF}LIF0OX^ z7G*e{KJ+*3&WoMCQabDZi_`y#vkRQUF=F{tr_RWPr8eZDX!vG@2UEg`F_>BF&Oql1R#= z6Y{Afi#ue#f}aNmd(w>Aa&a4Zj|QWKsS|2hms1KH@Q`3!Nn(2|(y93>K>^nIZtNJQ44uq%m~B_kOecthc#hL*rb8 zAP0Jwl90I=IuL?Q(IF@!qyD%D$WdP&ERmNIc`1>X5_u`9UT!%?4LOP|wv~=wDC-pI zUR$#HE2T7_mSd7qva7)386ZmSJ@}bydu&14C3)`yMvX{D88RPQ3@vzDpmt%=gT1?~ zdIS`D!0#ey94~n3RS<^5%BmzU(VUXHH^FlUoq|0MUJ@rKZT$(8J$MBS=qi>@f-})3 znOU85UN4Ose%*;jH z!%0g9k%v}PgRdZSS4A%RNw?E)K7)-ZesA7&H1VBS$SPS(=qOb@#|Ft~C*82zMpIsD z7tU~*{}>1W^9Y+J5XLl8ZA#4np)}BHAp|iPl%I&A_#7Q?*Uv+Olre+WWbKgpyQ+M2;xr?X4}=wO3(3 z4$kbODug%%@OUvP#MZ|A&kR!dGzp=-L~g0jsaH6JD|{7lB!T#o!i8XBf^7{Jm`Z~7 zka@If3WDw^TSZ5S`1yQji*(ju3}ttJxK+R1FCskTV6rLE(0b?th-9ja2MRkc;XZG8eJCU~7;ID(nl z56%hXB}ullwNP(s0=M;cDsLC&Ee*rqC&U2qGyw zGFwylLqAejO+sigks}IulO1}6gSf(%AV(7LgcQ!7tu;A@c{oBb^&Y2I|y0{Ih zZah#)_Y08tZ@RziL%MycZW4?%gR9OYT4X46;>}PXN8VulK&EVD%1x_*>$Kx8W~;Ai zizHjxI)cF+WsBYqA!I{TTUbSrtutV1wOPZowGFz*cN3V*wWJSTl4MI;^NVD=y^H&B zZ_cuqD_`4|@+jT!LnLH&s=7(ArS6NE^-=ApXSy@zsiu~2Qz0<@*O=YHY<*7p&<>Jp zX=@9&g&YEwc8ys0Xa3NOv%9z)2HzdfGy!8H6 zRq8_aA#78zkUfhcWIl&9iprBr=ZDkfzfH{Ph(akuZ9F8^jy=8a585h+9f#%q2#G0_j90{Nc`$ynU{klZV;hkSa{SW8d7Ny%k; zMjQqm8!rF2oui3S%Izp0wsvoK1r{GGl&3jH-3WeCKAiE7D$eA3}GJXj!i$;-Zgnhhi(u|X1HmZ{1NaiQ)Tm%@*LoX za#LO09drY_=Zc{`#dAe0pFn$t1DH7US6Nj~e;*?~mi;3P^W-a?tqQN*p z9_Q@W@jT*r{sp!@O8jWNyw;g}<8Fc~03GD3_&6T{i#kz=&OtYh1f0H4Lgrac`CaGD zhBpVw1M^c2dk4x>^QV0$;h%Z(zNteDZ{*2ePaWRz-aPQqULV75%m6uK zTDsxqY&n10)XC353ykkZQ5Uxpy+pBb`i?kn_|)mS(*B;;@SSkaKq<2l9C*9iM;f=8 z?m`uvr4i*!*t}tY{K+(H3T-c(qmL$e*pAXaV$zdxuY&Q0+xp833wk9T?=J{Ro*+BR z%KW6d)?3g^H2joaySvb2=w34vqWFW{47_Qvz(*U_F?Ded50#J2m|(sW#DmoPPJib2 z!|-a$-~ZcTa`&PQ@&C%C5&rfBd3#aMF8=@v9TSy>%zGw)S?cp~mi*@;hx-u_*z3OY z-?8<5TqVX@);mt$h!7}sac7{@7%K$o;y%C~Z-3lJxOGZ#pC^)RUI4eB^4k+3vxU_A z6So5hnR8GHnY|bWhe(OjcNlvZVCRougsiM`N-25q_$%o|*mU~Y?QW~QV`jRTC?A-a zhVRd2ju_en;sy9UkTqofWSk)Q-d4*x-}fPN2nd}GsnuW&B^XK zm(>*9vs zj)n=#Ba~2%qM!YVqXCD^FObk@RkLy0=ecN+;$oOsMN(D(oR)=0lloPXcJLcPNeG$K zA%MnaWXVV7X2hSxki@2cjQqpgK`HN}(s+^0f6v8SDeiWwJZRqh%yEEyqntihe8@bL zvbGdA3lLPMQ{fxuV8xW=kom#U^4@tb^pANMZwnTbcVbS&A6+>#soz%e0_n)yA2d85 zzJ5r)YyQH%o`;AN^}BFWZc+vC*YV(d<{|m#`DuM8X)HJWnq|d9@`&OiU6$=2EfpU_ z=waMK-RvE5!p(|%;wV9=stWZ_QOg_E@{n3S+M?9xJzMb4QtO-5^3#!Auf}Im@!cw} z*4_P|Z^4+RGEP;?3o6~9*1uNk8edOMp;|tQryw<`WqC-&Q#5_7bcg!CsuHyFYqg%O zmPgcbfm)`uD5t9R->Bur7UkD?PLo5Y)pD~+zd&^}2t8Hcd6mI1LLR8W$7SZZXU?X3*C5`yoHyv@}i5LmvkWovkNnxT}T9U zxdkLNb|I^>tD{;bsU`MA?4sv6UD$!Ji)JESk2-Cv45)@RTqt6&Ae5-3o0m_jrRIob0j~?{71C~~ls{jT zo_KkkErKaCcZi*3%VOJuOO~3mv#nXVxg&E&TV2^XIoa8{IXR<7%S%dbl&hDtH!QnN zett>%#GDbiBdsIwJ=$d*J|f4OHOe|Vcj)pp_}@i@J8s>0i))?B8opX(mb<#rZkU~y zY0a??TUJ)OeAuMfLvN3`#P8PJwr1V1au+k2VQ8L#iQ`T*{G5w0es8 z>ZW@$Oa;*!ymyXC3oE$) zw0DVUDA`ck>!lk-lNQJ)7u3#p`Q@0Xx*T6?Hz^-t0$HLk%2T#O=>zfzK9p2n%s#N=E z$3l7Ao0m;mm+e|qd;eSij)|(v{v+p1)oPbjFRH!u=+sz4(7yGj{p5c`=*R`F)IS1B%oIMP(3)`zWDo-l~z zChKZWe`B@MCq`Ul3aIs2Yxe&>M(i(!Cs@fE<;_^}+i=}jy8p#Eu^=G~Y+s|qbP}zm zYIR0_zeX9?Nt|P9QtRv1DvzOV_-T#uk4|EqscbE`ymzf)OA*ry1>lK6Ut_(=~#{msf}5MA_AEIli4INY@c2lYgMN8!QprlS02P#d4VmvkAN}<@- z5Llq}oGy-}hRm8S4lqSgibR zhBz7RQ%+Ld@*Cx!R7W$%6p2F(w&lv&B5`u$kwC@=w>uFl2x$3*B5{CGn=k`d^Ad@q zO`4U;7IL*@CR}Y=sjQtT4oK66y_+={+v=#MJ29WEtCd&5X9%oTKAkC!M?96Dv&6ne zZ7>%qsk6jxMr|zL&8ylFzKK;0HEWeeA!I1JML9GJ?rS5i2lKIALp#_h-JdpFJUwE- zIyiC-i=tJCyCB@Jt@Bj(1mSDof%Z!EBVtdtt8B&4+>s-(gyjc3h$yZk$XsYon==cR zhpzRjSZC7ukCZVai=bj1LVaJNLuj+PIvUAEMJS6P?)9s(uq75YMIa#LO{EUOQd`&- zjbK-SWq34#eSskC3?o$Q32!%d)0#m@e;}pZHN>^vy^0OkYLLP$W3jLSLBFG|bkUy$ z&hH%&TZPS{AUumtSc*IpYQhNB#)A0xKuwB}d>}vL=#s}3fLoXYs1$JuL&9%>EJ08r zNEwhxa4Uk`4dgMHO-4PyNaw~yvVIS)q!;RHUM2hOuzDFPC14r^e2G!JWFaWoI>xpNU7j4swK z4H0PlDmG5eMv_wpeLOuG_+l;p9952g7$ z!fMU6gxw&nG2pmAuVuuGM8)xdnC33l@jAhK$7GF{q~`(o+mvWzDUd}NZIJ<43gnCq zp+AtCp0Dv}!>@#SL5*95u1zQPiuttI>tVk*K7m3BDG>j_S}wk*Ec1)$hWdP^`aUsD zEKxcg7SomY{o+3HO~v#3Uz2|Ly|{0{_fWy(RbG1AZ53rhmywys^&D)FO60%o*4XZ^ zquLO5l-P~~u}oF2{hv5Ish&~Dr-PuhW77qWxSkW!_iwHew;2Y|uYb6?WviENT(@*n z3wvjwt!k<=bgS4?xmJ${2Py?JRwZTP{xVryDT)^5;~iqZc7F$B%~Z{=)Jv!art$z9 z!t=L=A>>bQ#~TN8=#@4fV!)1=4jj}7iwdehDJV+1{I-+kN=015R|b>=5u1VxJS@yJ@_bz zm^LZr{OJ9^R*R*Eh8Sh)lj2$N8zpr&VptCGq9U!+7ycirmRVYNkp4YDFwvr(Mz0f( z4mk{@8txElB-Ixofr1TP@;zuNI;Sr0W}V`DO8j_0J5c!mUUi!b^QG+8jpdPe2*SHi zYn!dPctZnK@!`gxU-&0(tI_TV(hlpMfP&8L7uOfJC)n+ccHCCMBMKbYam@!}o1-;X zOA;_P<{3z1xrFBHwwl#-K=fM-ychmbu$D#JXkvY{ih zM&ypD4mV9}2u$7d9CHQvqBfxh0it%th=Xy8qGUfW?hqF%bKicn}v?#=LhO#t;94itafiR+Z*~D5xZqd@iqWE4A&0-CY z-jNp&y`**F5kgvSR{nw07emR-d=hg`W%`Rqy}u7LmSMBHOgD^3bR8TZIi7k;wxC*l zbF_!sfi&rmXMmI~h~{}6NR1Bp2uRUFjYn%24DERd(Ps`$K&n@&pAlpCC%=qDg3&Rz z21zD)QB)%sPeYA(K)hXfII^nXp6e~O;GFG zqJB%%bFEPAZ=tCTtq@pKzkUm1=i8!|{2A1ywy0ka^>SO(MFG)i*czjp{{WNaL_o|i z2#fiQ3{V34KXHcgB-VU-bh0w+h`6q^u$ZPIrPLNP>huwqjBkrtbQIL&wy5tDwR>CC zf@7ds+M=E$>Y%o$&bL9ewnaTo)ZA96smIYXwpIwNXO0|)n6oXatq#a=y zMIG`ksMT#zpC{_GZBYlk2Wm}Q)ICH!&r5eYsk`rIy{#a(v@iG2rgi9;+ZMn6S{n z>c!<+#ITNnHhUu{kPF#Kl{IXd{sG+zn)PII^Vi3FXCeV!C@T2-|do zcY#=R2EG77R|p4;T-_x?lB1C%NSp&P;)E5*v$_`3|65Lr+K8TFHy{#;K;=MkfneRG z8oL)rye{BR0kPW{9{^$lPh@f*15!Oo$MglD%erp91f*WwcY+mHOd>}ABIW8|@wOl( zQR)3TPWT1`YtRL5G>}RiG6l$ao$h%+0y>^jAT>JVP9R0$5V>5FX3cRG&Z*IPl?r^yoClcZ{CcbV{&hy}NuRUoUH2)E!J! zh*d;&29QlU)pj5UbjW-lbVVl68Xz{^aBu?|1fIyO*x6B7Ebv6G&EHqRr0a?O7}0tzV0BX#X48662Gni-Eqzz4-*mR1^}_@q(%d& z*Y(3BdOH9;k+eJy$O)a)3OArK9drkY>5O@S z$e=Kf>$Wwk)-Np;s&qWFz(fD7A=iU5B-S-0Iv$rBOp!$fsa^-L1!c9)q4*U35-pO1%_++JUn7I|2c;g7ff1SuxJCilg_0w# z+sZetU$(A{Z*;Y=%m7c5&iREv=!$gJZNEac0;$$XJ?I7$(9PxNfRG~*MQ;Ns4mXgD zoC88vgr|uRooZue4DWF0s2Qaz)(geqUUAJXU9qB!-fint_kdU+%tS`|18LB?Xa`cM zGd7>3bUn8bNLkoC>5+g`>5!Vvcu$(EgFXP$PA~&R6kKd;fDE8>=sWPl>&(YtL3CN? zq6J7mH%xMXbk|AE1LEGKYyDb4J9Ub@KpNl;Bw4oz!XJT@=u`)QREM3$jqo9mZ8~F( zK$>)#O=d7I$0uq~0@Ba!{y@&@T0aa(7v1tKABa=ej72~$ht03u|H0qIlOlcusqFd= zE1y<>*yvib9xseslWtvK?#dbN8m-;txN@~yt80{cn?2GsQgBUQQSMl=tkjh~!Zku8 zSsl`Z_&-(D&&eJ6G;Z0+Zis`L41 h!kVR}t`Q?(DOz2tKdZ!>5SLt1GGPD3^Ww;q{|7srhV=jd diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..61657450a8510e5c10433f94306e48ba9a19558a GIT binary patch literal 4037 zcmd^C$xg#C5Ovuplzrc1^#dT?Pq`8|(k;?&7i^Y&ggub+aWM)270J_OS94!wdr5TVVeCWDJQ+OyFyHDaUVEIPrV zdTyE}j36di5>05>l!w#JUpX>z)?h}85tc|WX|;n=^w?0_>Wc6r5@H%gxsTg{ZPs@tGNw_^+^ZA zBk^4yj{x+peo{GU9oTwU6W1c%SMzZi6C1TY4LMM~=w%6(N5^QNUwZE^KEL%|7|Uh5 zquvW_Py_rg@!Q=&rytzVcYwA=t6Ds($UE2kb6LNB(zWimkzk2MK ppf9LTLC=!~SA8Hu1@zH!>aO~-?^UYu`~vzYe#uo2-RCy#`ZpCrD6Ieh literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c new file mode 100644 index 0000000..f90b989 --- /dev/null +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c @@ -0,0 +1,677 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v"; +static int ng1[] = {1, 0}; +static int ng2[] = {0, 0}; + + + +static void Initial_59_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 3968U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(59, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(63, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(64, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(65, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(66, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2728); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(67, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(68, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(70, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(70, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(71, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(71, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(72, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(72, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(76, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(76, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(77, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(142, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(142, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(149, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(150, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(151, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(154, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(155, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(155, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(156, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(156, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(157, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(158, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(158, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(159, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(159, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(160, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(160, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(161, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(161, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(162, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(162, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(163, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(163, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(164, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(164, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(166, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(166, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(167, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(168, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(170, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(170, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(171, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(171, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: goto LAB1; + +} + + +extern void work_m_00000000001435061139_3156757336_init() +{ + static char *pe[] = {(void *)Initial_59_0}; + xsi_register_didat("work_m_00000000001435061139_3156757336", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat new file mode 100644 index 0000000000000000000000000000000000000000..9a890f2b412200c3386d4b6d4b3c85c8f32ed53e GIT binary patch literal 4864 zcmeH~OKenC7{@;lup}5oTtI?|nkX3QojcQMDMoZULuq4CY|BH4-b_0eW^6l!&Ye<_ zm(W0fh~=eGk%tgyd_)$wA#B{Sb7j(mO&27vWI@7)Q2zg!d&=R^3mxbR`X#^nopZj| znKS3yN8in|O@E!gn4BOY*I&fNm)?mYq|ay2*E3%b>6t8Y8O$vcNq{uiIZ5OdjP;{^ z0Sth$sqg{KAPqcl6kG;Z0Od7b7keXLE8vqb{Q6%;EuRa}v!@q1{;J5I;G&PrKu(ax z{0G1-%&QL!f*at@G?7U!;kQCx0~C%a%s$6eU1|!~P6^utOHt6Awi=_x6dvg7wxBjb z3Nh4=5*0s^4{d9G!*+CZ-+C=TjgO?UOvCfnLPpj66kbCRY?DHZSGH$?I>36jhi(l) zJyXKp%-^;fuw7ii(fvfmagE;bW4U+s`|T&m^R6F@BP`!PMV@(nEa!IYDe}xm>{y9f zXKv61Shx?6xVYEuS6F=aetW$2@CtChH3ROmk%VikY^Me`PT=~^HXLdH0w_PjTsQ;D zrgFS)IB|b|Sz?YkMh?y`Rbq}ej2yg&x0RSjI;87>r zOU&`Mkz>63e5?TP14`KDxmTjbe>TR|nWjA`=A;p$*I}HhLCrqp4A95*I<)IH_9?#u zeVq3bU38lo{~HZ`+y^iu9t!C^XoF}5d6c+J?ukWf$AFl2D$~eYa)nQt; zSx<>7HGW1!#?OezSe%hX{)StF&Bb@y55Z#a5%?IyKn;k41gHf|K^1a;fCuuR z8}L15GuQ&Y0b9W~umkJ_--2CWH|Pa>z+SKq@Z0AA_zoNdhrnTQ1RMp&zz^U@@Dt$q z`vn{azk(Bh&!AJ_H24ji0cXKEa31snK0p2d-S0I?e37*x=XT|+Ey=9gW+ggX^Br#1 z%UMe^UR}P$T9xeDu%Ky))w-cQWvz}^N9~G=)eV;C<~+-D*5z8tyQQH0Yi+#Y6UoM! zq|r^LHiwGJE~>E9ENN^E6|T0_$Kp+rO*F^i2w1s1CRtjgCKhj&;Vc36(IxM2Lc%-U)Y;YMJl?fFoAENqb|+fxgvMmm@CO7)CYR|bF0R9- s)8eMf-5$4`!@mZvhQB)6s91A`NnG5`Po literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..82f0c335bc280d865fe9380d091bcd8ba7ddaeee GIT binary patch literal 10598 zcmbW74{#J$9mh8b1ccHSfmWc_SVKjWT+$@e(4m0Aa-BJlQu!yAC4Y|ON)p1|B_N=L zQjp{3DzsE81xuAyirP+vkp`=#Q-)eQqYnK8j@E%XS__O){|zcOet-MDeY-F2-fr1R zZol{5@AJO<-tX<(-MeevI>?ai!p(+pouvjD73uiew59oSW}IOhflS}P_rr<|qs*AM zyrQ`w-QbEVgWfgXFixW_*^2Q$!$l%XS^mxD;}c&*WNS3@#q!E%CSCsNXl6tCjA*8adgtjSayQEo7w-GP`5+&pNi!sOIa*;c-s(fIF>mV%eCMhHYM?rgcatrjAtzKR_`3QReoLEUA{rK$1C0Ef6$uA9;=<fX=VM9sUG8VEmlz1 z1pB-kty(t1zbd&GjyqDznU=@0a z6VU0E=M4OqZnZ4D6(U^&C}4oqb05?Oura)qJ}m@vtUPly2Uam&Bd}ieHtAN@>Q!Kg z!)4yN<_q6!JNm70AaG5V(6}AyccphiSJ%gwnK`XvYn z=T)V{0x+fg@}nYG*^&O~*_K4TXm~1g}2hvBcNmDnV#{ma;0aT+v@$NXT5FcmmYOKY_KE!QllmE%XYMXdgfoH zrDvnNBkw0Y0y<;nnVz@t)Z<#*dcs@j=@HPe@=VVLSh+4}n{=zmcw6X>8dnGXf_9(n z=$9Yoda~IL^-Ykv_T6uX`e)||9zR_}wpEV%O75)%bcW0`J9p|K z-PNm1cq>G@mIMJEE6<6L(yg`+unK(_n$@g4??Q*_R=ELI1r|De00ne<<(aE}co=mJ z-~-{U5a}8~0RyaV(XDodx6*qhpkw8ktCxmoYwCjotP11`kun~#;|<0`qU2L2IS4Fc zemA0OUEO5?h%9hLWz!Gak$!7|YV+%Mq~B7YB6r)7{wu+uQZ41*0C7btfq+gPJZIN* z-D*#GD?Q}`23Wl|SnJiJ;jIwqn#ckMSS56;z2U9&UI`dr^&UQ5cAcL4!doHI)hhu5 ztRB*>z8T(1@0EZ7Rugrr$HH47($y;g1FU{rq7C4F5FsbK-YWqEtmf-hkB7HHq^nl~ z23Wmato7G@dL>|h)kxjyKzJ*?R{{oDJz1m;;FIC45b5fb zfR2^twdgk8>N^9h3S5iyULDk|JntKRWwouIUk?qi3Vq)opwlaDWyjKo?{rGfx4KrP z?}qn5q-*p94Di9(DqlZk|(afh=_5*KXpoF^L+ zplyj%qCJu}SEL$Ek!EAOwIaSYULnP6RwY+P+RaL}Rn=72R!*s^s;)Dut7@m*T6=4C z^^}U{1ioss8x_V3WDKKzYGgqwo=ip7HFU&RMrN&Q>S~X7q*IaEiFCB9F|w#3**W>{ z&qkU$+nOVb>+5Q(YHAk8BI$T4&BLvbhh)RR&5ePN4~#(up6v4qpT-&^t+MfW#WM?P zKZ;j;90w&IO|fhZ6h3%F*%PYldr-m;GWzg3!cw2WxrooLQ8pcl>|7|aNmaHMitH{Z z5{Fc6FBFM4q1f{8p-A*Ykr;!elOE+zB%-QpE)l1u@Ql=m!Rk|1dj+LJ_(igg(5KribRvD zO+t}a4@HmtP$X93L5jpCD7Lo)io_8p633uOya7ex0u+h=K#};!FuQC56p5KoBo?XK z6;LF$tFoO?Bo08)cNh%FmO;@if*skrp%}LWifk7Yi7XWUaE9)|j>Jz?*>NZmXP`*@ zQ`HW}M>Qm_hN4F`6p02XgreoApveAImHh&W>|deCUVfLXlmj%G#mG?t~({8;a~G9;=~9{1J-8WhfHEaWN+mfg({0MdB_f5-m_9x}iwyQDys~ zNIVZk;-soQ3q@iuE(P=$4n^YQP$Z^7(IW;$qEnT1Ly>q8io`)xdlZVqZ&cY?C=&mL zA~6aV9(vpaMdA)99#ssAL@N}DuRxK=L6LY?l^um5@hTLFcU0{qC=xedM-Taajl>iv zwtNwaY$>W(HUdUkRqc5w64#)L z9%GH$&xpp-4obNGwye?NIdC2}O_H zP$UjRk$4%3?VW}qaaolW;|C-pZiFIH14W`9ibM+(J=&p2WS~eq21Vj&C=w@C*=Z;e ze}^J5Y^+U;g(5KxiXQb)Bupq0T~PG6ABx05RrWL#iJwD}IHPL+0!3ofIJ=dxP$X)h zNJLfbA}A8;p?FlAph)b4B7wvkxa{HH85dLQ9<#`(SdnOpO9i&~`VFJSy0OMh(tvGZ z{(X^AW)u&+`9_`D8Lz0RtErSQ+yWZ7MZ>lL|C8`9REc4Bv{?1x&KQ(b;f;Ys&MCP? zN@SBZO0-|ziE&BM>*$gE-p<+Fzq+$pE<*CG7F{u}U))(O7s+wxoBP*xG6p3@&+7sC zcTKKR@iPqhF2&whvd4alJxhN0eA@l?uO(|`l}AEB3TE&u=k literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c new file mode 100644 index 0000000..038b6c3 --- /dev/null +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c @@ -0,0 +1,2898 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; + + + +static void Always_15_0(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + +LAB0: t1 = (t0 + 5408U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(15, ng0); + t2 = (t0 + 7464); + *((int *)t2) = 1; + t3 = (t0 + 5440); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(15, ng0); + +LAB5: xsi_set_current_line(15, ng0); + t5 = (t0 + 1208U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 3528); + xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +} + +static void Cont_16_1(char *t0) +{ + char t3[8]; + char t21[8]; + char t37[8]; + char t45[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + char *t36; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + char *t73; + char *t74; + char *t75; + char *t76; + char *t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + char *t83; + unsigned int t84; + unsigned int t85; + char *t86; + +LAB0: t1 = (t0 + 5656U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1208U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + memset(t21, 0, 8); + t22 = (t3 + 4); + t23 = *((unsigned int *)t22); + t24 = (~(t23)); + t25 = *((unsigned int *)t3); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t22) != 0) + goto LAB12; + +LAB13: t29 = (t21 + 4); + t30 = *((unsigned int *)t21); + t31 = (!(t30)); + t32 = *((unsigned int *)t29); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t45, t21, 8); + +LAB16: t73 = (t0 + 7656); + t74 = (t73 + 56U); + t75 = *((char **)t74); + t76 = (t75 + 56U); + t77 = *((char **)t76); + memset(t77, 0, 8); + t78 = 1U; + t79 = t78; + t80 = (t45 + 4); + t81 = *((unsigned int *)t45); + t78 = (t78 & t81); + t82 = *((unsigned int *)t80); + t79 = (t79 & t82); + t83 = (t77 + 4); + t84 = *((unsigned int *)t77); + *((unsigned int *)t77) = (t84 | t78); + t85 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t85 | t79); + xsi_driver_vfirst_trans(t73, 0, 0); + t86 = (t0 + 7480); + *((int *)t86) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +LAB10: *((unsigned int *)t21) = 1; + goto LAB13; + +LAB12: t28 = (t21 + 4); + *((unsigned int *)t21) = 1; + *((unsigned int *)t28) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 3528); + t35 = (t34 + 56U); + t36 = *((char **)t35); + memset(t37, 0, 8); + t38 = (t36 + 4); + t39 = *((unsigned int *)t38); + t40 = (~(t39)); + t41 = *((unsigned int *)t36); + t42 = (t41 & t40); + t43 = (t42 & 1U); + if (t43 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t38) != 0) + goto LAB19; + +LAB20: t46 = *((unsigned int *)t21); + t47 = *((unsigned int *)t37); + t48 = (t46 | t47); + *((unsigned int *)t45) = t48; + t49 = (t21 + 4); + t50 = (t37 + 4); + t51 = (t45 + 4); + t52 = *((unsigned int *)t49); + t53 = *((unsigned int *)t50); + t54 = (t52 | t53); + *((unsigned int *)t51) = t54; + t55 = *((unsigned int *)t51); + t56 = (t55 != 0); + if (t56 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t37) = 1; + goto LAB20; + +LAB19: t44 = (t37 + 4); + *((unsigned int *)t37) = 1; + *((unsigned int *)t44) = 1; + goto LAB20; + +LAB21: t57 = *((unsigned int *)t45); + t58 = *((unsigned int *)t51); + *((unsigned int *)t45) = (t57 | t58); + t59 = (t21 + 4); + t60 = (t37 + 4); + t61 = *((unsigned int *)t59); + t62 = (~(t61)); + t63 = *((unsigned int *)t21); + t64 = (t63 & t62); + t65 = *((unsigned int *)t60); + t66 = (~(t65)); + t67 = *((unsigned int *)t37); + t68 = (t67 & t66); + t69 = (~(t64)); + t70 = (~(t68)); + t71 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t71 & t69); + t72 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t72 & t70); + goto LAB23; + +} + +static void NetDecl_21_2(char *t0) +{ + char t4[8]; + char t19[8]; + char t27[8]; + char t55[8]; + char t69[8]; + char t84[8]; + char t92[8]; + char t120[8]; + char t128[8]; + char t160[8]; + char t174[8]; + char t189[8]; + char t197[8]; + char t225[8]; + char t233[8]; + char *t1; + char *t2; + char *t3; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + char *t17; + char *t18; + char *t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + char *t26; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + char *t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + char *t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + char *t67; + char *t68; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + unsigned int t93; + unsigned int t94; + unsigned int t95; + char *t96; + char *t97; + char *t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + char *t127; + unsigned int t129; + unsigned int t130; + unsigned int t131; + char *t132; + char *t133; + char *t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + char *t142; + char *t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + int t152; + int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + char *t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + char *t167; + char *t168; + unsigned int t169; + unsigned int t170; + unsigned int t171; + char *t172; + char *t173; + unsigned int t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + char *t180; + char *t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + char *t186; + char *t187; + char *t188; + char *t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + char *t196; + unsigned int t198; + unsigned int t199; + unsigned int t200; + char *t201; + char *t202; + char *t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + unsigned int t209; + unsigned int t210; + char *t211; + char *t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + char *t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + char *t232; + unsigned int t234; + unsigned int t235; + unsigned int t236; + char *t237; + char *t238; + char *t239; + unsigned int t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + unsigned int t244; + unsigned int t245; + unsigned int t246; + char *t247; + char *t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + unsigned int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + int t257; + int t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + char *t265; + char *t266; + char *t267; + char *t268; + char *t269; + unsigned int t270; + unsigned int t271; + char *t272; + unsigned int t273; + unsigned int t274; + char *t275; + unsigned int t276; + unsigned int t277; + char *t278; + +LAB0: t1 = (t0 + 5904U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(21, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t3); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t2) != 0) + goto LAB6; + +LAB7: t11 = (t4 + 4); + t12 = *((unsigned int *)t4); + t13 = (!(t12)); + t14 = *((unsigned int *)t11); + t15 = (t13 || t14); + if (t15 > 0) + goto LAB8; + +LAB9: memcpy(t27, t4, 8); + +LAB10: memset(t55, 0, 8); + t56 = (t27 + 4); + t57 = *((unsigned int *)t56); + t58 = (~(t57)); + t59 = *((unsigned int *)t27); + t60 = (t59 & t58); + t61 = (t60 & 1U); + if (t61 != 0) + goto LAB18; + +LAB19: if (*((unsigned int *)t56) != 0) + goto LAB20; + +LAB21: t63 = (t55 + 4); + t64 = *((unsigned int *)t55); + t65 = *((unsigned int *)t63); + t66 = (t64 || t65); + if (t66 > 0) + goto LAB22; + +LAB23: memcpy(t128, t55, 8); + +LAB24: memset(t160, 0, 8); + t161 = (t128 + 4); + t162 = *((unsigned int *)t161); + t163 = (~(t162)); + t164 = *((unsigned int *)t128); + t165 = (t164 & t163); + t166 = (t165 & 1U); + if (t166 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t161) != 0) + goto LAB48; + +LAB49: t168 = (t160 + 4); + t169 = *((unsigned int *)t160); + t170 = *((unsigned int *)t168); + t171 = (t169 || t170); + if (t171 > 0) + goto LAB50; + +LAB51: memcpy(t233, t160, 8); + +LAB52: t265 = (t0 + 7720); + t266 = (t265 + 56U); + t267 = *((char **)t266); + t268 = (t267 + 56U); + t269 = *((char **)t268); + memset(t269, 0, 8); + t270 = 1U; + t271 = t270; + t272 = (t233 + 4); + t273 = *((unsigned int *)t233); + t270 = (t270 & t273); + t274 = *((unsigned int *)t272); + t271 = (t271 & t274); + t275 = (t269 + 4); + t276 = *((unsigned int *)t269); + *((unsigned int *)t269) = (t276 | t270); + t277 = *((unsigned int *)t275); + *((unsigned int *)t275) = (t277 | t271); + xsi_driver_vfirst_trans(t265, 0, 0U); + t278 = (t0 + 7496); + *((int *)t278) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t10 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t10) = 1; + goto LAB7; + +LAB8: t16 = (t0 + 3688); + t17 = (t16 + 56U); + t18 = *((char **)t17); + memset(t19, 0, 8); + t20 = (t18 + 4); + t21 = *((unsigned int *)t20); + t22 = (~(t21)); + t23 = *((unsigned int *)t18); + t24 = (t23 & t22); + t25 = (t24 & 1U); + if (t25 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t20) != 0) + goto LAB13; + +LAB14: t28 = *((unsigned int *)t4); + t29 = *((unsigned int *)t19); + t30 = (t28 | t29); + *((unsigned int *)t27) = t30; + t31 = (t4 + 4); + t32 = (t19 + 4); + t33 = (t27 + 4); + t34 = *((unsigned int *)t31); + t35 = *((unsigned int *)t32); + t36 = (t34 | t35); + *((unsigned int *)t33) = t36; + t37 = *((unsigned int *)t33); + t38 = (t37 != 0); + if (t38 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t19) = 1; + goto LAB14; + +LAB13: t26 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t26) = 1; + goto LAB14; + +LAB15: t39 = *((unsigned int *)t27); + t40 = *((unsigned int *)t33); + *((unsigned int *)t27) = (t39 | t40); + t41 = (t4 + 4); + t42 = (t19 + 4); + t43 = *((unsigned int *)t41); + t44 = (~(t43)); + t45 = *((unsigned int *)t4); + t46 = (t45 & t44); + t47 = *((unsigned int *)t42); + t48 = (~(t47)); + t49 = *((unsigned int *)t19); + t50 = (t49 & t48); + t51 = (~(t46)); + t52 = (~(t50)); + t53 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t53 & t51); + t54 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t54 & t52); + goto LAB17; + +LAB18: *((unsigned int *)t55) = 1; + goto LAB21; + +LAB20: t62 = (t55 + 4); + *((unsigned int *)t55) = 1; + *((unsigned int *)t62) = 1; + goto LAB21; + +LAB22: t67 = (t0 + 2008U); + t68 = *((char **)t67); + memset(t69, 0, 8); + t67 = (t68 + 4); + t70 = *((unsigned int *)t67); + t71 = (~(t70)); + t72 = *((unsigned int *)t68); + t73 = (t72 & t71); + t74 = (t73 & 1U); + if (t74 != 0) + goto LAB25; + +LAB26: if (*((unsigned int *)t67) != 0) + goto LAB27; + +LAB28: t76 = (t69 + 4); + t77 = *((unsigned int *)t69); + t78 = (!(t77)); + t79 = *((unsigned int *)t76); + t80 = (t78 || t79); + if (t80 > 0) + goto LAB29; + +LAB30: memcpy(t92, t69, 8); + +LAB31: memset(t120, 0, 8); + t121 = (t92 + 4); + t122 = *((unsigned int *)t121); + t123 = (~(t122)); + t124 = *((unsigned int *)t92); + t125 = (t124 & t123); + t126 = (t125 & 1U); + if (t126 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t121) != 0) + goto LAB41; + +LAB42: t129 = *((unsigned int *)t55); + t130 = *((unsigned int *)t120); + t131 = (t129 & t130); + *((unsigned int *)t128) = t131; + t132 = (t55 + 4); + t133 = (t120 + 4); + t134 = (t128 + 4); + t135 = *((unsigned int *)t132); + t136 = *((unsigned int *)t133); + t137 = (t135 | t136); + *((unsigned int *)t134) = t137; + t138 = *((unsigned int *)t134); + t139 = (t138 != 0); + if (t139 == 1) + goto LAB43; + +LAB44: +LAB45: goto LAB24; + +LAB25: *((unsigned int *)t69) = 1; + goto LAB28; + +LAB27: t75 = (t69 + 4); + *((unsigned int *)t69) = 1; + *((unsigned int *)t75) = 1; + goto LAB28; + +LAB29: t81 = (t0 + 3848); + t82 = (t81 + 56U); + t83 = *((char **)t82); + memset(t84, 0, 8); + t85 = (t83 + 4); + t86 = *((unsigned int *)t85); + t87 = (~(t86)); + t88 = *((unsigned int *)t83); + t89 = (t88 & t87); + t90 = (t89 & 1U); + if (t90 != 0) + goto LAB32; + +LAB33: if (*((unsigned int *)t85) != 0) + goto LAB34; + +LAB35: t93 = *((unsigned int *)t69); + t94 = *((unsigned int *)t84); + t95 = (t93 | t94); + *((unsigned int *)t92) = t95; + t96 = (t69 + 4); + t97 = (t84 + 4); + t98 = (t92 + 4); + t99 = *((unsigned int *)t96); + t100 = *((unsigned int *)t97); + t101 = (t99 | t100); + *((unsigned int *)t98) = t101; + t102 = *((unsigned int *)t98); + t103 = (t102 != 0); + if (t103 == 1) + goto LAB36; + +LAB37: +LAB38: goto LAB31; + +LAB32: *((unsigned int *)t84) = 1; + goto LAB35; + +LAB34: t91 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t91) = 1; + goto LAB35; + +LAB36: t104 = *((unsigned int *)t92); + t105 = *((unsigned int *)t98); + *((unsigned int *)t92) = (t104 | t105); + t106 = (t69 + 4); + t107 = (t84 + 4); + t108 = *((unsigned int *)t106); + t109 = (~(t108)); + t110 = *((unsigned int *)t69); + t111 = (t110 & t109); + t112 = *((unsigned int *)t107); + t113 = (~(t112)); + t114 = *((unsigned int *)t84); + t115 = (t114 & t113); + t116 = (~(t111)); + t117 = (~(t115)); + t118 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t118 & t116); + t119 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t119 & t117); + goto LAB38; + +LAB39: *((unsigned int *)t120) = 1; + goto LAB42; + +LAB41: t127 = (t120 + 4); + *((unsigned int *)t120) = 1; + *((unsigned int *)t127) = 1; + goto LAB42; + +LAB43: t140 = *((unsigned int *)t128); + t141 = *((unsigned int *)t134); + *((unsigned int *)t128) = (t140 | t141); + t142 = (t55 + 4); + t143 = (t120 + 4); + t144 = *((unsigned int *)t55); + t145 = (~(t144)); + t146 = *((unsigned int *)t142); + t147 = (~(t146)); + t148 = *((unsigned int *)t120); + t149 = (~(t148)); + t150 = *((unsigned int *)t143); + t151 = (~(t150)); + t152 = (t145 & t147); + t153 = (t149 & t151); + t154 = (~(t152)); + t155 = (~(t153)); + t156 = *((unsigned int *)t134); + *((unsigned int *)t134) = (t156 & t154); + t157 = *((unsigned int *)t134); + *((unsigned int *)t134) = (t157 & t155); + t158 = *((unsigned int *)t128); + *((unsigned int *)t128) = (t158 & t154); + t159 = *((unsigned int *)t128); + *((unsigned int *)t128) = (t159 & t155); + goto LAB45; + +LAB46: *((unsigned int *)t160) = 1; + goto LAB49; + +LAB48: t167 = (t160 + 4); + *((unsigned int *)t160) = 1; + *((unsigned int *)t167) = 1; + goto LAB49; + +LAB50: t172 = (t0 + 2168U); + t173 = *((char **)t172); + memset(t174, 0, 8); + t172 = (t173 + 4); + t175 = *((unsigned int *)t172); + t176 = (~(t175)); + t177 = *((unsigned int *)t173); + t178 = (t177 & t176); + t179 = (t178 & 1U); + if (t179 != 0) + goto LAB53; + +LAB54: if (*((unsigned int *)t172) != 0) + goto LAB55; + +LAB56: t181 = (t174 + 4); + t182 = *((unsigned int *)t174); + t183 = (!(t182)); + t184 = *((unsigned int *)t181); + t185 = (t183 || t184); + if (t185 > 0) + goto LAB57; + +LAB58: memcpy(t197, t174, 8); + +LAB59: memset(t225, 0, 8); + t226 = (t197 + 4); + t227 = *((unsigned int *)t226); + t228 = (~(t227)); + t229 = *((unsigned int *)t197); + t230 = (t229 & t228); + t231 = (t230 & 1U); + if (t231 != 0) + goto LAB67; + +LAB68: if (*((unsigned int *)t226) != 0) + goto LAB69; + +LAB70: t234 = *((unsigned int *)t160); + t235 = *((unsigned int *)t225); + t236 = (t234 & t235); + *((unsigned int *)t233) = t236; + t237 = (t160 + 4); + t238 = (t225 + 4); + t239 = (t233 + 4); + t240 = *((unsigned int *)t237); + t241 = *((unsigned int *)t238); + t242 = (t240 | t241); + *((unsigned int *)t239) = t242; + t243 = *((unsigned int *)t239); + t244 = (t243 != 0); + if (t244 == 1) + goto LAB71; + +LAB72: +LAB73: goto LAB52; + +LAB53: *((unsigned int *)t174) = 1; + goto LAB56; + +LAB55: t180 = (t174 + 4); + *((unsigned int *)t174) = 1; + *((unsigned int *)t180) = 1; + goto LAB56; + +LAB57: t186 = (t0 + 4008); + t187 = (t186 + 56U); + t188 = *((char **)t187); + memset(t189, 0, 8); + t190 = (t188 + 4); + t191 = *((unsigned int *)t190); + t192 = (~(t191)); + t193 = *((unsigned int *)t188); + t194 = (t193 & t192); + t195 = (t194 & 1U); + if (t195 != 0) + goto LAB60; + +LAB61: if (*((unsigned int *)t190) != 0) + goto LAB62; + +LAB63: t198 = *((unsigned int *)t174); + t199 = *((unsigned int *)t189); + t200 = (t198 | t199); + *((unsigned int *)t197) = t200; + t201 = (t174 + 4); + t202 = (t189 + 4); + t203 = (t197 + 4); + t204 = *((unsigned int *)t201); + t205 = *((unsigned int *)t202); + t206 = (t204 | t205); + *((unsigned int *)t203) = t206; + t207 = *((unsigned int *)t203); + t208 = (t207 != 0); + if (t208 == 1) + goto LAB64; + +LAB65: +LAB66: goto LAB59; + +LAB60: *((unsigned int *)t189) = 1; + goto LAB63; + +LAB62: t196 = (t189 + 4); + *((unsigned int *)t189) = 1; + *((unsigned int *)t196) = 1; + goto LAB63; + +LAB64: t209 = *((unsigned int *)t197); + t210 = *((unsigned int *)t203); + *((unsigned int *)t197) = (t209 | t210); + t211 = (t174 + 4); + t212 = (t189 + 4); + t213 = *((unsigned int *)t211); + t214 = (~(t213)); + t215 = *((unsigned int *)t174); + t216 = (t215 & t214); + t217 = *((unsigned int *)t212); + t218 = (~(t217)); + t219 = *((unsigned int *)t189); + t220 = (t219 & t218); + t221 = (~(t216)); + t222 = (~(t220)); + t223 = *((unsigned int *)t203); + *((unsigned int *)t203) = (t223 & t221); + t224 = *((unsigned int *)t203); + *((unsigned int *)t203) = (t224 & t222); + goto LAB66; + +LAB67: *((unsigned int *)t225) = 1; + goto LAB70; + +LAB69: t232 = (t225 + 4); + *((unsigned int *)t225) = 1; + *((unsigned int *)t232) = 1; + goto LAB70; + +LAB71: t245 = *((unsigned int *)t233); + t246 = *((unsigned int *)t239); + *((unsigned int *)t233) = (t245 | t246); + t247 = (t160 + 4); + t248 = (t225 + 4); + t249 = *((unsigned int *)t160); + t250 = (~(t249)); + t251 = *((unsigned int *)t247); + t252 = (~(t251)); + t253 = *((unsigned int *)t225); + t254 = (~(t253)); + t255 = *((unsigned int *)t248); + t256 = (~(t255)); + t257 = (t250 & t252); + t258 = (t254 & t256); + t259 = (~(t257)); + t260 = (~(t258)); + t261 = *((unsigned int *)t239); + *((unsigned int *)t239) = (t261 & t259); + t262 = *((unsigned int *)t239); + *((unsigned int *)t239) = (t262 & t260); + t263 = *((unsigned int *)t233); + *((unsigned int *)t233) = (t263 & t259); + t264 = *((unsigned int *)t233); + *((unsigned int *)t233) = (t264 & t260); + goto LAB73; + +} + +static void NetDecl_24_3(char *t0) +{ + char t4[8]; + char t19[8]; + char t27[8]; + char t55[8]; + char t70[8]; + char t77[8]; + char t105[8]; + char t121[8]; + char t129[8]; + char *t1; + char *t2; + char *t3; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + char *t17; + char *t18; + char *t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + char *t26; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + char *t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + char *t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + char *t68; + char *t69; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + char *t76; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + char *t112; + char *t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + char *t118; + char *t119; + char *t120; + char *t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + unsigned int t130; + unsigned int t131; + unsigned int t132; + char *t133; + char *t134; + char *t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + char *t143; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + char *t157; + char *t158; + char *t159; + char *t160; + char *t161; + unsigned int t162; + unsigned int t163; + char *t164; + unsigned int t165; + unsigned int t166; + char *t167; + unsigned int t168; + unsigned int t169; + char *t170; + +LAB0: t1 = (t0 + 6152U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(24, ng0); + t2 = (t0 + 2328U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t3); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t2) != 0) + goto LAB6; + +LAB7: t11 = (t4 + 4); + t12 = *((unsigned int *)t4); + t13 = (!(t12)); + t14 = *((unsigned int *)t11); + t15 = (t13 || t14); + if (t15 > 0) + goto LAB8; + +LAB9: memcpy(t27, t4, 8); + +LAB10: memset(t55, 0, 8); + t56 = (t27 + 4); + t57 = *((unsigned int *)t56); + t58 = (~(t57)); + t59 = *((unsigned int *)t27); + t60 = (t59 & t58); + t61 = (t60 & 1U); + if (t61 != 0) + goto LAB18; + +LAB19: if (*((unsigned int *)t56) != 0) + goto LAB20; + +LAB21: t63 = (t55 + 4); + t64 = *((unsigned int *)t55); + t65 = (!(t64)); + t66 = *((unsigned int *)t63); + t67 = (t65 || t66); + if (t67 > 0) + goto LAB22; + +LAB23: memcpy(t77, t55, 8); + +LAB24: memset(t105, 0, 8); + t106 = (t77 + 4); + t107 = *((unsigned int *)t106); + t108 = (~(t107)); + t109 = *((unsigned int *)t77); + t110 = (t109 & t108); + t111 = (t110 & 1U); + if (t111 != 0) + goto LAB32; + +LAB33: if (*((unsigned int *)t106) != 0) + goto LAB34; + +LAB35: t113 = (t105 + 4); + t114 = *((unsigned int *)t105); + t115 = (!(t114)); + t116 = *((unsigned int *)t113); + t117 = (t115 || t116); + if (t117 > 0) + goto LAB36; + +LAB37: memcpy(t129, t105, 8); + +LAB38: t157 = (t0 + 7784); + t158 = (t157 + 56U); + t159 = *((char **)t158); + t160 = (t159 + 56U); + t161 = *((char **)t160); + memset(t161, 0, 8); + t162 = 1U; + t163 = t162; + t164 = (t129 + 4); + t165 = *((unsigned int *)t129); + t162 = (t162 & t165); + t166 = *((unsigned int *)t164); + t163 = (t163 & t166); + t167 = (t161 + 4); + t168 = *((unsigned int *)t161); + *((unsigned int *)t161) = (t168 | t162); + t169 = *((unsigned int *)t167); + *((unsigned int *)t167) = (t169 | t163); + xsi_driver_vfirst_trans(t157, 0, 0U); + t170 = (t0 + 7512); + *((int *)t170) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t10 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t10) = 1; + goto LAB7; + +LAB8: t16 = (t0 + 4168); + t17 = (t16 + 56U); + t18 = *((char **)t17); + memset(t19, 0, 8); + t20 = (t18 + 4); + t21 = *((unsigned int *)t20); + t22 = (~(t21)); + t23 = *((unsigned int *)t18); + t24 = (t23 & t22); + t25 = (t24 & 1U); + if (t25 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t20) != 0) + goto LAB13; + +LAB14: t28 = *((unsigned int *)t4); + t29 = *((unsigned int *)t19); + t30 = (t28 | t29); + *((unsigned int *)t27) = t30; + t31 = (t4 + 4); + t32 = (t19 + 4); + t33 = (t27 + 4); + t34 = *((unsigned int *)t31); + t35 = *((unsigned int *)t32); + t36 = (t34 | t35); + *((unsigned int *)t33) = t36; + t37 = *((unsigned int *)t33); + t38 = (t37 != 0); + if (t38 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t19) = 1; + goto LAB14; + +LAB13: t26 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t26) = 1; + goto LAB14; + +LAB15: t39 = *((unsigned int *)t27); + t40 = *((unsigned int *)t33); + *((unsigned int *)t27) = (t39 | t40); + t41 = (t4 + 4); + t42 = (t19 + 4); + t43 = *((unsigned int *)t41); + t44 = (~(t43)); + t45 = *((unsigned int *)t4); + t46 = (t45 & t44); + t47 = *((unsigned int *)t42); + t48 = (~(t47)); + t49 = *((unsigned int *)t19); + t50 = (t49 & t48); + t51 = (~(t46)); + t52 = (~(t50)); + t53 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t53 & t51); + t54 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t54 & t52); + goto LAB17; + +LAB18: *((unsigned int *)t55) = 1; + goto LAB21; + +LAB20: t62 = (t55 + 4); + *((unsigned int *)t55) = 1; + *((unsigned int *)t62) = 1; + goto LAB21; + +LAB22: t68 = (t0 + 2488U); + t69 = *((char **)t68); + memset(t70, 0, 8); + t68 = (t69 + 4); + t71 = *((unsigned int *)t68); + t72 = (~(t71)); + t73 = *((unsigned int *)t69); + t74 = (t73 & t72); + t75 = (t74 & 1U); + if (t75 != 0) + goto LAB25; + +LAB26: if (*((unsigned int *)t68) != 0) + goto LAB27; + +LAB28: t78 = *((unsigned int *)t55); + t79 = *((unsigned int *)t70); + t80 = (t78 | t79); + *((unsigned int *)t77) = t80; + t81 = (t55 + 4); + t82 = (t70 + 4); + t83 = (t77 + 4); + t84 = *((unsigned int *)t81); + t85 = *((unsigned int *)t82); + t86 = (t84 | t85); + *((unsigned int *)t83) = t86; + t87 = *((unsigned int *)t83); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB29; + +LAB30: +LAB31: goto LAB24; + +LAB25: *((unsigned int *)t70) = 1; + goto LAB28; + +LAB27: t76 = (t70 + 4); + *((unsigned int *)t70) = 1; + *((unsigned int *)t76) = 1; + goto LAB28; + +LAB29: t89 = *((unsigned int *)t77); + t90 = *((unsigned int *)t83); + *((unsigned int *)t77) = (t89 | t90); + t91 = (t55 + 4); + t92 = (t70 + 4); + t93 = *((unsigned int *)t91); + t94 = (~(t93)); + t95 = *((unsigned int *)t55); + t96 = (t95 & t94); + t97 = *((unsigned int *)t92); + t98 = (~(t97)); + t99 = *((unsigned int *)t70); + t100 = (t99 & t98); + t101 = (~(t96)); + t102 = (~(t100)); + t103 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t103 & t101); + t104 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t104 & t102); + goto LAB31; + +LAB32: *((unsigned int *)t105) = 1; + goto LAB35; + +LAB34: t112 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t112) = 1; + goto LAB35; + +LAB36: t118 = (t0 + 4328); + t119 = (t118 + 56U); + t120 = *((char **)t119); + memset(t121, 0, 8); + t122 = (t120 + 4); + t123 = *((unsigned int *)t122); + t124 = (~(t123)); + t125 = *((unsigned int *)t120); + t126 = (t125 & t124); + t127 = (t126 & 1U); + if (t127 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t122) != 0) + goto LAB41; + +LAB42: t130 = *((unsigned int *)t105); + t131 = *((unsigned int *)t121); + t132 = (t130 | t131); + *((unsigned int *)t129) = t132; + t133 = (t105 + 4); + t134 = (t121 + 4); + t135 = (t129 + 4); + t136 = *((unsigned int *)t133); + t137 = *((unsigned int *)t134); + t138 = (t136 | t137); + *((unsigned int *)t135) = t138; + t139 = *((unsigned int *)t135); + t140 = (t139 != 0); + if (t140 == 1) + goto LAB43; + +LAB44: +LAB45: goto LAB38; + +LAB39: *((unsigned int *)t121) = 1; + goto LAB42; + +LAB41: t128 = (t121 + 4); + *((unsigned int *)t121) = 1; + *((unsigned int *)t128) = 1; + goto LAB42; + +LAB43: t141 = *((unsigned int *)t129); + t142 = *((unsigned int *)t135); + *((unsigned int *)t129) = (t141 | t142); + t143 = (t105 + 4); + t144 = (t121 + 4); + t145 = *((unsigned int *)t143); + t146 = (~(t145)); + t147 = *((unsigned int *)t105); + t148 = (t147 & t146); + t149 = *((unsigned int *)t144); + t150 = (~(t149)); + t151 = *((unsigned int *)t121); + t152 = (t151 & t150); + t153 = (~(t148)); + t154 = (~(t152)); + t155 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t155 & t153); + t156 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t156 & t154); + goto LAB45; + +} + +static void Cont_25_4(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t36[8]; + char t43[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + char *t92; + char *t93; + char *t94; + char *t95; + char *t96; + unsigned int t97; + unsigned int t98; + char *t99; + unsigned int t100; + unsigned int t101; + char *t102; + unsigned int t103; + unsigned int t104; + char *t105; + +LAB0: t1 = (t0 + 6400U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(25, ng0); + t2 = (t0 + 1208U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t43, t22, 8); + +LAB16: memset(t3, 0, 8); + t75 = (t43 + 4); + t76 = *((unsigned int *)t75); + t77 = (~(t76)); + t78 = *((unsigned int *)t43); + t79 = (t78 & t77); + t80 = (t79 & 1U); + if (t80 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t75) == 0) + goto LAB24; + +LAB26: t81 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t81) = 1; + +LAB27: t82 = (t3 + 4); + t83 = (t43 + 4); + t84 = *((unsigned int *)t43); + t85 = (~(t84)); + *((unsigned int *)t3) = t85; + *((unsigned int *)t82) = 0; + if (*((unsigned int *)t83) != 0) + goto LAB29; + +LAB28: t90 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t90 & 1U); + t91 = *((unsigned int *)t82); + *((unsigned int *)t82) = (t91 & 1U); + t92 = (t0 + 7848); + t93 = (t92 + 56U); + t94 = *((char **)t93); + t95 = (t94 + 56U); + t96 = *((char **)t95); + memset(t96, 0, 8); + t97 = 1U; + t98 = t97; + t99 = (t3 + 4); + t100 = *((unsigned int *)t3); + t97 = (t97 & t100); + t101 = *((unsigned int *)t99); + t98 = (t98 & t101); + t102 = (t96 + 4); + t103 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t103 | t97); + t104 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t104 | t98); + xsi_driver_vfirst_trans(t92, 0, 0); + t105 = (t0 + 7528); + *((int *)t105) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 2968U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t34) != 0) + goto LAB19; + +LAB20: t44 = *((unsigned int *)t22); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t22 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB19: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB20; + +LAB21: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t22 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t22); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB23; + +LAB24: *((unsigned int *)t3) = 1; + goto LAB27; + +LAB29: t86 = *((unsigned int *)t3); + t87 = *((unsigned int *)t83); + *((unsigned int *)t3) = (t86 | t87); + t88 = *((unsigned int *)t82); + t89 = *((unsigned int *)t83); + *((unsigned int *)t82) = (t88 | t89); + goto LAB28; + +} + +static void Always_26_5(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + +LAB0: t1 = (t0 + 6648U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(26, ng0); + t2 = (t0 + 7544); + *((int *)t2) = 1; + t3 = (t0 + 6680); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(26, ng0); + +LAB5: xsi_set_current_line(27, ng0); + t5 = (t0 + 1688U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(33, ng0); + +LAB16: xsi_set_current_line(34, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB17; + +LAB18: +LAB19: xsi_set_current_line(35, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB20; + +LAB21: +LAB22: xsi_set_current_line(36, ng0); + t2 = (t0 + 2168U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB23; + +LAB24: +LAB25: xsi_set_current_line(37, ng0); + t2 = (t0 + 2328U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB26; + +LAB27: +LAB28: xsi_set_current_line(38, ng0); + t2 = (t0 + 2488U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB29; + +LAB30: +LAB31: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(27, ng0); + +LAB15: xsi_set_current_line(28, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 3688); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + xsi_set_current_line(29, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(30, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(31, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(32, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB14; + +LAB17: xsi_set_current_line(34, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 3688); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB19; + +LAB20: xsi_set_current_line(35, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 3848); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB22; + +LAB23: xsi_set_current_line(36, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 4008); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB25; + +LAB26: xsi_set_current_line(37, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 4168); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB28; + +LAB29: xsi_set_current_line(38, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB31; + +} + +static void Cont_44_6(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t37[8]; + char t45[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + char *t36; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + char *t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + char *t83; + char *t84; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t94; + char *t95; + char *t96; + char *t97; + char *t98; + unsigned int t99; + unsigned int t100; + char *t101; + unsigned int t102; + unsigned int t103; + char *t104; + unsigned int t105; + unsigned int t106; + char *t107; + +LAB0: t1 = (t0 + 6896U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(44, ng0); + t2 = (t0 + 1208U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t45, t22, 8); + +LAB16: memset(t3, 0, 8); + t77 = (t45 + 4); + t78 = *((unsigned int *)t77); + t79 = (~(t78)); + t80 = *((unsigned int *)t45); + t81 = (t80 & t79); + t82 = (t81 & 1U); + if (t82 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t77) == 0) + goto LAB24; + +LAB26: t83 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t83) = 1; + +LAB27: t84 = (t3 + 4); + t85 = (t45 + 4); + t86 = *((unsigned int *)t45); + t87 = (~(t86)); + *((unsigned int *)t3) = t87; + *((unsigned int *)t84) = 0; + if (*((unsigned int *)t85) != 0) + goto LAB29; + +LAB28: t92 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t92 & 1U); + t93 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t93 & 1U); + t94 = (t0 + 7912); + t95 = (t94 + 56U); + t96 = *((char **)t95); + t97 = (t96 + 56U); + t98 = *((char **)t97); + memset(t98, 0, 8); + t99 = 1U; + t100 = t99; + t101 = (t3 + 4); + t102 = *((unsigned int *)t3); + t99 = (t99 & t102); + t103 = *((unsigned int *)t101); + t100 = (t100 & t103); + t104 = (t98 + 4); + t105 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t105 | t99); + t106 = *((unsigned int *)t104); + *((unsigned int *)t104) = (t106 | t100); + xsi_driver_vfirst_trans(t94, 0, 0); + t107 = (t0 + 7560); + *((int *)t107) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 4488); + t35 = (t34 + 56U); + t36 = *((char **)t35); + memset(t37, 0, 8); + t38 = (t36 + 4); + t39 = *((unsigned int *)t38); + t40 = (~(t39)); + t41 = *((unsigned int *)t36); + t42 = (t41 & t40); + t43 = (t42 & 1U); + if (t43 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t38) != 0) + goto LAB19; + +LAB20: t46 = *((unsigned int *)t22); + t47 = *((unsigned int *)t37); + t48 = (t46 & t47); + *((unsigned int *)t45) = t48; + t49 = (t22 + 4); + t50 = (t37 + 4); + t51 = (t45 + 4); + t52 = *((unsigned int *)t49); + t53 = *((unsigned int *)t50); + t54 = (t52 | t53); + *((unsigned int *)t51) = t54; + t55 = *((unsigned int *)t51); + t56 = (t55 != 0); + if (t56 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t37) = 1; + goto LAB20; + +LAB19: t44 = (t37 + 4); + *((unsigned int *)t37) = 1; + *((unsigned int *)t44) = 1; + goto LAB20; + +LAB21: t57 = *((unsigned int *)t45); + t58 = *((unsigned int *)t51); + *((unsigned int *)t45) = (t57 | t58); + t59 = (t22 + 4); + t60 = (t37 + 4); + t61 = *((unsigned int *)t22); + t62 = (~(t61)); + t63 = *((unsigned int *)t59); + t64 = (~(t63)); + t65 = *((unsigned int *)t37); + t66 = (~(t65)); + t67 = *((unsigned int *)t60); + t68 = (~(t67)); + t69 = (t62 & t64); + t70 = (t66 & t68); + t71 = (~(t69)); + t72 = (~(t70)); + t73 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t73 & t71); + t74 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t74 & t72); + t75 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t75 & t71); + t76 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t76 & t72); + goto LAB23; + +LAB24: *((unsigned int *)t3) = 1; + goto LAB27; + +LAB29: t88 = *((unsigned int *)t3); + t89 = *((unsigned int *)t85); + *((unsigned int *)t3) = (t88 | t89); + t90 = *((unsigned int *)t84); + t91 = *((unsigned int *)t85); + *((unsigned int *)t84) = (t90 | t91); + goto LAB28; + +} + +static void Always_45_7(char *t0) +{ + char t4[8]; + char t31[8]; + char t35[8]; + char t42[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + unsigned int t43; + unsigned int t44; + unsigned int t45; + char *t46; + char *t47; + char *t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t81; + +LAB0: t1 = (t0 + 7144U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(45, ng0); + t2 = (t0 + 7576); + *((int *)t2) = 1; + t3 = (t0 + 7176); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(45, ng0); + +LAB5: xsi_set_current_line(46, ng0); + t5 = (t0 + 1688U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(49, ng0); + t2 = (t0 + 2808U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB16; + +LAB17: if (*((unsigned int *)t2) != 0) + goto LAB18; + +LAB19: t6 = (t4 + 4); + t15 = *((unsigned int *)t4); + t16 = *((unsigned int *)t6); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB20; + +LAB21: memcpy(t42, t4, 8); + +LAB22: t74 = (t42 + 4); + t75 = *((unsigned int *)t74); + t76 = (~(t75)); + t77 = *((unsigned int *)t42); + t78 = (t77 & t76); + t79 = (t78 != 0); + if (t79 > 0) + goto LAB36; + +LAB37: +LAB38: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(46, ng0); + +LAB15: xsi_set_current_line(47, ng0); + t29 = ((char*)((ng2))); + t30 = (t0 + 3368); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + xsi_set_current_line(48, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB14; + +LAB16: *((unsigned int *)t4) = 1; + goto LAB19; + +LAB18: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + goto LAB19; + +LAB20: t12 = (t0 + 2968U); + t13 = *((char **)t12); + memset(t31, 0, 8); + t12 = (t13 + 4); + t18 = *((unsigned int *)t12); + t19 = (~(t18)); + t20 = *((unsigned int *)t13); + t21 = (t20 & t19); + t22 = (t21 & 1U); + if (t22 != 0) + goto LAB26; + +LAB24: if (*((unsigned int *)t12) == 0) + goto LAB23; + +LAB25: t14 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t14) = 1; + +LAB26: t23 = (t31 + 4); + t29 = (t13 + 4); + t24 = *((unsigned int *)t13); + t25 = (~(t24)); + *((unsigned int *)t31) = t25; + *((unsigned int *)t23) = 0; + if (*((unsigned int *)t29) != 0) + goto LAB28; + +LAB27: t33 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t33 & 1U); + t34 = *((unsigned int *)t23); + *((unsigned int *)t23) = (t34 & 1U); + memset(t35, 0, 8); + t30 = (t31 + 4); + t36 = *((unsigned int *)t30); + t37 = (~(t36)); + t38 = *((unsigned int *)t31); + t39 = (t38 & t37); + t40 = (t39 & 1U); + if (t40 != 0) + goto LAB29; + +LAB30: if (*((unsigned int *)t30) != 0) + goto LAB31; + +LAB32: t43 = *((unsigned int *)t4); + t44 = *((unsigned int *)t35); + t45 = (t43 & t44); + *((unsigned int *)t42) = t45; + t46 = (t4 + 4); + t47 = (t35 + 4); + t48 = (t42 + 4); + t49 = *((unsigned int *)t46); + t50 = *((unsigned int *)t47); + t51 = (t49 | t50); + *((unsigned int *)t48) = t51; + t52 = *((unsigned int *)t48); + t53 = (t52 != 0); + if (t53 == 1) + goto LAB33; + +LAB34: +LAB35: goto LAB22; + +LAB23: *((unsigned int *)t31) = 1; + goto LAB26; + +LAB28: t26 = *((unsigned int *)t31); + t27 = *((unsigned int *)t29); + *((unsigned int *)t31) = (t26 | t27); + t28 = *((unsigned int *)t23); + t32 = *((unsigned int *)t29); + *((unsigned int *)t23) = (t28 | t32); + goto LAB27; + +LAB29: *((unsigned int *)t35) = 1; + goto LAB32; + +LAB31: t41 = (t35 + 4); + *((unsigned int *)t35) = 1; + *((unsigned int *)t41) = 1; + goto LAB32; + +LAB33: t54 = *((unsigned int *)t42); + t55 = *((unsigned int *)t48); + *((unsigned int *)t42) = (t54 | t55); + t56 = (t4 + 4); + t57 = (t35 + 4); + t58 = *((unsigned int *)t4); + t59 = (~(t58)); + t60 = *((unsigned int *)t56); + t61 = (~(t60)); + t62 = *((unsigned int *)t35); + t63 = (~(t62)); + t64 = *((unsigned int *)t57); + t65 = (~(t64)); + t66 = (t59 & t61); + t67 = (t63 & t65); + t68 = (~(t66)); + t69 = (~(t67)); + t70 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t70 & t68); + t71 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t71 & t69); + t72 = *((unsigned int *)t42); + *((unsigned int *)t42) = (t72 & t68); + t73 = *((unsigned int *)t42); + *((unsigned int *)t42) = (t73 & t69); + goto LAB35; + +LAB36: xsi_set_current_line(49, ng0); + +LAB39: xsi_set_current_line(50, ng0); + t80 = (t0 + 2648U); + t81 = *((char **)t80); + t80 = (t0 + 3368); + xsi_vlogvar_wait_assign_value(t80, t81, 0, 0, 1, 0LL); + xsi_set_current_line(51, ng0); + t2 = (t0 + 2648U); + t3 = *((char **)t2); + t2 = (t0 + 4488); + xsi_vlogvar_wait_assign_value(t2, t3, 0, 0, 1, 0LL); + goto LAB38; + +} + + +extern void work_m_00000000002613258380_2132107520_init() +{ + static char *pe[] = {(void *)Always_15_0,(void *)Cont_16_1,(void *)NetDecl_21_2,(void *)NetDecl_24_3,(void *)Cont_25_4,(void *)Always_26_5,(void *)Cont_44_6,(void *)Always_45_7}; + xsi_register_didat("work_m_00000000002613258380_2132107520", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat new file mode 100644 index 0000000000000000000000000000000000000000..b6b412a2a705bf509ac42f547a4ae1cf548f749a GIT binary patch literal 5144 zcmeI0O>7%Q6vtnILV!X`0Vz;E%ZCuq*k0R71PJ2Tg(6UaID7~pt(@3Vqb5$U-G=tW zp+MwGiQ z|K{yxle^8H*kksNuqu2md@F1SKMT9=FdG$+s@mP;lwwXN;w~xQVN>>ddqbSP)9ia; zBf{uidX{iR<3BHy#Ixr%v$XW2a8|kJgsN~_K=3&v+xXpc(FnD3f(=xLK&vEyc7E4J z-_!&Q;(gei zICR~-LKxd8!XO;1yH5w?U@v^uCkOYwxUdht6#AJkr|(M_etO z4!z;MmbgxSINaHkjCboe?k7Gto227@HQQ%o+)sRbozq7w;?A&SJa+>m?x)>pobYpp zK$7vD#z}mqI*`vh8%gqzgE+ryZ^zSVzT~*PBu_vd^%DaxV7}~Gz#Q?p-*CKpY4dZB zoaA1YYg>ueouH`**m2=5gfL$8R0Qn!dm~;q`lcda$K#j>(v2^^?ueg?fF0+f{lENk zE3hxx5Zsfvld`6{3v!R+4u+rq2ksN@7akBE6dn>D7WNB|2#*Sn36BfhmAL1O2`M2h zWCTyh3df%;Tk)CHo6TCInL1gi*N&!2EAz*eYxPz$_0nSN(6PDH+m*)Zf%3uB{OVFQ z^aoSX!%`X!@DFpSI#kz2#@d{fr&1wMw=5CEv^V z-d~NJpABQYyq|NK-ngF+y}6t}?!39YKXEN(Q9--NVsmjh)$$jbb3Ud&S35FR`=B<4 ndu^q0G_~xf+v1I9vR-~NJDJv0vR)=Vk@wPL)x~OspPKe38`3gD literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..d53c4b47f85b97b9a0d6c24c662bc33facd8307c GIT binary patch literal 8718 zcmd^EeQX@X72mTD+h-G>36MBI;f$}5gBpLucANm^7S6Cqk8(6gNlReuBp2t3Sx)IMChK9S%E^HJe^G2vZObhx(H&G1Rkn#?t= zDIqBuQqsq3l+3w=QZjR*=KmZqJJ<{DZUg$k5d1#)VF1diFpRqfi z&#%zEL4}dz%#@s&k~33sW=f9tL7Lt_Lc2Yvz2YDEIlX5h!S<|&IA>)qUm%kVwzIjp z!}>fWv(uX`21#NS+F`$TC!q8~4=YZOdGzAks8;0HG~j5L4d_jAS^DErAg!IUC^njY z*Q1YO#sRdH~i)qH?kTYAv1-uAS2{2pC5#=N%{Gd#5Bd^4|E4|DUW~DMzBAtIPG02o{%_ugo9GguJp|qP>!8F$QP{ScXI%zccKUn9o-_{vfgCsAq^l z$R*bJ!@d$$Ugl?W<+8J?UX`mVsw#}jz{m0omr)wQnAH00T5^-w*oMuIFp4Wl&c8)0 zmzW%8VHh?abBss+na|5>D>Ko&iTj5J=?C|Y#hHoWE2w$E1Y_XCaQEt3h zy-8jt-*iwL_76}jauc~UER04`=`cK7r2WaS{T?g9^sJO(tTcB>EAeY@l7x`GihAc} zE+(vaOwoMW?_W9;~h;_k!{H?=V4 zEOcV#KVg02Lz9QYA!eZ*H;>m%9uAkuuo;!*=JA-x!{JmJHly;~Jam(X!|gI`MrFEr zEJXf5-q=i40+L5E7*+J!f@>AMiw-N~lAi^jX$7ZP@)}1i0MBrrD1^Y-!tQw*B^CLo zBbTsC((ifnZ0>FCvsB0U3M-NDDW)0IHO@p5q9rqD?BQ`yL}h@YFcOWCXpBTlk8DO# zNp{XQp)Aw#sjzvD;Hr%1@2`lx=%RL#+QJ%6kx|AU|g9 z?MtK%v9}48M1jPiUEiv(ueB=TG;d=P9Vtx0!&eaYUFj7C$}_}6C@Y)Z4L_j#2m|-rco1smr?v4h z@~3%V8*_v`_?c~7LOycRv2C19XvT)k@`gKOn8{vqLt*_u?vRY4U7{KLU2Tks5`w4j@t0{zy{pC?-yin*m{eAvzbIq7 zWrbCva#cZ<$9M!2QA*5}eHF$Lzz+A3=&*LX4>14V?z8VS-DmTE)qSjb_J7fR`j0_Bi3NNSTWE{hOxlp-3?D;Y74X9*;SffgWnx>!t9W>WQm z;4W~YOy7f^Pb0)+*7upL@8_&B*yj6?#rH>?FOiUaqIQ+f6X9fb1t_6N(qgK(DC})^ zX9B~;EF_X6nX%2>mt>@(5K<8di>a%FfiOb`qJ%UzebnQt2>X!G_>IYxkk(or)jvWC zM@|wNfY6fhYecf8FjrM;JVg}7c2!_8%-Bn-qD_^9mQfCpBhWjIQZjpjyUU3kr=r1(LTyYsIIFkWLB6Pm8&HmZmY;ihD;2gb-s}fz7f2+cd=6J zf=XGkOFP(4xrK=|+D4GR9VF}U!h>WLVG+xmidY^4C*nGao*K;@LqD1O z^O#56U*cTFc+Q>biC2j`)e{Fy?!--*ZKl{-C|D=alTAgYAilQ(!}<0Q38Bq1MF3Ss z&3_cyiWS<-GzdO5af9GfQ-HS6KSK7Rh$lVaPck0mfg%v@Soxlal|g<-8wh@c(bT4o zcp624JBEJxpjl+Bqo5ItNfZ(B#9+1&f4*QqR^fZO)Z7ap+> zk#hh{l`2nJwE4A9!PNK;g|65MIEZg-mas1U8OwO-_=^y~{0;=4#As@4CyK{%s)qH? zb4O(DFR7)tQiLLz@ADxMQ+k;-mNm$F!-~ufjK8R@ta3%>+upE#VVm|&YLQV!wX0xC zVvG+fnf-je`8n-s{xNL)(Kg=#DwlJk;c5?xRdz-0ZMk~6?~~l2s&eC(!j7akzL(?N zj#FfR<1i?vC`h|-@w3za9=Zim%(>klMQFz8Yshrz->=;TQMh@20Dw+_cH05&14cLi z$*VBX6g`528;jQi!-t2aH2MipIoH1fm}QMFzO@=-pYq+cbN$@H?+94fZRpj)&|}=t zs60rRldaqUD=&?pUWi7puh6vitu_{teXUQ7uPFH99Ccgvsc9|yK3UfKLR4ys?Y?0c ze?7HLKlq2ZSU|&cFRh#h?YfVW3hdE3O6;pT-}!X+Qo2Htd(8TitzUZ#Q>MR{lB>{B zLJmUAL-r|kKh34Y|3e}n--pb5DLaOYt+3NAf&Aa#9q->Ay}ye=mvoHEQ%m%MG%)- z7T@Tpoh^R)l6IrEqjrhtq&~AJ4NTSIiTIYfq`E1wUL{anAKP3T>x%A)X;{+O+`6Q-UTp-_P`|XfvA%X=96xngylIX);~Yu4YI)t7M65Sax1*ywc1vA& z>xR^pSa&i}cTGI0q}JEn(9zqocvV~7hMumCbyu&tvUZzT0Y{jGIFP(#FB3Wk5UIy2 z(I@b^9IC>TuH9a#*T(e&I*;R?w{b7qxb0AppnhgUO}KmrewP9w?%)TAR`g1Dqka)H z-T)-1jj)55Ap;WB+ict&Hm>{(i+Y(2-E2d*CZJh` zlG@!#!blaf6i0LZZqN7Sf$)=> z^EZ34K%wWcNh8GTkONEWNzxl9VLmzubEd;;VitX$v08x?#oLUDvvz}|&Mw8O@$Pt1 zQsu7g9k(Xbre<}i{nFT?Hrp=^&1$`*UbVG5sWvsKEhgC5tTsvNS7XU=Y(tmY*rYCT zyfvte=CFnqwLwzV_?8}3?MuYf-dJZmk&N}K;!K!|g2QD)Dj7>SrzK)ZbwjGR7YBga z74MEYY1_KCc5dtFRkwG)-T!S|D#wad3mWWUK;Bg()~v+=1sBje1;2N{JoOE7UUvM*s}WME*ho}9=m zJlTd>j&aH6Xy%oyOm_b!J2ELvE?{Pvti!1Q6rBjf-8 delta 109 zcmeyP^+St&!Uv9W>;EMa*>AE|h%zu#Yl-?0T$>3=9m`lM|VR zC)+T~G3srOW?sq4#CB$~Ba_nP0%n%UI-CkX?&d-PImXR7TpeJ!Z~T5BF*kvaEKJG8 IldVMU0YYpbg#Z8m diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj similarity index 95% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj index 610fec0a661529d4c82efac118a06097a31e2664..a35271f8c024e4f31bf4b7771f25a314927aa12a 100644 GIT binary patch delta 16 Xcmew*`b%^}HY;;lanj~I)*e;>JJJR; delta 16 Xcmew*`b%^}HY;;-UdiS>)*e;>JOc(k diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c similarity index 87% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c index 9bb9618..183ac1c 100644 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c @@ -22,12 +22,12 @@ int main(int argc, char **argv) xsi_register_info(&xsi_info); xsi_register_min_prec_unit(-12); - work_m_00000000002982276307_1919318694_init(); - work_m_00000000001129268806_2975551742_init(); + work_m_00000000002613258380_2132107520_init(); + work_m_00000000001435061139_3156757336_init(); work_m_00000000004134447467_2073120511_init(); - xsi_register_tops("work_m_00000000001129268806_2975551742"); + xsi_register_tops("work_m_00000000001435061139_3156757336"); xsi_register_tops("work_m_00000000004134447467_2073120511"); diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj similarity index 72% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj index 54b8c4c4eac22fb2e213071af5b7a1dcb7200afe..4bf9e438c6c775eeeade08166856af8bef3bc545 100644 GIT binary patch delta 95 zcmdnMwSj9x9ixbev8jQXp`o#5ys@FFnYpRCv9a0aNsI+d%xT3*lUZ4|i=%>( delta 95 zcmdnMwSj9x9ixb$k)@HDg@u7xypg53si~=5X_kt!&lpr9xyqJAL;J}A)-Mq|7sm>4fH@}>TMv*+NMw@ybBKKOwr zeda&U^Zw5Nyyv{{oSE&uKQG;L|Ncj|{O!Pj1D}tgsBIn4F`q^Etc;?k zQPa9z!~mD3DSHIDe~IrFT;Cz;*>PTNqV5wGI)u=Ks-hGnQRy@$w0)3KA# zd>l#PIp36PdM+ccF?AOw>?1wLoz(fzNAI_hdO1HYE7X|YGc=zi_35F`u7kJHGLqXI zolbU>j%DK+YaNphF!9IJ8`hC>xm$VAy%>Qp@)}b=g~zk0Pmx;xL-}08xRKYG`guHl zoBDK8xAWNtW8^iaegTgcQ=cyCc0QklG4dKyAI9c7)(u8v_wrEJ!y1>T?ry zJ0CyZ`s6jHz7&ruQlF1fxAQp#MxVUK)I0FloBDi=x}A@oHGT3LQ(ue6&8g4FsoVK@ zj6Qkw{jGT1k@|dsx}DG4Vf4vsOno;V52QXfQ@8W+v#(EHW9oV7#up#?&w3 zab)_zyp_70PYp(&yvEc=<8fl@^J(gKK65bov!&u!H0eCA>F$!knq#N+(b z=XUCLKD%M`$!knq#^d7D=X=!IcN1?L;rEemRL71ZLyhTe#N)lG&-YU%EvcHngFU{3 z3^k@V%|UbNflsB(p?uso`s6jHu5-{e>485;nM3*b-K0-mW9sWUXkU8Z(-{^Kl+E~%J&Q5fACuWL z-1!?J`wn`t&yd-3Iy+~`u1eYG(Ck^9ew+{TU7fN&M`O~tX7?uaUBj4j_%*qll=XL( z5wZn(vcDr&kg~5PjgWOe%KnL5Ny;uIjgWP($^Ml*m6WwMLe~BC8uCT*G*Z^ukX5sL z=vp~uGyA?cn)gP?x>mA>W0-v>93x1CtZOB^1U7r_`+LL)S=UPT7}zsW=leR+2-%pP z>@uLUP}yTiBV?D;lRW{*eI)C%#0Xi}O7>(R_mS)}(g<1CN_GX1`$+cnq!F^NmFyWn z?jzYZkVeS5R?_FYfe0oZ%e-tnjrvT|kx z_KT>;`+Lm@S=Uo`81`ON_RXXbvaYA>2H5?mZ1#7Jte=r=zOJY2CfF~bvf1BDp|9&H zy9M^kDeJS!2wB%ib{6(NRA2YM5wfn4>>k+rQCauD5wgxr_D0yRptA0NBV?VM>}{}L zMP=RpM#wrh*}GsLKxJdn2wCSQ`ylLtsO)mm2wCUm+C2gL5GuQZG-TCmE!$A!TS+804B%nu8S)Wx#$a>APtAHLuWzQmwko7ub&jI=tD(kb# z2wAT~_9CEfqq3_=BV@e}*-L;PM`c%&M#y>{vR43o2bJ|%WrVDAlf4?~yQr+sDkEf_ zo9sTICs0|x^Nf&nZnF0PJ&DTtoo9rsbCZ1x=q^;&?>v^g5o)%7eXhtp1#~wm+eOM` znXyah`Rw^A&|1{Kzo`u8V4Xik_7|}J{&&p(7cp9~zl1%TaasM1R_w1}&t+V;SC9zV zCG?!b?_uAG$~qUL75f701&qt~lSV7{FR+7*%Q|nP75fj^GUKx62of2~P~*P27S7in z^%tVDUZat*+5J6=p6n8!b*Sulq|u5!8t5X%WxXFpD|Q)Bm2uhg1&LPd@jx3Gm%V^A zGS;4R_WA34Po^=7+8-c|koEa1yBuf?l^rCFkoCJk_B5c2QP~n{gltUD@53{J#!*?< z#E@09wK#(Jva5kMqOujzXvKB{y^C>K*UxCh_5!_!aoHh3q7{2C&{oD}U27v`-8;^= z4744U9VU%d>@d*#7?*Wl7_Hbbpc><{>jjCBbx%5njX(>itb534#l9P8H{-G+f<(sJ zbMG8O>U$ZDJ*a*6n-Q{d<}A>asI2?VXvNlnu3}tvOps{B?giS*xUBolXvJO!^Z~|Y z-ET%K_9mdK8JC?9BwDez0DX{g*-1g76?;3-HH^zn2@!1q z?+3qUN@o|Qc1|zsEbQGCb*mlZab z`g@Aq-J7e0`t;6vp`OglZQZeE_y1-xJUTeCc+BRR*_qmvg<|)b-oo%iB`HrRh27H& zGqZD-7j`aeD_lOibrv|O&&@BsES@t;r8Y1T)v9G)rhM5rG7!}U=62ObD^abyr~}nX z2gOXST8V~72TGH?4pzp;qf&A0NL1<@;a1ejlLMvo96!eKjltS@Wdd-#axt%-V0hFs z43BQAM9yjPWoTkN8k(GlhUkusSIg0SvTHu6@0gFqs@0@AT3SCue{^Ut8LAFeqf)85 zesuBeMUw+V$z-{yDy?rC8_riF6ZvX!@rpV=~-^!6ql*=Hc@ z6+71^#eU4Er+}tT9Jwc~4S-aRt&(zhI^fov8lfI@#cSq9S+~`Y+&C~7fPm0a+ z?cpA!6E4lw>I`>ql}$FqVlgSE6V5KX*qId58NbxxZ0VGpUyYI6R7v1E4-y1}%s~!2tya9G}L5;s}oU?|bi^COfoA^m^a_d%x>@b3ykx`>eI@ zb+5h8-s|jhax(hddP@h3#gc;m!Jx&m1|>g5;&1FfwK#lmujdc8Jd^%L?=?xTH+qko zGk1Z#s=9J^^|X2R8Pn#^uXNj|m)omr=G*7aw>!s-wa=@ZS>8W0vvamGU0Q6h%v_db zdF0_|rdhI+Emx&lEPE}-S~8N8yIX#SP!Ie+$YRN}q0FLE5&y{TWa&3|Q!UuxXBWzj z7R!Uh9Rx3nr7T_43teuhjn@6v8X;yWO0v{OXzK0@m8e zIgdsU{M6FkTxn0lrU-Iq6HAINUu4N^=s)7@akMape@bzj{?X5fPm!glp})IA;2Nwi zQ*vFS<;ofc43>&bv{QxS?5N#!IRFeFkUvZa-rfmCEsm$5?9qnYpdmtT-+d_Rar^<4 z_BP}O4;6C9bVpGH@Iz2;Y(wtEd?9yf7ZhcX>xyzm8*)Pj3AqB{ALQuY?r6E9;R6az z6mnZ3VyVX;^8GPd4t$46|L)|8v;uO+pxobvTz*~wj##Q_he2dNIEuz2KUu>g_x^YL z|D6aJp51ANXJgt1Yp+_e#(sgBIss>d)6ATT!sSoh-z&*t_-z4EWPXgom-?gFN!`Q3 z=ghV@@BH3^>UX*C*;oK`bs~tcmosZ=;SJvggQL%FOzjDa?4*sUhqi8YY2C_DTka+K z4Q3|meW_Q%qIa`<;#&6E=F5O$G_DKc)BNc!i^a74COk;(-j9x?+E|#W?+t`%R`Wfd zQQ|OK*yiqRrhdY$2KOcT4e&7sJ{DQl(wpXEV7BF9?i({~ec`L&PyOs07AeU~3phtv zy>o$SU0vG4@Ebj5E&Vkk$vu`uvei2VM?7oD_h9R?;n;DSwfnJG>}{~#)L?zCAus@y zv#hGpNn+F-goRpT| zu-C9Y)pOsmkVPPd7{fML>&}6cVHO^qpJd7Zxg}lH6{Bu#GZKT~cEh(A;n#i)hMB-G%vx zZX8sc-|##HEcu&*P0h!BCZhB z5JmqyhX;J?g0^NHqTO@^`^Y~K`ljX~0S2k(OR!Vy-RYhQ^xI)Nf3sm)tj}fD{%W^g z3;ve6ZPuIC*KKyEVZ(d8sBj--cp8$V(B*r7c4kjW0@%jg=pDY)dB-GK)^O@He71|Q zZS^9DLa#j!+h*?5M>0ptz!{Q9>RONnn|euH-pVtz9OE_WE_LA^Y$ zCN;0bF{gh{(&!m`T)sf_y+SnQNW<*jYqYN!)C|vtwC3wkJ?y7{yk^YUgYLNpa0rKO z94wVIrf`&JXm3IYT)w_1qSnkj0e=l&mdi}-&n?r|3q|ulw64>%9gA&WYAXH~d$(EZ ztl*3@KX3&1H`in|9}hS)^-Jsd5$TL^Kkm0Kl`?Len_hQ^=PYe3&Rw6 zk{xxmGrKIX4_mY9&D87rCRtu!A7@AKHlaP%_QGECj&Eq#jichg$2ef^#dchBGO+xa z(@`}48$yk#qaeio5m*Z$!?!hP%j}Scj&lN9mgr_L!@@+7-o`bDZI{NX;^e}p&v!H`z5O6Z(GaM zp!sdItRc=F3~8b}4;2T3O>5Ajg9M#?{)YO(8=-2gdlf3yD{Jw$@On}41vhWNruz{z z_)&+WBQ_Wq1skbo_&%6?e(*E;TL>`dKP&XFr4j;NYpr{Lo5&p-Y8v2C2KJgAtscFI zU7lbMiUYwW+7w>Fqr#gAI;Zev>efM*U9vf~Rre05Ypp8>3vp1_&;L2_ix}+cAP66N z(%hQDt5MKjt2Y;Vv-*eT7Xd4VN7+8lGlnvP*X_ob^RxS-<^nW=kVF3pY=ChoBuG_2 zNZNQ*^jCRE_?64bo=M7ARpn^JjjBeQZcmAJLRa zIFoQD;1KMB4a%GPdeN2qws&d7pLtX|A!{XmZ_GhK+a=sV`)qJbbWCuJZ=E(KanSU= zY514!8o;f(?B(#x@Dx}$I@&6rymldL%${Y^HPbecm5WeGN1w2uX$-R%^Ko4^rvZLU zBgOFdJQ;FkH{X`@w|$@N+n)cL$t>b%R5}J((${;p*Gy}10V6Gh5w!h*vDWY}%NmH& zU7R_FZK%$UQ;x6;&?&oe%H@AX zI9TF8J%!0ifoXA=2YWtE@~z*u&AZj=wLurBytgMx!+*6e?Qp2+j8dqo6(juCn z)N``MT9*Ye&oFewPLww#5F@ykXDWbq(F3aZWu41kl;XniHK=o#SrlD?O*4%?H0^8h zuCsbK(g#dBucLIq2ikDK2crZ&aHGm)j>|S2o|E9gItV$03#*k26sO%)EaAcml>8X} z8)cn;IGEr#>P$%9n0+g^I!8pI5~n+(un48g|DrHa;-3n&DcN%zp3{K45Qi87?>uaf zIbmqFO2L*zz_QK-STbJ;Fo(pgkH$S5mn6hJ8YP+21_>91n3s`xkz{@-ge3D!Y|#aZ z(}g^iU@J?qb!JZ@?%@B+s#!?{5@3hX0y0D^MDp**TO(X$Pvsv6g!dHZRV&5|M-2j{6BG%VJT}OVr%F z1}hQT$uc}8!ZGBL03`5Ol%!lBD0`=1*Wo!8Q9m17q|CF8lpA2(<$pkwqx}`xDGqZy z!hBG6Yrk@sDcNKw**S2w62J!%2QXV1pIK!NMyQb0x`9MVH*nBZxdM8j63AfyjaoKjyh97!rM-q`&3x z?RV^J%HLvk9+Z~;fy2A02DxI*d>~Mk2n?V|bGQwcSZ^Yb;ZdSyf_GcZRQ5*7g+>>% zvlyB(GJI)<|Kb$W>OvQ(g%L*vDki1)GLXm>1!gG!X;$;UWtjq|6D{}BEY0gOSEZ+M z5Ef?5^nF{|KT92bI-8yLHT6kO-?Xo(cZYyQhHMuMiI5FekgU+m1r85~>~L{OSC`#H z6?_f|*$3v~gL zXLgkyujhW1J%cti=UaRV6$dOW56~uU>oR`E3xhVsl6_5bZppr*q|N3dKBwbGxeBuRb77!>)aR#ea=`kRzj|$eA2-ZX% zqa2A}qo|G9vm_s`8uWG{0M}QGhsLX?cX^XjYfGo^f(we&I;$=+j9H z(WAeJ5S2lTHUb}GpJrI0nF(y<5gDQ#-%6^bRKX`+h$`SEWhu@O6)8Q2f%Q=#8ix>_ z$77VEGkKKh%*HNqWTc1U#3nCuWOR-Q)Kuj?jcVSvELX5NU}?FZMrmA^xjH?~07jYY zPfmdKv1q}vzdas7WF}{ughR!HlAY=69p0@q-MpJIWpO-0JC;hP8OP8NXqf0u zG@HzZE_KN9Ol4jtL60-iaO#6Ml?;Dr3TKD9k=S9n<2{wN7}Ug3%zL}wJ0Y6L0iV#B zCeJf%P91=&TmlS5$yTEZlM;uRe@;fNK;XqN5qOw0>k5TXuMiA0=NvQHVOk>+CZ?RU zeHwExI8WhL=bfk&rcYKGnm7v6Wy*AEt7*}y3{H_AoLnSXv_AwT?Ng)G{z<45_ValZ zu8X6vZ&&uSLiWL$b$UOxdNWkE(Tzz3Lmz3q2P%bit4cYc>Bvo~#lQlQU1=KY*yWM3u6T3C@i zEN~mH%vCQV(ZEaMB3||p?aT>0fFmyUaewAREz zJH`1sicO1iP*QPvQ?YkrO%~TdI8RfFP^7`huJv;g9m3HUm8?gfj)wWG~1X&oJNPpNLrS01{RiN zeanNiA}hq{Y~VPJs>6_X;))?X&Fs2wTOX|d$fIgXQks~&aRtKuUDZ1|@DP?M)QLsp zz-H{Tb2MR3kLr^=VqUXfWqu75$H(O_NhvnFIL*Txp3e~p*mig~)Ep%im;4Z*H41Ci zLY2%cID#4}jlv!*L9u3z$DZWroy5SeQaENhF5-Oa7;Ybu4~KVM&1i)09ERXlz?eyW zk{!NIeCeTw-r7yG@Sd8mTXL?q#neI$aZ}GFc(UA1B<>8QF z8QWI7D%8sz>76h<5JFyAIn1uqAa+KV-pBwr$Mv>2dUpyuAhV?U5b!#cC9y(_O5kA} zQ9bv^X4&y-=_@{espnFOdJJHdGQgh8`q(}9>g;;xIm~qSTwa9v&{;#5b7}0k7`((; zi=KOoAR^7mxJ2|^M|B<|NhRtrs(H!)qsscWqk1OC zg9((eLxvm|7n@1W42#p3#PCikHj|wh$>JRHB~f@pAYbs1R`sA>Y0!Y`fR8c|iye|F zEl+Z&BlXVoq)-?E;V?xEp(z9C=$#aJ16cq_WWg!&2<%~2fb*0UdhFiD5f!`YuOxM$ z0$N*Rtxl-Ube7rfhr(uuP*BdwT|$ype8Iy*BukH#fW zu`h$8c2VFg!9?2CqvwSev_vmjR)*~AGS?M{#!eBnP{29_e%&vJNu?h+Tm`HN6?(u# zR+fh4Cblo8xz1)+M@Clf*BrgO^v(*LwwE~@uXB;FU4WfXzV<NTY%EsE$t8 zoMsX8wZ<qOWmWwn@WIQMj%+`jXRv_f@Fc6y1c>iOvwd0Y8(f2{j#}J%SIu}Z1 z3s5OsAHbt-&E)S6dmC zt8HmFS9|LJ_FS#ke|@gzTaR%#oUJ9dW@}0R1=-r^pU6I3i}R45=(+JN$G+{6y|>ug zDElrKBdA2Z$ZU=C9qS!D5zI8Ey$;_dEGQNu@jVk$9j-F|Qm&TMZmzbRL8%A+e{HUY z3x1WWWd$CK%GKUzH&=T)oU8r%kqk*u%$UAiY-TwJbtz8YQH=Xc;@XW1hExj0G*1~| zOj+M%OnEW!7c;dX?PhAe|FTSND-sRR;pk5mf$vn}!3upu-OeNCJPhZVn?6({Dpl}t znxk>m>p+(DT5|5tqH2igCq;&~2+>=m- z3um}8O+z-t%T6S=%)VfN(-{o}>?&NW(8JXcM;z(hvmNFkhFOtakTD*&$uck&IC|qI zMDLXB!2JJ2wI*lpcldk#1n(unM}Idx<2{5-zMgi%PK&_Bf9sEfGg?<1CMl65-DoDR@lbIM0_! zzQ%pqy?@ZaDj4APgfEL`8j??svO0ih@Yp|ov;4#;qckikqB2vliB$U>z==*YuB5Ql+~MKK^)A`n>D z28Rz6!lw#>Dy;}#wIY0|5X501@XR4X14D!lonu`a2v1_+lN`h?YKS!maTTQ(aZqyj z7q-Yj90o!?#n=ug`4R1avJC`pszmUk6zw3y6~gtXlnBdt7}H}d597viDf?p)cA$hk zb4iNXk6rwieOtY6SiK{e45K@{L^6D7b`hoW%mICJeEnShF}TUvFXK3u-+|T29P&Gv znegi(`I0@Ru@l4JI8xq6Tq%>_TGX*RutnsIvRCMmPl+TL3!$lhz6akBgDPs^Q_M9= zX1u-+i__mJ_I>Ht_pZnv4l5qiL(FyWa=`wgrb;PMj|R$=0nT+aRxI*|EQjZ_q+*pn ztgjizYvm~}jI9)>XsHnG;>RIFwDYKe&tHlgm8i$K*_8o0&iXiUqc}ygglN3DolAd};pm7kZnTDfDsJ41 zmWma(-;vqD2rbY^fVneI4({o3OKpwY-Fy@YN_(Ne&tHlgm54+GyHN5&daREVw_QrK zNr=XaTOF4}mEq`!FmAL)M`VBFUbIxKxN-FoMjD_d;#MLuWH~PBaT`ECM1PzAwxqoZ zO8oq#xKSw-w-waJhxAwl%^thcvKTISCnWt`J+;GzaGT_RaFt}~td%HM&3x+sx6J~2Dk>6VEeZ3|V zPwm!BrG|_c7JaG8k-3VgEY<ed#$Mj+|}i>PN_21c3Jza^nc!3Qbj$P{xfy30C8dH~l=T!zYj1$iMZn4Iaa zIJ{r8i*Bwt7uHKdU9^arqWkG7MvZ|df6jE8xY32X9P}d3>3b__MZ@A5q^c)1`qN$kHU&I?@hxcpC9 zPQXL$?2QWybI8CqY3}>A1tf14_Q9P=_Qvnm@(Wt*jh;=$2D&Kmg0^RH#}p8|BD<&^ z?x8`;(6%MMgAG$rHWg*$o`SNeD4U8h_Suv!$O+1j<4}N$D@JYuQ^cQ>aVCT>$6dJY zrS~QN%ToAYl*3z$%YYULk^e~HU^hC57IFCtv*7jtgeT&3+~mNmXL&jb#+~Go7PuFR z_2pt@vyR4MasGuthBLL$quT}dR_3#MP;zARq~bZ!1L-8AmUN~I7;Bi$;DqRyT?b|1zD{e~ zY|3m4a*rh3W?mElreTHHXA1=lB{}B=&A;mGg zwGQ;S4%MEsb@@Kys#+1|zxS#4E)6-Qp5L6)jf^AI;cB$HR4ERr@(l z6>FN+`#DkIQz1*Ua237}EW>^(DzFt9i1y+bv|R@^S}BrNE|6CIxpOzs(fA=n3gR;R zj)Iya_zn#@B)Z>>8(OGBN8IaHfn$3GzQ;tRvY>QM%&^HQgW?;%g(#jPL1IzFcZ42n zq#C+Q(Do=)1KnXRbe75_HL!|#D-bwL1L49}1S27YQ6U6$$dS|)9kN8~CJ;Rrp8pgo zXM|MZMy*w4R7fQaXP4I63}fWe>9P-R#_j7U_J=F>oIk*R-mjtfj+VCL#CQCwD!yP} zLR~@S%#dFRoQ{h9n2<_h>;r$|DX}OjtC?$~Avr~X%6-3tsGOA$mFr&#Q@NbFg38$; zzY?c1Hl&gul|ctm8K_T{k3 zB(s$Hb!qFAGg0L)=Lt6oz57BDJzr9c)6Xy45RT|H>WYX?mAZfBET2XdTSu6{(3((G zZQuQjf$QL(#ML$@4g9kNeh^m%ZOkHp4|v2hZ_NM%2GzZg<_A|X`Yju)m)R{BGRshg z?y&GOgkLbKD@UbVMxD&VrhNW}Uy5piHCk?`6-Uc5$U+!f4O**rST&?BRc`-6TC$FnrT&>*&5f?IuCLxMdpe|yy_8ffU zYD#uNvDq!OV#|G_*e8~4j}I6CQy_G!{&sJ6cy~`?*X!goFoJxx$g2j(dH4 z>cikN$FGuP1M~&So{A(Zbdnt;$%2C<$yE^GCk{!9(ZLl$G+~mjNVljRCds|OMRJj} zR7n5j5|Tni0;+9c^57D%Y!$v0inHN*_3wV|4HTeQ0Pr z7Zn&J;|#0)U!oe)Gnd)3Gj!QuiyY!xuxlqv3keObp9S%ZDrcD~Qpvo5?^|I*gs$cc zJo}HeKs>AK!A_z;<^2rQRik-_N z(cS%`iX>X0fx2RXnkjV?jG<5|52++DIq|xv29JV8QF-M%Nrl&=pmJC%mD%yBL|oqe z@@;aANx1?9nb*{KRl6E|{IElE+TxRa*7uZR^UW;WW zP#bb8U3`xf1Bv&Z;eVm_a2`1nOQYvNHgXm-tL4@A-tg7;cjmxBdFkJA(RE@A-UngZ z^E_6xXgp~9>>H^2Jx$n?mx)+(&7m{?)CJ51`DbB?EhSs~GBmGCxd4Dy7yv^KDXnRi9hD-@I+RC|X4S$B)2uR{;-%Pu4)&sR zwZY=4ubult!WRj8QRM~z?LFO z?AYtsRuPx~z?S@0l>9oWx1+W0Dojm*Pw4;%Gcwu*d7wegn6)?n8B5?xF~>>ry5trf zG0hcf`JoEZSUW!O{=^^-{RLvkXW~)D`xAZABJWRhj<`M1CvZ1CPH%FO*KdFD7PnXFRskMf4yqp3?;qyNKA* zY5n#`yG{o=iH1%l+ovsT7qdBwZ5?At2czHo?d%_$pb%Ki2~B+Y&C!^WE$ANvf5N@! zs>IFCUQM$X(QFya9&k4%>o~Z^_3ud-bq7M2cVqIv8lzqxXmJ3S71%Ow5JQ7MwSc-1J`A47`b)QA@Wn-bj~EZL)US_HS*nrCrhM z*V00f#=hmj^c=Hm#-YCL$n5dmpkE!mv+z}bdwCLic2DBl7Vw4-O5#FC#t2!9I2VB6Hk`x&x-NZ9yNAM{+O-AD=^O0^r$=26)Tmf28zi-r?fx zKN7(o0~7}TP~mvbB07#YwtT&|2OWRgFmKsU$Kf&0S0FOyGzWUVO7d;l_qO-rnsZxD zg|lgNmNxMIldmXtWl@B)S5^TN&R&gxm-sNrdo~)aGdyn4)b+hXstG6>0_}YhKl3f0ooMNwSw!uWKz;eCNwwTU=-C@Gjv$<#9aw`nu zg;UuyA4;k_>(3>3rXY-rMzu0^`#!$IAx90Vo4Tc3U@-9qO;1Yh8uc?RTauFSpEfLs`o%C+F1nC%PVZFc%Ov4bQYAZOf$=c7twR^&~)6gqJve-QE zjG5Fs@{H-ZO12dSbhJ{>gW-`pW4fXu@iXSVCvnEmM$oq9b6E0UnHPD+EC%L(^BH3# zbjF-c=Wxa#4TP(9?)~?kG0P7DMBEek$T9a7kh4%EW$K!?<{!A8t-07R zk*D#>(+)4~2YM-9t;uI6xNfAJb*3W|Ttg7$?YAFe{Pxr$l1Zq9-=&i`;G~qP;8h2v zf&p;>Z<@Q5!y;Q~>&ojSCatGAO}u;**Ih_~Y0Hi$c#C_#@AM%j?kco=>z(P__;o#B z7trhz$j8VW8uMG$9hfV${1e}I$2Vp0@K^69XYV8@zDLbRjUr-Q&^I#H6COpZdx2Zf zHVRx3>jpLO6ru8O#d;T3Orm;3Lb3LI#8_ATLC*uEmjt{L--QJk8p#N^6%I1NONSm*7> zLzrZ%=vI-K3tN|WKNQDo`|k&h;{}a9+bx#SX2;g}GonsUT=u0nxyIUjN82BjT38T+Dx=Wp8cWCNPFa%$vo! zN+=NW*{;y-Bz#8>-w&(diqv8e2F-w|Y3rdbxg3RW&B1CJe8AW1B47G<8s_q1&WUBT zP-=GWlhOL}Bs|709uu}=$!bX819^>CMItTr^2w=|`)O6wyg>aj&8L=!@rH{GSW&N~ z=zS=bqOOM-^s2e{h0ZA3`R_64*N9#Pb3FIN%P$Xzt>1Psw1T!`CgZf22e?2NhmU^XhlUYw0 zzCI2Z|i#k=YqNe21C6G7jegqwhSvrhHIfitsJ^4rt3Gp|#|e zb)hYJ+pguQ^uyS+eX_(Oxqa}AGQNU}uZ{+~(voRA^4``{w9C73dMKXjQa$v~cM!%2 zc;YbneZMz7MP`{FqX^pSA%&eTfC$=5?s)LNU*yYk+FDF>`DSKMa$#AqOfD;0n0(?? z3nlNl->pCeE?!qNdS>Bt7?hVA9H)cZ@uc>l4LE|!4Xf-0>|Bv&7#59ii|i!mId~K9 z>$PizA~g6`PBe_Y4GksAOd4o%xj(k(3n5W{#Wc1kZi-5)MLjT9tobDwfjawbl1NR% zA$(;vFaR5PeDTM7I46C!7B{3xz8pfro%Xomro(2aU{UZ4ioQ$TmqTdnIR>S703f9s zLej#wqB~Js{NHn66 zy6Db*gTWBaJ$;vS?ltLLcF4K=Q6IOFI)ej~je5{+micas*mv)~*W=@2@B z$h{$*yAne2diU`-cY?NgGzY~uH7MCg={nR`DU3tk$Iy{^GTBt12YM}xrlPD@q zBt#=l1Nm(xh5;#!ek7$M+GyTeFd6PZX9(YNt-`mj*W!$&Z?zB-9VmDQrQ02)WCxlK zY1M%+!b!yVZGvIFC-yolA#vp#SD= zDB#>D(z(-=bFl`y&oO%jZGCADin}x@*+%Pd9!JFCZcLJBqZxo=8+|UJ-hoiO?odGQ z%-ppU6>aoI2rWh%ji7%*`pb}XL>u+hedF6E(MFB8$~O8+`c|NP%UzB7xNUSeq*WXJ zCxjTkjhaKE!a4hU-4N8}Vr?-MLmXn;v1Jap=h6ejIUqf|8xrxNHXQj*&{juVP{iHq z15P5lj)NE0V3jSQZi613yI(?eP5|nOX9%^I=Aih1 z1|?ZttwZrwve5neE2sx0)IAW27r+jJl~+MMtU*auy>+NFCDeu+rE@DK)Zh?Qd%X;8 z_5Rajb-03hM1zv7og z_sQ#Vv5T$?&1`YB-BAh%S3x*vJBV@#ji)i5kjcWpqK%@*z&1lvFA3lBif|tl*M*2I zKd9|t3y$R@utD1b=+H!~M(H6Ky_$Z*x0-EM9t&rer*$NJ?o$vAX<+m^T7u$9oc5uX zK_zHQYZJ;cwiAv$uu+40Csjb5u0ySckmx%xpeC;()Lk@p0IS;HJLA|e9cr$G+KCv3 zG{DN00Msg|1Z@M`gp#b@+@P`gGexkfQc!3-#Ix{I2*qRN#n}_IHPRedQBi1!wvw!7 z=unsv*jA}J)WQUyc3exSDQ!YYR{Ph(cDP&QNT}PP7jh1)G7^A#5~E1a_8rZ&!^*Ei z{kwx;H9&`20ik#SOcAV>wFxCz9j!y1Eul7EBb~#@!nPWc0MrKmXTSapSpbjNjxpb&YCDb?mum)I7O#rHcU{%~Elpsi9tQ8Bu$ zGI%W9RwqlSe_kz}Q&2}G0Cfdag0_@4p=4XFcv*vLf;V6_T!(rZLh%AP@i9W(Mso;Y zTdamiC=7iNk(U%Z%&<73H!1;~C!rFw<+q6=DZTL$jQU$IUBKtSOA1^_#Cxo=2j(Pg z;>%UeUM^x(csF1W6p;M~DnxjiGuoZ_3Xot0Z5PwENR3H0Onjvrwrqz;x6@>J0{4rn zm)td1g*6bNei9T*x$Q&wp@Mm|O{lW3dF-)&iwK3F3MABM9qJ_r#bY%ci6-Vznmd4% zgeuaZ-VoVD?q|NR23U>R#xDoI?P+CII!^!-U#TbM3IY zQHSa+p-$AH9)?i70A>hQ{uogGbg1WW8erJja;0=`scfrL5`fzE5Lq3qpr{z#Rxdpd zqv64Hl!PkNp|(OO9;+cx3EEcE90J%D)L0#g@8tlipO%F+&{ne&fa)w*xne*yuhm%9 zNT{oHsNWzIkJVJD1Z|(vTsy2T)1f*`s9p-{3fWfm2|x{gkWdR16cwY}s;drlPd5?3 zXS~um1=TkJC?8aUwu~5153kVzI9NiJ=upo?C|&^1e}GUcXbu5vi`6MQ)aI^&)yH*V z4Rnh!2|zs!m7r}%45+QEHCE?Ks09kjBirf=2*qQy{C+~cMsr|A#pt%0r9=JDMX*ZK zp_U{7^%1NGZBt`F{q~&3iYu--ukM0g$TV62t z3t%U~$`=EwuMYLEEWxS~J&4XBjv!fBkO0(&_mb673W|!+ZT0-KFcuyIM@pzEI@B8w zipMG+DnZ-RG^c!wh8m+oeP|_(-0v`4hBUxxdIC@#1uG*4)ECcatS*vJ%XFxH5Q@iY zGE{=Lk7%wPR{S1ML;yQVs3Q~<1`W2=)d@i5tsqpjf}&z{01wuo?l_pXb63?$=M+@$ z1faZ73EDcvfV%%_jTK){1*l>j>NyC-3*fo;5b92vLjc=iRiHzO*J_!$JCHhuG!Vd1 z2|zsw73BXhpx*eG#_HTm!KzY0VcKF_?SfD|R&{q1>Q$NpD=J2})pQ-|+k*sDiVjti z0MrMU6KZk{sC`etcK8&olTf!oFXS9pbxr{4iMt53m*(1Gb+rz4n1t%DL)`|2o`BJCw>UvUIdrIhK`34TC)`e`TWJmfY>U-k9co>gVD;X`VGRUuWCBo+K_zI* zjRCd!pBk$P66!()h3izd)rSy@$Ex-=LanDcu%cpgTb-{%wREEGT#F8MQ36o!E+N#! z7*IbvuCc0>P&Yv@6M*{jX0j@Z0rlad8Y^{k<#HYBTL{HtH5MvC+q*Q^4y%iFD0OqC zn}RZBTh%21l_OYPprEK29l(w{l)AaHa-noiK^>L=)MZc!+JcXS6{Df<;IVMGP&Zc! zb*LvG6fb~h-$bYzV?gEUQ0nH&wwkbW=oTXqfO;4zL0i8VP_L}iSgD&UvlZ0UvaQ~S zP&`(b-bkpIXb#IF;4I~pNJ7|k&E!TlNBg6&=RjhNJJ;F`z!bA4bFD_PwJ>BiE}#{S2Xatj>i> z(DotCwZm$$4t1i0I$S}GkpVOlfZ~00y!S^zQBgP-5x`6xYRegd)svOdIR#};0ICiu zcpqpCsQa)U7!klS3FXwGo`q1n0P;S1&~^vSA%OHTn$=Jp>ZcOH>Vx@V4Rnh$5`cOF zDnXn09#1<^uivY&x=KRLS5T$0tv-QJJXXAq9<;qebAX~^G^=SkR4)nDL5FfD0QLSn zLY*4}>gN?2t7i=Cnz^?^FXS8on4SO>@1qB8-_l$=tV|uML_+22PDk%HCw3hCTg7VZ`fN&sp*GD3WhL_tw8x~-nQ2gbsq#R3U6QHRaNqVYvwN1p}vPuJXRB+612Tf zbM3Hl>rewF)FBG$Y}r;)U`55~wmMITIzmDP=Y%!Dswx4fcc2oqO^5;Y z{T&*sRU@!#=H947r6vIN$d!a@p}BTgU8zGAOQ?Q2)a?+87r;Mf6RI`_)R8*Wj^TpU zOVFcp<78V6NC4{XWrVURC@My`)l;{_Xm|{)lu%=JsErVc$0|p#dX(mrkI_)4>rg2Y zs(Dse1Kr}B1fc%#lGSN3pmt)BE`rr<4(yt_m+4U7Kqwxov!R0gpXS>Rqq@C2Y9f=bYq69a1FEgGvUB-AVgH9@x3 zb_m5|b%}>i|E4)~3o1qj@EjfLPzm+hjIah+@%uONpqik9?-9p<`sQYh)#ImP*UYWg zp^_4SdhiND{fFk-Vdc@G91^Op4s|nx;<5U5I-xF&0o6l?daqEhdLDXoZlY|f;}d{- zYbl|+C@3mMx79yyg3)kizfeMr(V-e36pvN5VD%8qDIcSuhU-uk3H8Oaum-xt_ynMS zsU@qEV?b@cQDb$}DcCi0m*`MmLnt1rGoga_A=6wttSWS<<0RC<3Tl#UtA8W_)km-@ zS5Q=p4q%cF_43Jr)%|7CIR(`{0jR}L3EFh;bu*8JyTv#ORiHyX0-<;T9Q_YM zT^j@HcpWNml3?}5)Ub2t7N;fvbstpleV7UXP+&hM(I#5LMUDU?Sj>PG^c!whB{S;`hBQiwQEXP1Kr}R1fYJpgsg_ffO`8{ zjn#D$sz!(U3PSN%odK1g?RA=Kht(V%>KF;tSwT&fZFNZkP`w1JX$p#p(EvgD72{l-UdJsbK0$6e}q0AUi$LdgD4iT(2 zqqBybL$^370jRs7g7=}ufO^pnqv6hev4lEbL7~Yw2EGNMc&rvIA=I-phX7JBI)G>C zP*w@`1BT0x2B2mp0JRA!LE9NIpuWN~UIeQL29rkaavkay2*qP{*J46_LUZk~x zCZT%jP}d{?^*v_npv@fvDoclYbC6*5FX+)Z3^r`5J_$fIE+SOAf}&z{TRn)iwTJ-D zkWeFas5KCZ7r-M0tGj7V`4|m#k`A?hAZg@&I5DgNR-+Su`fedv4T=Hv7S`4xSotN? zMLN`H5Q@j@bg1BW4`{9(Rx@>|qa{>F1%(-sZM7%?s3Qcc^Ar>nqXYO0*483etaI2|!gr1@8lKYx&C86?ksCyw4FM!20gt{^YR39Dc(>%fImGNQc z5Wo`?fVv$jLEDirpw=vh(eN0!P(q!nppXu-t+qfY9;-?>p`M~S1dxi+Z8chl%8*ds zjtgr5sw@GhjZnew0mp#)>`IN*iUFjNTc<<)1fh7WZd*X8oix`Dt3^805D9gd4s}%m zP~VItR8WsAL6&OJbf^3le}jRIoZnK~XU}fInevErQk4C(w58EoVvR6jWLQ zP!&)K+PB|20m3Dq(ttO2Mg2|zVK zC1@KS1M1@|G*)*WM;f`cI@EU%ipT1v`Gnd|bM3HNphM+LD4PzoECHym&m>eu45&06 z>eYUN)uYg(b2DUH9gzUk%kv18q@bu6-Bx!kh0$=gm@J`A)1g*DC|&@&3syJNoboXm zYM>6aH%G8~XLMKt-J&=Fs6Yi-9UlX#u~uW{l~8kas2vcB$Ldt51Z@p8*AA;GI@IA3 z>Q9%{m}x<27FH$z)lIM(ub`+H9l-DYp|N`MSlZ6LL5E670BR0Yg0`<|4y@XOTBbue zB~*?MbsL1@1+ef!Lj5BK)Db$=2ge9j{~i@~4gu_+0MzwR3EH~HfLe7qjD|b=dp*l#Y|C|xl0Mw)epk9PZ&~|DJs2!JStZwZ~ z8o8J1PuU391`5`g-ugiv#0K&9wV>$3%`hoDF2X34fX zGy$j=<`C+SOT&uMZFL)ug}cQ>33aj#^*Ds$1u#pnx;_R}e;sOfAHk~02s?*v;Ya}L z%h_agYz(LkmuRe(N~m%j>OBa>V|5Z#g0>fFPDMUCfRl8nLnPF%Bc%pB<#}~s0#H`L z>MR9C#b~HK7i+8@JDRq0uhpR}2|&$+O3?Nd&4E>0P*>I@G(p1*^5Ehn+(Jk4pgR8mI(qSuvm<3P30xD?esK z{2nCDwZrN{9jc#%%G9AQPXOvOC!uD>fU@XNFZB`uybpSGZZ1NO0Cr0NYV{04{jw;m z7~NJk@mM&3V zS_G@hB-At=Y8!;&u{sed_}w>}Q<0Aj;5Z$stAzS_xYW2nw$EQnggr0pf1;;PLWV{9qPISpoUB%)S?(r-E^p}M+#QY zI>OGOTO5-B)KyTy`wwG4t#rd^xUrfXb0QK={ger>x^?S9(>iNU5Yv$e!JvxVLCbpF|0jQ_XC)7_grxc^x>N*{2jD#Ab zLp=bYcmYfktgeayb&L-6#bJWgrc=Ytfz_}CpguZ}ta`P-m6 zV>J*e_&pq&YbSuEI@G}u>ia^efwc&>)rMoi?0I3+=R>O2C^}@8BCxNya1*MR?A{Q9j!yD7p83- z7IqG-h9m&>!6dReA_mlI%o7m-R4+_BM~8Y1Lh)GjhYH?*N^|W5(4|AE7p8qvAT@A8 zvaO~j0M$XTDppWbjBcw>k#Iz?QZG!yuWt(H6x5FpipOdaRPg>&nggr0pcd*->V;`N zbSPf}P`MKcRT%>+Lx)l?O#A21uyY9DQ3*g@0TsOeGzQcPq`VOUR4+^$uAngcu&thk zP`m(6oIt4CXbu6SVsu*#(V^4})3%=&)&P`|0Mz493EKL{fO-uVh7qjP3)3oesE;5N zkJZxggxWxJ?Xa4vL#Y?0CFxMr2|&F!giw=WK>dgnh6q;bg=sfKkIo_gVOw=d0P3-E zgxW)MN-?^vd^(hRVcPLJ)SVED7eI?(bwv!QqjV_s!nB6LVduaqKLMzB$CA~dF`%Bt z8dpRB)eFrk&kC?2chpn~5$rnz*;bPifC`>XD2IZgVsu-5 zgtfH@R_cXm|InfKLMR@qaZm}`-lI9NY744bhf*(0>#jq26M*U`SX~$cs*?_-UYPdC zz_4=&;Nb~CT@IC?%@PCZ&T=h)>V;{iDk!&Xt0y58FMwmuBGgSZhX7JBx~=kcDD}d$ zxAVgqVC75zY9&;Hw&P+zy*g84rCyjeM~C_VLh)E#R!XRsX|5eslXWQd!n8l~!Wv*T zKLMz1Pzl<`#emw2WxR;au3ngSy@INdZPg(GsE5Z8DnN5!MaAg0^6F6Pg=xp?P`5%T zUI2d|K&Z=OKpn0_sTZcb2)&SV2w-jkP+QL=RJRyVPhxE?B7o|JX=mwB>md}6)iHwA zN}6jYfKDAsy)f;o{!(M1Y^#Y0K>aqFtO^wr6{Fkg1FWq@uu?BfyF`cD4WW3fN}&?8 zZKFA`Y71(<4y9h0mZd{2O#rH|U^P1iR0kbOy)f;;+^}=#7KbDNbum)_gPC*qV0QCS=g0^E~ zK&_vuL8%v}&D5dZg-|?JOGXju1)4**Xp7ZE9ZJ10?U&=j8fdEv5`cOWDnVOm45-~$ z#)}A`dSTi%3TiPz4yYi6;<38_3_^WLb6`cq=(bv_L#Y?0_0gejOaN;CafG@!2Gk)s zlzL&>8t8?b1FL=sK)q2yC~FL;$FQ~*5kU3Aw9z`$OAv||z@r7L2WYOH02b*`>V;{a z^^+P)WLu3*0BWB>R>Kq&6{FkgU97D|uu?BfTckrZLnt0A7gX^6f0_fUwxBN1q0|f0 z4$`46O8~03U^OEKRB*D!O1&`c-kh*==oVcQfLaI@y#Jr(+F^C04y9h0cA|p1Shm%} z5Q-PTQ6mZES5Q=pZmWJelzL&>mSd%J3hI;upjJQy@Bfbh_0qXo0M!fA%5ra1(VK1Q<|t3#<5ru}qGSOaY}D*>q2pc1sXVn8*Yqp?yiOuI@!T_W4+HweXJ zbx$#&KBYOZqGB|w%XBF9!n9sGRDA+aKlUZm!Wd9pb*LsmBlj8Tg`7hG`z8Rj*-5C3 z7*G#S5&=vlgnD6`OUL;)B;rM{x1e-CZM74<({vn6P3^oet@Y=~>+vh&Iry!#SGd~X z&pa4QDY$+UW-`}hbLY2-IHG=!JjPGArP(QVs>OW@em`zCG}oTS=Cyr6b-(%k!R@|K z?e-CrdmVBuRk+o533SQt6ww}q51<}_K}8|$@t4J8*g9RfTuV%7oEp+FdhrJ(#@h+lR0b!<04iT?O{7Ayay%_N4 z;&Zo9TKsan1wHlap(hH(82I6z1RWX@EjmAtG>WISv@6qrfnW3XDbwSS(I+c#6ZbE6MEY`79|t z%b8x3;#k82s@=Q8K%-}IZ;K@fmFUp+$r~bo?LATHZEz?D9U;lN)E^&?}+*wBDm2OP9@T7CoKpSHo;%L&^Uf4tjjdNgmyv{=?8$+pSaFw4sC zD&XV!wS)Wf*7{lX8)k`5|IqOXY{VQ-;r(`tCE4A%G4&R12*qS~SI@6W?$bDW2@8|Y z-%xM(d-h|x?;mnBi(x;w(cm3q(>EEO4QZ&V*%PVK+z+0$Jg*qgf5S{oJ)5p?ObhO6 zWhOVoao;9Iu!#K947E>*vFzxc-Fb$Y*$??x^XnXlJo_;iIkR)z3)iN>_2wtLz`B{4 zMuEpDU}pX}5>=FGzMU2RaoIU8zcU->%?GTuH+zJRD?7*VZD?L5lw3TVx*nR%HK-7_ zQSk^Xrcm7XKK#s^ya7gVy>J$5@QcSA^Kf7?n?SWs^GKTW>`yw34VSYak}|l=F?>_9 ziwvJT+c12WW|tbi3jBn!Z)SFxXIRID^AT~bPFZ`^f*NRDl;$$0*$s1U55ruN)w~nE z4inIBh!}pOti<CxfJAHf)IKQrn4f$B#ucO{7N%^su?)&YL4>P?1Hgp z3ysa_j>b;m3GMIsGuBU9>a4wrfYI|2>;&APr1qC^e`a`J93L0nPlvUDGrYf*@`JI@ zIIw+He->due-sT|V4!s{UyfraoY`eApDP=IVgm-Oq?$P#W?f+HSc5as@;tkK^T#{{ zf;r6_g^*EZ_;!@|_BOwP-R36Nd3G-z?QLMlam<}P$Aujwac zX8sICW9pid)rjv~Z}i(?cz*44`Lp<&arv=kZUZE|dun=`h0k*}R^?KpFIX1<+&FZ~aY^Gsov3l=7t6|VM2$TE*Dh}IV^^6s?hs@|q_7&Oo zk{6+1L1oY!m11^tnj_L2*5?|Gr0zgnTxMr0-{Ngqw7|1J&06;@HW9X``(r}3 zKG$UMN4ZgDX}Io5FOg?jT?Xtr#uH~_%%kIJ7!kbvZ@zPqigZnp!_G`AlCfPQx&j{HRKO9Y)O{fpeZ7|FBD@6EV z8ul<^!rr3!g@|aawa!DcsXXZAU=FLWXKAizwfZJ@d0syu4g{OlKq^=tI0JtRuZN!7 zS$Km`KM^&7O#C5U{?0%rY_`s~g*^p6NBqL8g}6A}dcF#)IOT-9@K3}`fdxKB-wbim}kDHwRP^|*-AojA_w8(OOcO|PoH6x9ce9~?6< za7>Z21xAmG>>`dDh6`Jz&HWHk!}qG0+Jtn~@c!)1_BPbm(Kl+3=Lqo}YT5S9pHdD1 zJV7=I#+w|DHyCs-<)A~O7;iA>z^E8>uSKWL--%)Q z2jelr_pPJfkB)vnJ!Kbr+gk=u|5fKlmUO4D;-eItW=Ur0LB2yfl%_d-v(p?%FMJbG z-FT4U`OWS=1haJWM;(QaHJc3YPHP>98Vs$z9U#*UUf@2np?Xw+CoB5xXRUjHy~$s^%P_|`WBkwG%yEbp zHVCB?G4U5;PQYx2@pdi9iW9K;Kk3*qQ&+$&6nsN^VWTniC#a>TSQ;8rzr_|qjU?np zY(n&pL%3JmfX!d!=BA*{pm+Yvr%-|4DZ&oE|B0OCc^WZ%>+oJ0)HSb9vsh-;8)k7D z%;gFuVsky*2`mKrQ2sKD-qo=@8c9T4O4-%WcS5(>P+k@}VpvHiL-S?_57_=2ocF;`h{%nZzDj396 znZLUv|M!yoA4_^~boq9b4Exa7*W~Wu^7Y+nBZ1UyC<4=4`K@{VJo~m;@1P^RI7qQ< z*(OpE@rU`z&dc*v_UTy>4CJ_MI;HL1&qY1j>X61%7q-|;t>!l1{P$;`gKB(_kV-r2$BOLRZl?ATYBko-oE^IU zKz|hSqacqaa$<)~bhw!`?ERXwmQz`}`x(C146_9EH`javh@Ua0;C*6H37e}E-s0Lg z%kT})YCbsy`0vQw)Ndf)vRlQYz)Zba43SfKJ%;4o@L_wBI)NulZQ|i zYzX(i=X(HVEm=2z+5xWm8s!Y^4Z2^2W9PxJZ>Zs$nijMz8BRv2)x`2=ju4QAGet2n z3+pmCoZoa!%ERzYQD~In6-3CkBnsNjSX-u zE&BSK`bxuTU42$Z>uN{$Vs!sp>*@wv0wwjx-`s*ZtRcr~79CXVTURn{>!NgLhoUss zu=UlQJ?oO3!?sp`qM(s4NPi0Z1pf@er!MM1I3C6fTQQDd+DR5gO7hYI;pn@wmM(^y zHE+O;BDhfsHyYe8TUT#`8(BT_x3#3n_GrlYx#(Cs`Z-$tKEo48@~npw>#M(Pxju}4 z|DVJM%AMG7caHFHhV2zp8Am`;(pljp=xi$vv5X8f69US-nRAO_t_mw ze49)9t+TEkE`qp81~Hl5cb4Y^LuncZIeeQ&4_jBA*1+>Bm^tT%1pQA}FiSILhUZ9*9kPxKAo=lO&2 zso9R^cn+Hg)B;hoHpjnJb3AKZJx8<#hL%Ur82ON$lGURnBXmA`Hspk^IlgBS(gA%P zxpCbk7$!W8NyEOa{xC2P+p5=!{)YaQPI`g6k=VDkO)cCP{YM=7;WwcZ?0Z3x#Zq4% z?0b?b&sODUs$3schK>(c^`ZTX)&6~|OjhM^RSs0;Yo|%P(Ec{HACfym$yKYet16FE zWoK1}idD=K@&{K1Y__$IEiRLzaa~@1fJ={>ejR`Sqc)?9tm{;fFI7 zKe%WWAIw?egV|AhQdNoT81d<($}ClOQ)Q?~y{AZQuJp?C$;)Lqb%`u@RLXKw6uw1$ z`tOy;pZ!*rr>JtnH*$aC9$8+nTb9d@)_GXIll2z$35GU8`%(RO#UQC)G+37Jr^qs- z7K%$~KXhgChRWhY^@*1A7A%}mU69v*W<`ZXY!8jtc8h9ZYU+Y=_td%b7f!2~J9BE) zwCZW|%H8GFQ|C;ZKeM8|I*hicviicQ^QPvte)5M788&ceUP0dAsRK_OeB!Wy0|yNq zh;@l*xxx8^1`i%QbnuBorw+^;Iw*f&-jMu!i)HSDx$_19xpMlvsob7Ay?jpp^2O!- zSx+MaBEbMtP%vazLBZgugH9YYXxOm9g9i@kKXdNPX>R4>0l00dSS%w>8ZdrAdG&$; z7f+jCe&GOT<&2tn<@4PO28^8RHfp91m^iJvDtB!0fEiU4GY6E689uuILV@tt{B1Kh zvHfjRX~eK0`GW^fat&~oFL0~yN&&O|t(ZH1@qqln{f7=H z8CyKnIhMtMh2_&H~Kr`EzH@oiT0xjBxpZrMq3JajjAo%eXn^ z_UiHlH5G3A+y!=bWu<+=ylE8`*s@ROkt!TSKP#VU&l!KFGvvki`4`TwT%^1gyr#~Z z=AHvGIsHzu_g!EIv%U**`p!PV-gkCCyFI76+>KMU5Ib0tdFjny(Dha@I0gSJ@c&Z$ zzZ(Bf!~f9;+oIe>Cl0n(*UWd%omXz3HEnK1O?CN6P{hY>pD?%DT{ErXteW!bCE_4_ zn}rzmooS!G1pUW8&267Hb0+*=VDDQcl!RW$=c)zeH8U$gt)gWZA<{ZKv2RjPiIDtD>!8&xJ3 zOT8Yd?61mGRe825XR30cD(h9bLX|J7@*P!vp~^p1+5L2hlcUPvs+^!ow<<4HWxXoz zR^{`md|j0xjvuQ1uT}Z0Dm#vpc->W*t;!Qsd4?*-sdBn1D^$5ql~<_pDplU7%DYwh zkSbTHa*Zn2tMWBfzN5;IRoSe{?^XG`Dmxl_J9bz5d8%}(a*`^mRJmN0cdGJ5RW_-z zS(O&_DSmpWa z9bIkt!*Y6cdAV!u^y+EVODrRkMwYur)KphviY=|KoPjyZVjNgkGSf0DqITSz>hftb zQE91Rt!vr>cQMlltUz*qbmh#NigH6_!VZh&0oKvDLr#jkHHezAZe$WQRVDLhRXQwh zikc-0+~xDeVa|0dIDKwKIkzmI^T@clGcFuaiTT)KIX1auKA0lyzW4ynW0GCv(-t03 zZTbJ$`wsZHifiw=_wL=jt6i&0mb=^yZgRz7VLJih;#IdkvbRf!4w@?O4={n@(b zoH^5H&di*dITJT)dRy8!&q&errX0NEnCbNPchk&8299a_(`Lh97t&cd)FX?rsbyzZ zQhbM~ghHLw%YV1&$&WFOUmQ5;phj>7=_u4OU}vaC47Z z9kj*vwkG?imXJ%-kvPX{>`Es4M7!0L?C|ih@FZugcSnM!-VJ)iI&#xwyqwr2T=FG zu7r$MTp$vy3VK8&+Piz(;QtRo293h#7Bh?lW=7|VUL(ONeac7-^+?)Mvt?&*|DafF zqN#%-#ClVOwb^X%>+kFtY!~k^+tI>>Xf@mSpi=<)xJgq=;=a`EPIkBUp_XnlY3AC} zg>5i%V6eX{*(1dL1ogCHD)fxGTL%Zhd`n>OKxd+@zZ3Jb#P0Ua{(-^7Am+ydaC=s| zQMo1Bk`yFpKbEvU)t?f3T2R>H=aSZ++|`Lj-k)%nV82YIVi!8VHc(byll0wK zU?5n^PqHn6*{p~JiJ$1ZTly0%1LTyzlp2jv6r{LOe$yCePW4avzcJQO7pqyeRIk+Y z5$`|iztk77j$j_*eWU-oI(K%UmXbZYP!&1@R9A2R{^=!y268ddzyEYkd1j^Oz4h(E>OzfAKVWcf0AL~bDz|5tDth||OT4~@y6 zhdm_4f8ga($@$ut{JU#h{#O)#WK4dmPV*mR{;RE#J*f15sY&yf{7HrX>vTmwdsqBq z;6SCn_^*opkC<|d^i%vFfpVJpUsL>Jr+>*F&0oU&SBx1x*-%#DKlL{i{?0Mezx)H5 zzf>qWbL*J=pE;`ePne2-;YgW%oA!oF;2HQ(MPD#mh{vWugNg-Gc|#MgSH#KvU6qi*E!pK?XJfQvW7EvT}K44HU8(38o>T36-|c z4S`qJcYy$n1E~aFq8NGAy%S5-9^uGpR-9B(^ed6!(U9>Y@Sj6|^rHU(89-aIy#*UO znn-^BkM#5Vbwd0NEHY;a=sB>WPRQmk)JI<|)(2$>X-;zOf1}?8Y7E(hpokiPw(C27 zx81F+U0C=h*o~scp)?f1@7AMiz*#K0_jaPSovy+2MS}ucK|NQ4=aXmdIyB~e9DSf2 z|7qb2rHR_&R6`04ai2#MAEFZLOLi_>wKBn5NJt5C&_dmWewFxd3L4`6oK1HUsLWNJ zHpZ$FazoDDN1hB0?JAWFN&gNrMkRzqgWtb{@4K1Ai!C!~ND{jSc;8CgDkB(xUV-)k zW!Fr!LUGlZ6d#nKyG~5~%t%yt>F(=F(w;Bnfy;!(QCFNmV}iXp<-A>{jE2okD3hPa z@@(r(?CR>>+0vD0MNtfhrLt{NHHroadJH5|2E<%=ZEqc{r7iiv8thVS;9aV7W#}}> zehDmpl%cBA)e}^jpcSsQYHwBIU z^HEF3F$qaP(MQ|!$?EQwUCDJV14;2$B3D9>7>{Y~Zdo*)6ocYO{G7;87RFKx4F2y zc=@8`iGl4g>iRl#pz`{L z3UQTl>U0!;#8r`(lYZEo=e!Rc)tu>bsO)Ni(%L+QT>{a=2+=&%p-9Y`ju(R7b};8V znUEId%t0L<|gq}z?o0Ui^P{y^`hUH*V?ahYU4{4kbtxQ-KiE%TJAj@h%wvr9MH(={GC#}c-bh0$(7PpS%{*X4 z8t^28`8mcv416c>&oh1yI4n4uUts(yatHoJ#*dPFr!c?7_$|QOfZxOTG2p9&`DI4$ z0eZSH?`8Bj&?U(BeT+XwIP!fz<4+Nej6cBmbA%)34>JA&;md{j6~tI%bx#}osXwEyZ&EbhR4Ff zs@O_`z}~qC9ogDiUAL|tX1VNEk{i~#ZA~#@@51>DI7zk+Db4}`oLRz|wxDwzTDesd zSByOm0)tRg`xwPaHk!5)eI(wj%{BFP>l5*&1`%9HvQ@>ta=8c|lxTcQeRYK}FCw92 zl~(2Awq>-6 z;usWB(0LEMWgAk?I)S#{A=-EhWCx^pWi;sA0Hz$n!Xyq`FC!j241MGg@C2PF@z*ha zg}>pnKa-H;@Fj>7Tra~t_gR2>iP|MgSCxru^L_SQESn-Vy?catft>^ciQTHsT^+)F zzuhEpN7#zpywLs=DEW!z+S){8*_K$MtRYsm46_E){Gj~>ETp8MLbKc2i)EzwAr=@S z(umpq(14@tyof~+h6380Zp4^PJw%uvXa1-gHoD}+Ow5c_;QUlQhw%vxBU@6vAPzEjz8eI3 z@gXJ_Y9V|H`z}(1L~YB!xx&1Zsgom>)Mj;$g*d%TmKX+^NOO0$kAAuRFiO&zNy3Rs z147~o`x!;GL;+P9@f6NS<%Seat`g4@1yqD6UkX|F&KY0MR`b4CjJd%RehJ~5GDvauBRI2kL;NcCFLS-lBUDUyZ*%YDK*n}N2KA zLB+`*b2;Oggd@rojAsLH9T@5s=1QjIfnv_7<7U)0tKTTJP?j zUSXcWl!>4~XCTbgvNX(@lZhqS-HGpHEhu8HYN z%{Q;fG_j12)V8#Cklxn3mXj-Z#ZlkR3wUJtI(EaLhb@2fi6ojX^c&{kOf{DeM7SX~ z^)~M7-r3uw4kMXI*xm60mCgwBC_6My~(Gs1wb`h-vE(c_1EZDEuafH@nYk)Tx-0WfjMhZ2CiKsY#-raO^e>DyMSn{{{gu(?=tl{CmC>`K zQd9JrtX6a84pBhu=wj3{Ph502Olu05V48o+B&$wA=l!F%7);|&m|0oZq9k;i$zK$W zw~k4iNNydI8Rgb7g;8!DQyJyfF^y4f9mR}t>zK|FaqF1DD7TK8jB@Lk#VEIq*&GzN zjya5S>zKxvwIYU~^JAn%C)VS@1Vg4kyFiznD929Xy0Y?( zP=Vzhk$yjozm9Pq{)TA+6{aRCA%y!?P^TC)_&rVTb(nmJj4HVySyvu|HZfp7`X{(d z9qN%=YWAbF-o#uA3s%h~>_?pfN+bngRn^v4Y{o*?evJIt@uvECq9(Q_R^yuhVWhyk zQG|aUpj6p4V>10BFa@FKC9p@@(6l*TT~}FOCOX1b1z#yd*|+W#;j4qH*NI41pI+{W z@F#<(%Q4*nwIVriE)F+}@HI@#2C)e{d`S_$mPtjCwmr=vd>sc?40M|aA7*qGxynH) ze1u8!h(nQ%GHC&E$)mwETn>ov_3ThWyncrp*kL&w&{Vq01_%2)cj6$Y2;ay~tH?>} zEW@8-(wfK~+Qr3gGPYVp_|ripn@Uj(I^#=4_$DT=^OXn4HwPa=&6_i;A{~u`ZPh(} zLxUoGOR!RwQd|=2hXy6}R;D&fsyeD2{tOd$2s@=N!nXz6QA2*B2tOjLC+K8BdQaPe zHGbXZS`ir6XMPbokHuTC=}{YR+NQv|%{4W`AYwJdwEQj?7JVC*i-7#D5;lFyw}~Kq zF%5``5JBrmh2ltBbz{7&sk};r3BwM0eS^r5ETj&K5QKD8m(?|iC?T8cHrCZ|trO!2 zsfd+h`c@+{38`KpvLy$A90e>Dxe8b=@+8YDk*^4=M1dqA*g{1CG@c--2r43|sv*|6 zxu!`>AcRy$6A5T6tBjR3G?Z-FU?UXw@XfJ4u6zSa$Rxck^Rz`y z62*aHO1UbN1Dx({4Y5jb;DBr@DAHKN7L?C{1FFf?)Wp`K248<&ww!8()|NGH1dj^2 zXrOfi%EXI%0+g0d56zEBuO?zTu{ zILn~D*_O6l1Lxt+EfzQ%Y=gE5tVZIH^LIk>JU%#AiF55?Tpj$|wD5V!PT zLlB3t`}z>CAvJ{tZNtv#!@`osCS!&X!bE*eUp%HMGmTD2`m`Vy36OA0)|wZUJDKxhWuNvAvM1@ByW1fX1@f6jBmeXOxbj%)w^c zZtO%zXN*ZVAgEJ_H8lmpqP~rzK0#48VW*zT^EMT$x=8_y!V#yo4fS>5;>;kRdFOYjNAw=lVvw$|xtr~n`7bB%_DbT>m5 zr|~UC+O)78vlL-`TcOPh<%xddDT6e=#%O1v`TY)Y6 zK7f~r{7V4%ovO-xP@4aQu`X# zf6Kf22F^#zL$(N=(VeMgMNCt!?F*6T5KU$9F3vZe}BV)9S6bq%1mT$)w@An zbuRtcia$#Pvb*$RKuE^T`1IzM5-j%d*Lc1bzE=MTPUQ%A)pv;X3~CW~F-Ix2!WZ{} zYe^pkb{E{1-H$)*G9hcIueRisQlR1}@dk}m6VUosW#Z407eHAy3(!m8URDBNDvo_D zJ%ikeQ8r6sfaEXeY(VbLG}3GNR$0p>T)Q>=Z9*t#8p99t8P`hoIJ0%}_ad;bP3-C! z;s%N?>7LawDh-47gZh~Fl<}o9K@Y*tJ;g$trP?%kX(JUV_=!9ljia=;3vFAAf-0j4 z!Ms9r=xAiGua+Ty811+ek^r}M+WUrtu|Z`CntQZv(%G(nsDs3+c@31XmCt9_iHd&F zK!PZ26=^CidSNJORMcWk1uu<0#ugREJWZ$Klnz^!L$>E22PQc#H7mCT+O4ByAkiv! zU5stYDWIJOsO!j)*Vs-2bl57Oyy+ zJtYy9**A=`L}zzjBEfgejD-c%s6h3dYc!Tqs)*=L4_Y)ud6q(tuEb?@lIZtB<-2Ve zJyd=~9{D_5#%0Yj$o&+WQ4D7ySs*elf4AfbIv3!(j?#^xKCu(ZCal?v*`%=aBE~^1 zd1}*GsxzdH`WZ`9%FD+*hia^pTwx2h+L3jcrnK{V#%U^`g0G`m3TNUj1SMP^mowHx zfXmzhKVKMUD!hQ!0c9>LouM<f+$Tb;D2H8dbEYHNw{M(^XlN>z1c^y)(E*H3@z2 z9vh9g@6oI(X?#A{=%8|ib8(zw)y{lc*XX27g27>im|_@yh}D=V1%P3s zakxiWG4!iaNM-O2v>KC#GhiAg53w3k*iC#2?Zg!OEz&%^*+Wsfr;T|mO5dW;-_Jn8 zo7$%^LPwDx6i^?xVM`rc5;x0q-u0HGQ=ff)L+Sj5Os8MK@$ z2pUM_0{|l{=?_67%KAw1=kBUtNjA?qHTU1ZKTggvc~_;ry`g1~+<>s==h8F@+PiVF zp0?r63)tBi=ekw*&_R4_A-iOIF6Gz}T!-z{0bwm-*P?N16KQ+0zn64c!dje5iN=2E zIMRptw~wq6cA6zZYU3~FDZ}ctCU9sDdmx*xymELSa~E_r1FR$S9wH;t2`paRUQ#S$|K1^$|D6pd87a+ zk0c9}M~VRDktC4vNKr_6M37P*5#%b52$9Mo0({CNLbdXU;1uN%5mbB$uGt^`qDTjzZmD}OXD1U)i_6x8s{X<9p?z+ zaZa+xagHE&oFl{?=Lm7fIYMZhlN>b8DFEY~0x-@=7L0R>fN@R|Xq;0N8s`X7;~YWm zI7f&a=LqnPbA;+~j^LDWjtD%?DNv1b1nY5*V0WAe=b^Nn+a@;FDJJI*OYk8_@r zH>N!#t1W$;)8ToWBt((poCJ8BBY1>yPWtwZbBc|}IYQiVPIBsTju8JiN4RgC^P%oI zM>PL97gqZsR$(pLZ$|e%zfB(_Tej!)j{AKK1rbjkxhumcHGT-yqby+}?qx?Klb!l< zl)Q}SHMP3>XcSOyfY*6(ZI6cS7XDZxaLE0_q8 zf{6g1U?NltCW2E06A@T2DNqR}g0)~G*cD8Kc!G&ApI{=C1rvd;U{Z({OrDcpFlio- z_OPtV)Co9oNx>um7EA<>Aee~kpMWbi7EFY=f=O~}!9<8(FcIz(Og_{VOhoewCdWv> z`fTJGbp1nj(rdYsX5rLYCE9G54*s{{PMk3Eh!1mbgpqbt+L$22ILdx?M_-RH=n`;P zdY{1FGnC};w1?CFOG&t6dJc61a8uABls7+z+B>*+kaW0XN~mL;aQ)o?32AC&cIdE5 z-f>Qz)_}!{Np!v?slMJ-`0Y9)&lwFJ4MmJnCe65@(lLP*p~4idErfT&dfM6G0js8s}rT1g;Lt0*LD z2~wh#AXn59B1J6$K2b}k7PSPYh*~1Bs8yg6wFGNXORy_y3GqZNVLnkyD2rMGT~VtL zEowa{Ppm#9t1W#FsKfK-fJBj^Rst+)2_8YzO5eUYpkiZDONc9KC8rj(g!n}*;XYC8 zLtRlzG{2~|m9E1oxDZV=VkoJ{h9HdTMjfbH-fZU{y&nSeR^D-4xF63tstpMASnacb&wzA0c>2xeH=unS{7&v%AmM4r=vQO>cIJGqC1uGe) z;J8iV~8Zff9($VQFC+3z<>3J98#7Kox_Rtr#=wjyvtP*U z;(hRLiW}Y%;U^97{XLUETIlKT(f>b$pVN&2F=(W0j94gp(o(Y!B=GHx5h?{O1PM+N zf<#~;sKB>3Mo=PtAxOpI6M{a}6@oBNb1~p2#HWjoq#OWM9`e zK~uyV7s>&<&p~!5@j1v7kRf&uDGu=AutPRGh>y@@Q7pu6%bQ$lL_u zD&k5%>=%T>ysfjnomi@II3Fzk=Tjyt*Ie~uGSC;kFEN%88=zr)V0Kr_bLrVq#i zd~%v;FQg0w8mqU*5>3MX*dy>8P)GlQ7k!Mv9qnjeBvBiyg^h#6y0XUVa?C9&>l%ldV>X5Se7#lw!9GMB*PD&2|QxEgZiOB7tx zi1ku%1N*vhw;?^O^&D1aySxNzR4LpUGi=;dt3C~3BJ7P%QP|R23Sz9$!Ak15Le^*VQKiSJiDb(XQXn172N#iZP3@}-WAjrmT0p%PFR0_|6Rsh46 zKyL)P6ju-n=tUjl_CLYL#X!gJ6vmy@U93Y#_fNpZ4!n+o26h+a?-y_v6OGZ0=E88K zah#*q@^J>P;BSDSp0o#7OlXlJj1J>0gci15C@G_aipjr4Ic5K$R3bYNx6x%xIf(Dn z1<3C^5Wv(m0Itafuo1wk1S9}Fp97#9z^YtifVr z*@?x?j>Rv4%`nDfQ|4ri63v==H)M$-i{B&drpSV(=*>?cT46qz zZ`_PIWNFjPSqom5eZGd%00iZU-F-8!jCeo2OFom3H)XTXrCvQA1@B+Z$th@h;K zD#95=P}WHmVGR+Kby7uGO9W+|R1r#v&Tv;bo_*LW%lTcrjag&KXxJ&D#%KaAL$~vjSj{%p~NfmkzP-&f1p~rzL>!b>Q zjBw=peh&R9!jbU@7=Mm%KqHv`+=n3YrRmQPqElvQJ4cV$ zgi;gmOn>@PSt4NilVX>tBRiO6`cn}gh(JKuKEVXY9uQDc-(UiS4G54XPci}01q5mO zQ-uL>0)jOCsR$4wAV|}nif~X8r0GvZI4TL!^rs>mlLTe@Q&Em93ex&rof1VsV!x-8 zq9{o1GfX+5C`j(JOgUgtVwCAmP7PNE$vj#3hA5DxKS|OR`b>XPZ2*$3JcmD7qw>V5f+}mKVO2^bdhI)!r-W)Su zVk$bbe#gKK-u$^u@#lUA?WP6M$gE_lc66S`L!u~PUYd0?@WOb^GprV`n_M9r36H=>zv~II(D9sQJ!{*&OFQk&w_Sh#Bwr^Wc?b&6m+QI zC%D^*p+56NSVK*OT9Y;D1S(BBLA0GHzt~QsFKs8vFSZluOWTR`RojUKsqI8bbGH)- za<>x+akmo*akmo*q3uM;LEDK6z;>bnu$?Gbu$`y~*iMuL+D=py+D;@$ zZ6^}sZYL5Vw-X8QZ6^|{HR%MWXwr$mnsfy!O*+APJCR^_JCP7?JCQKob|Rs?ok*a& zov0AKo#;7vTZa$HYD+&}ro;1&mk~v7CrW^~6A2z+J5l=f9WPUCyq!phyPYUG^>!j5 zeoZ>zK25q0bv5ZkOVy-ruEVX|3aKf>Qgp&1tg(ptu;g9eb?=WaxsMou%{J9jE5WmGTpWf%0LTfwY9kjGtod*>_ z40@xT(8Iz|jZCdD&ZarqmE@LcMA>*}1{_`?hlS3W^nzjP6 zgOYI@QBv%Mw5&$dWVCIX&o;2db{66c(<~Eh&?n(?DAGWq@A<>$E=Dv=zp3lHb1~JmI}PW30FlCB9-jSaWH} z`YeK#YW)d7ht6`t|B3wdlhtXP% zO4(g}4z5;1xdfbcv`}a@=EFONXDHuwhSaYG?G?B1ykhtOVetEsc2^wV}5Hp zzd;-sKCOm5pL~Zrt;TUqt*6yc6er|qH54T~d<$(C|9@lx>^n8 z`FNVM>;DDzkL5{JDKe~`Hab~UDl)8H6A6}z46EaDNIF-MF_zXM>Q0dY^RIxXsJ9Cj zSm+U;#Rz;tqB3Se4@y)kHAo;umHOh!7ZJ`lzk=f)BFKQXf`j~KI%ckM8rGvBC@^4O zZ%~+dhUyu@*-e5f-=OE-XaWGcYG>uk;|Kvs3~iJ`F_j&6gGsd z80YF?z5(vMk@YYi!J<;iEuS7n$qx}q)x#*N8S?328dYh9wB`Z5>Z7(I+MzT(jPf32 zva5$t<&TjeT@R!9qi)#fp%>eVm>Ejd!>BN_CDpHoQQY}%5a`s0IEX?mlP|HA?;=HT z^)QM$IW$}kLnVeWCxq3#o@Qdrce#Bv=%ndzql3)2!oF2eEs;-EMmz;3nr=wpR}2YMnaw*hEObrL!KVSmrALJq1a{WNGU;j7)5~S1Oa9H z1QQ@FK|o1;g9#9iARsSKG6C`s1gVEnVL$+aAoVbc0Ko@>)WaykK}nE$7)3ZL2~rQE z2*)Hr>0uP*xS}Af-_4;;TvMS z)WeW$&hhDCs5XH6^e{xpej0yN^>yeFCWvvq7g%T}GS2@b%S#~Sj;yHpIf4kKD{55Z z!@Md*QKP7VP^zLvQPJDuBWY?ZOpt8nBZwsbDB9NqpfbyuunCm@v>u*>p$Xu)5ub#q zkpYo^{{+!}&L!K=QZ{+9yJ47BP6Pbqdh2G#vW>rh z6l&W8k&RBDq^B@&Ja*pI)uJT9YT;&he(>M4k3)yA(BO^LkM!!A%wW<5JFsa2qB~n zksPEBQ2^8-3V=F9vOpc82vCPe0;xk3h14MgDRl@zt~!JesSY8)rw$=ht3wD*QHKzL z)gcO0>JWmpI)q?X9YTmZ*3Ti#t&rog=vf^?F0ML6AzB^cIr-Hgn#ZF(?1ty5Lx@YN zLnOfJ5Q0ZghY;C+x>~WZI)o5c9U?ikI)o6vI)reaI>d*%>JXy&)gcodW7^|rij&$0 z69Z6@;%QE!nC2>yFxWrTien4YsSAi`Ws@*wP`f2f#IQ$vjdKjW?ws^$DfnTx2g~Zq zuu`6aalG~1a`mFGaSlxvz67VK{F<+^<5OU=4jtPMihKbuUM`HCp9P!IIIXjLmpq=N z2)`zOWJWVpeIc36L-A>Nj5mfLa4w{k1Y1rK*gJaKXt)+en_TG~suKAJ5jI82(zlpW zNx5<#8kTwpoxUYTG3Q9HsJA2B0xayOX_jRa;-wVZ0A6t-8DT7^ay1W?PKBihBnt(* zLfZaDKE>4ajR|);%D<-YN@ixqOlAou;*r0V%8EQf$^3uD zwosxm*0iBEo~W#;FKfb!jChc7$8L<;LAA1IAs8dC2wjTIe-McCIsik2)BTw{Ngo+b zY*W0Phr~}I0E3$zJTe^WaCsfI1G`(H2r;r~p8*!O`BGJ0 zdw(*S(2m)ZQ&`p0j_#E&-0(7Ll;b>h3{ki=#mFZcfK+ArC3qwH8;}hHWdC#U#yCYT z#2~FAtU-xypc2og^P3nUi#hBJhm0_Z&&dJh8q68q+`!k>xs%>nZyV}{sVlj)GuYAJ zHs;v!6c_PQQHF#Fu@~oB{}sj-SjklyJagwbw6dUQ824w$MNlg7%#No4yGp#EYb19W ze2k^iQwDgY0J9bzrtqZJEpX*;2xgt+}&^X{!;vI@}Mc{zM zFn&1e6ybeLaG>A64~!W#ctqSZ6XugfJz>Yj3AGkh$6Z}v@%JCozx)&L~ZGt`9q_U42xPci=FyQqU zOx*d|MiIC%pR7w`iR)nNvK4P~)WCrIfP9%W@TvU2$Z)eAIZ2k=m?H4$d`c=bN{*av z_K-b)cDjk3W;u=Y=7k8{oIjiNlr!gzk{>aT6W~ZCGVPX#z@7PDkVz5_rG-GdY7 zCimeAiZPK6agR%-iy~uUAIO>Dfr(L7@FG(gW1aSHxS-}TVblozi!YF9w#YKbA)DGS zl1Sde&WG_gCm(-c($$R^cOYcsERlB@T3lT8-=**?#Lpp-=_q|3qKACjNiocr1X)?i z3<>_`;dK8H7BTN?N2D=uV7=q+Kf`h|T3pvoG)iIYG1h_QAp6UDy_9%G2fWZ;c40?F zSC`t}1}oLyQMeSMLI>!nn7vd0KUWm>h_*2HQItz3BM^!)v1jFSUj}jLe|OT&qcGMQ zBtNn_)@%9uf8d)G>4mbC*HR>pf;1irh27*?7^Rf+JhVH7mJzyg%7}5cw6*ynoGT+d z$`NTXydPexF)FAC=Te0CfK;gJbuLw3{!}CY*Cteirw}))k!rBWSA(q>NXNfMP0`xH zgHEb5-y|ry1pcuSWS$%myKRM`43^N2rz#}wbf;_%H4TNtkw`)`fm z6`z5zH>68>w9?%wt5c(RDjPds&Y`VJ4*Y+}|@>|#T za>n_sYkLLb?pxRPN~Z8z*Y;_Q^IO;UD#m?pUE8NK&3)_IK7%Rxt!sO=EDir#*Y+Cb z@xOI#pUFh`t!sO2<`pQ%l((+!voar&Ww}N;RH(!ttHM(FO&Sl2z_6OJOEanJ^kG9^ zoxz1h?2tq5x=v|5sZVU?+t*~?2?6N7b!}hE$sOUXYx_EOOMmOyKAcGg$>}Lo_o$`+ zt!w)TyAOZs+CIuoqr7!(U(a!7N3TO3>>C)()#c#NjeTP#%`$XGp=U^W-`ajAGlcOj zdItscEXT`_THD{(S_Sd<+HUPzcNMU)D~R8`tp1Y){x(S!BeWpDebsvvhB% zZFyI3KMs?go9yQ!b;8ccq8@0@G$Xa0J;Pjc+126)!R5&wJ1Q}ZL<88N#k>nWLoq6p8j%ewFa zZ+DXHj!j?>#qt4OpTk6Un1xb8q14l}fwA)V>r8dp*@|mxtuFP zMlS3bOkTxgwNpGG?3wJa1`oXB(a6T3Zeh=2T4}_MT-dWY)`|#bkm(1J?K$jP$>GZ# z20jHK?73WFReo1`AP<)??Ro4N_mZaH9TfH{?AYvc)E-Y|w;hq1-j+5Tos`#v?fIPg z4)?YDhTSbV_em`m8D7B7T@h-Q6`lR^*v&qSFPMyRN=|ahqtf>JEE14wkI+^ZNE>GC^7X^ZNGXlIBV&y`I+R z_3cAhzrH`_LBn z6o@hLr*tj>VOQe0=y*(PB#ik6)r4`}4R=0;o8P}1TXOS12T%pLkOIuRpTb^59`Y_D zaPi*|CSI+9XT5qjk5?0YSW*2I^uiMSxrLTjs{s_%;?Fk-YX%?+C_4w($2a+FOcSCE zyE26zhU4i#CR_@@*i>{FpR<7%-3s6m024n?4h>>bTCQSy=}2)x=IkjBwbm%3w2Cjl z-_H^H`2&M9TH|IP?=# z8oQ?b0Hoq#)Pk4UZD3WI#kQ@HSf3n>$9&cL9)lu{bK)-I?$aL)|mPd7z zP0SNVG{*Z>lm}9x{6%V%)dO|CJ#;M}+p2$AoG{LKG9w8?a37B&`LY$lShGRBO#0^8NNB&LmGHwpMQ7yruMT_wd zJEY5(FC5t2vl!}aAWUOy>8RRmV%@uHWn$&>g;4n{lsc_tOWB(^!P(W{Nm$C851SA- zC$W-#>z2+ZeU#m&7S;>mv`ekF(}T(n8Y$1cg*vGS28Vi*(DSr)LVYU&#w*2;t4=c< znl{(PXb&z?6D!*i^AqEn8>`q74AdVk@AgbyHQMtgZr&xw!6|>l*57 zpp0&6sEO4<#o#(r#A5Npx`wj4@~T7}Z)jDkn|%R~W0+$Cd#+85IPB#GQonf}7Gx^o ziVEBcbDby?jb&Ap7?50AZT*&Jyz1oQ@v?@dYN*N^V(XE@vYHy`%Z52t)|9RHab$QL z6h&2aZGA-y`;7H*5lMAw^f^USosf_c*q(9YqL9jKnksN8H-*+*UbTLm$nuDd@tSJv ziPqPxPaup~BVMd=J>cE@3Q#MmE4L-KVizEWS8PUd#G5uQIo?oTTi+B*Y?5ikBR8%~ zWf?XiP%)L&&3N<1B{x>rtw)KYJ^>)l1)h@%BLUk$Rd^G}^}!`1$>Tk;7c&)ZqbM5g zR8_5-L^nQ-GK84Xv32Tgg) zyiv^eI4bKmHzYP}#?g?P>e}iivA}a`EU$`HY_5rkg&vKPu&zlgN~KiSmE*{RSnN^b z=r#?prp*m?jiSUOL#QQIl`LCz8eOAFgMbjrJZIhVxnoqb@g=UBVJbBPzG@+R;9YAgi_lVr+Y3`dYpyII28eE1SN3Bh#ao-YR|b+ z3N=&~Mg$i0VvXmFrk^NVzge8=k!m1v#99&V+>4Q@rN6bqH$+`z+@!~-vIaEZa>^}_ zPfUoDwB)hLPg=E(2P8>sh6v$tNaE`nx0c0WEt+ai5@afDP6Z~JorC)@L}r9(ZRu1~ zduYNjKa5Qx#$2!B3_HQYRj4v%Md)ab+I>#UK>bPRC~{4z$`Ku z_b2m=Z(9WQofD!0(dUE+M&oLzz~BT?3Rw=q+ZI7l2(Hz4DiQxVA;Nva+8cW8#Em_bxyer}43aC!KRE+ypbU#mkCoWmDZopa^YX#2&V6tOcb@?Zl;a3bLLxWq`vwb(i`?D zGv5w&k?|sGPnS@bOXxbR62FHi9l1STv>f0wAUSFWyXXbrky-E;HVDjEia(f9laG{W z`qMY)b7gGV9E+}xG6c00twY6@OR?>v(4+3Fr(sGYTHCRlG5~M?yb>^SjM+|J#BwG% zIWQ6{jUdg!I2MV}J#VWJ;2DwCk!p0iz$`&j3uCj*berhGEYJxEDF#$TfiX8~fD*O^ zIb`G+6e0yg)W|p$Dtyx|`6$p#xG_48g2R~>>BrW7vc@4K;?6D*bE6b!4gpXa&XG~% zxlz~@MZW7>q6LOgok#S->EK?B;K%0~5ei&77mfEJ6TH+xV@J_KPXh)@zT}>qhvct8 z)Ke&%D%`2;3FSbEoEFd-mOhH-!N+9i$EG7zw-{!CDbqt|qD-k6WKqsqWSkY1MQ>9S z#j@OH+itl*?SjL@R5h{+<}N~fgm}#J7Kzjlgg9k|%*?4CvkG^91U+VfN2P{P8l>V{ z=*39Z4i_y_aYp7w6^{^$*&9gJd7j6TDaMk#24i!uIkF94*y#{|Bd z^MEW9y26Jdwv`kH1xaC0YEJVJu#vIKmZ6;5xQTxU(4%v zZwbGzdwU^s=SJ67-7o0x`5}LIhtg4X_ps`K8M?DaRA-N-_QY{sccPw=nc6e5bkE3k z8@#Vhs1kFy_^FM+f9}ZbEe~AWd8k(My)q=Uz=tA?LJvJFN`a17GNi~)r@9=mxKE<^ zNMr=HoC>Ap*wHx-`%5^(>sh+(K~DP9L+SiS(}UEcCL*L0;fz-7klb4GQ_LABm6UX* zzt?jAPEq;`$QxvIxjL%(g;R5up>q})EoV_*&d@f-d5NZsX8KULW_hS;D%qaQN6Vy& z&h@jr!B(TYlC4M1QR~U=R8kU#Q-_<3(ejEe>DD506ZMix-C~?-_P#8uJpLoiEVUMb zx)!MM`^Gp2RqBp$VJ|f*-V8N9MRch|NtFI0iO~VbK8SfqYT`!efqIG%(NhFpY5n8n zGV)OK1~TRE1X4CzjubCUm&3m|@uHk#+NBF1V-5;1;`C zmM3i0Od;EAPms)B{h=4o93qb?GEw=SXK!9m-~~V}u#j%ljrYQ#9$2JWujWBhg&lsPlBA#>Cj0 z_ZIl)y@g(yP$z2MOA}*v-a8?+8%@-Gd{Szone5h7+DJYHb?+Oar=~WAX5f7y@ow*jnIyrRk)pECc? zR;8Ka#f%Q)rb^b7TEDm}l6+lp1-jx2{S`OfUvWjL^)f-%%S5g`nQLDqp_}-t$m>j0 zLA=_dDnPe!Q4~~q1u0=YUG>%(9`6{k(k~2EwEtMmxinh3j7usskj{;ZA zW)w9o*HOp{pCBDj&J!gCz@*e9c(pv)3!f@x3M#=b`hCMRrYqjCOiF_3KCeK1l7%|h zOutuZ9U;Sgv&M`}s8n4lo#BwB2BEy?cO8Mi>CsRd9hQD=; z(4{zKm@JJUGDn_#q>ORt9e%Vr!Bj-cNh-;(?z9=I(|Xfxf04;r3yscjLLv&F4BD*4D?YuNoVy z^A8?5a^!j|cae41dlw~lZM^>ew&$+ce$#Of|4nKaA zb(eAQsP*UfI?YS_zSY0|jE;b5T^eqOocP`DgMfb-+5q^&6q{o9k=# zy&J1Pv}I^$%kBrP`;7MW&dlnelB%`aoW-B4YqGxi-k(@sHU_On-+O^|ulx=s&vZ)q zJ1)KNF6(S(!{@KGD({uiHD6+79Cl_ni>;kMu?nAk=7{wP^YEcN4jp>jy7RryT379V zY~zvb)mGm@`MKFRc)2yhS!885-}mWvSOxc5ckaKy`Zmr)8~;|dc7b(lf4e!eket3Q z2CWD7Z@{-1JZr4+&))axORbVYE55-hQKYAYx!AChFaLC-)!-CcdCp;T0@~#o)Ql-s zQsX?SmMRjsAK49a$~YuQP5KS{-_zOE*4iSk(3Afk%lL0N>GX}2 zW^_pmI{>&B-bowZXxx5znp*P1nw8G_bmK$!S8Xpja^JwV?T>8VzGdyUtwZ>?-5NS* zMecoR;~lb4ZjzbmdP_4Ux|99=D&5^JgB>GFa{Z{hm1#H+SVhf0FwZEk&N1g0R@7Ov z*XnDxqUK!Vs=e0UgL`F4FO@0%_*8D7oJflVmo!XYxpFt?E9~jQU-+e9mv(Q z4q9c+)>%Kxecu{%p0z$}I4{@qJ8RF_zTEo3 zd(rT$BgV7VkKTK@$0|fibPhU8tO93)6}`8{TJ5x3dz!6Hhs&(+B5ThDr&*b6ti5Y0 ztcgzUZHKIT_P3uo;JkC~#b;L5ZM60^TZ>+{rXRMl+c6en__ZF~-)v2AiqAxwx55}c zTbHc5?DVJ#yhQ<&KfHRvyMxx>_ygR_qSU`=0fAXPg~PuX?%A7VRN3G zc$|l_ILq8~U!8kh8FRqm_H_*;fC(+wMZ=+4JmCE9bD) zbFWqU@?LZ$tMez{*uDLSXITU2IL%L3LoZ*r?G&ro$wi>WOE^?#`lrrYT+^|qf1vjL za%zY3zGzHmomBbIx->=7Ya1fes^ryg@!^vW=%mCO7LCek2VyuWapo&%DqDT|&?+?I zdzM3r4>`-7b@h9;IyJS`|9!^x71pc^DX?a zzu^ok_po(jKZ)}niAB~m`!6{10qbYtS?f})uKpsN;>Fg7B`xOy^!#S)`u*+B(c0A~ z&H(!b+s~}tX5F^`G3V1(`1X}nxpVuS6n@DC)y~lx=fD|e7|rrAl$j~MHcH81Zi9d5 zN+aISg6Y-v&RvT;aTHoC-U_2TOI9p9?R4Daf`u~A4@w1#9980rwUR&uJbKtdP^jpz z)UbU+gSsF_SHiH|-?g)AVJlgZ10PUvNam$?l)R*>Io?Qj8+M8`t+!ES>%);&k+JdY z_3yBTo~@~tG61RhAOGQT9q~GT2FxdAM?+2yS!cly29DH^H6l0=FMk!8IQ)vAcF$(_ zDoMpbr_53bY4;uMzEK4W_Y&z&t0np!%PE!L^Qo5bTbG$#omo_tSzMMmuPn2qEOXWR z%%_YTE0hxm=Gb;lFpv|qa>ilwRlkygQVD2Whp+MYDDHGVlzx5e{$);IS!Q-wW>Jo1 zi4ze2MF>@=x)TyWsUyC%dcoQHm-FW5$0J{`IOj z#|>ZA&sgbx4*v8KHk#&-%8lHQa=OypM+=woQvy}qG4}Tv4j1{!v1Caq0)gM)jlPJ17$TQrk;hXg>Xn_}3L1(s{tB%?VgJR-h`!HU;H}!qe}3cOp&ntH|8Z z`bP3Y@!f)O^rZOf)TZ!5x=^GjdWFjPh|hifiun-VIp|K*snE61!DLSxz5FQMMFF(k zzn037UzLAld^th0d|a>$nXE@HneOtl&Feoh9rXsT;5+#q9qXz88>zABtK)w8MlU4QZID6kwG zf+mn-*%UwVY14Q6T|Q4ItX0Lz^wH{t^6>&hg&v$?wO0Q8=_Gf3*8qpNQ`{N-m;ZP+a$E~KY$OTB%aURp zwo~fcr8T2r){1J$0F&!q+Yd^$OQXVKi~Z7Kj%Q0kxyi6cu=J!{VGYDJr^D$=Gv4Hj zL0jzcyt1(!nbK54Qi((oI=D`le}wt}{=uO>`VJVS?g$q)^sBcR{ATwe`3 zAe|&|DIV|dG3LITzmyXV-{;Y?HGIEEE7I@-9<5lz4|=qD8vcq$E79;H9&MF|PsPwk zKNLM#nM?gyH2=e|ioYnY)m+z2`~^wz`2I3?hwH0B`#Q(aO^X90*Zji)-(N1 z_eeT`b~m75(bMZw^jq!vYSg~Yb$vA|9`CQ_e!%mkx$pLT9cS>rJdziLf_}l#>->yR zK<9BB2i&-ZW#Vh>^qj}?B7?v5NIDt6bdwYR4TGP(K`f3{Pt0~=A2`7Wh$kC5FhK6fA+ORGjXSXJg$I)2nWZDRiakOYXz&4-)TqH9yQBsU(hCCg zGeqNS44#U~r6M(Gu-+v#ZepmdQ<1k}x*zsNlKj4v`VDLXZ(jOQMaEYRQW->U+HnB*1Su%3K~)Ayj8&L&-WfAvV0a&%Ym z*APv*V!RX#>o+PrxM<4&^&1WA^)6`HnooZ<#y|X}GUVI&Jzp+*k8(UwY!8J-LIC4vuoBVLjwfiD-BW`vk2>!+M1{V$Y7VhwJ!@ z{A%z^9*H6MGu+pv;l6Y_$MDrW+}E%o7-0YJ;V(M$hZsEOkr*2G(anbnPQy9OMFls^ z0~0i_-(PeLe>x!D?8u*nX{k-WvEt)VRt^;!c9b{iPs3WRIAUcozkpFX`9o!DFzJ#S zY0r&**Q*c7WQN?2t_01Bloz{>4CoLqV;sTg5O4KJ>ArN8eV!etoHYE9M=Kf4pKi<} z^h@n!q^f(39Z_s2G~cjqj425FqYP?)BSd!!vz+JpYSfi;yGPQU=zfp%D1%RWr0+BM zyhr*OgTL}fe`3%})Ub+UjyEhpwLjhTs9HQ&xl;4xxtj2;jEXe8g9AYsKc&MP_SG^E z1*$>qZ-jQJo!U4YN|uIaa{3V60i9GgI_>d&OhI%S{FqB>(x7hU;L@ND9RrseC<8nA zs{=H|CJi2BC(I-@r~?F-2EDL1Gr#-U!H^En5X~C=AUmO$G^hgvmj=DChqVnIkY+W- zp|k(Wfo2&BAR3e0PYJt`SrPhCC206+o*$zUUXYIdKMT0E&?_+XLlI~&;gYs}hCy$o zvQ2}JyIh-o%HRo?v`vGKyDr<5#oz>&v`vGHT+*f$44&nZwrOyyOWM@JV4F+Yromp9 zv`K>lE@_(v-{X=tY4H6nX`2Q=?vjR$m)p3&_jmu9ycA3c&z`ju{?8g-bud5<8PMhIJnsRM*B zonal|$pzHPDbc>i@a1b83$97?hB{xMGCuq-XFp}W!P9p+-_Y>anGs=?3=c(7X0roo z;7kVhd8BI@{I*B>1A}@`e1xiF4pHp-+N8rC^hi4FCp^;du!r?4W)`QqzM6H|@AXJJ z?C*J`;bH5Ek`B`SdSP!H9`?53VQ(8A_BJ;#2Iw#l{mRt`%{hJrgLGSl1rBOPS$a*uQ~gSUGmt)tUz1;KL~+|MG0bQZvJ0h4qVKzfcz zzu+%Ox`}M{qSHwxGi&q%mrnA99!V$pQyxhtS?eR=QH9|Bg`so#tKcts9$D6*-*`8m z#+3|i@JKqHI&tu*6Qxr!LN9)b1Na_)(aWR}FpBTj+?z)nT4%B2BK{)m0S2|d5u($+ zPH}z3wY>hiM|y<8AA2N9kN@{qPVX|8rKyHNFZy8;Z;&0?k`v+P!_#Oqk_L}v zhSA8DvndEj!JEJbwGH63&R95z_}MK)9CP8+u&AvY)RdI z(pIG%poreDiS-yuQeW%liL|y7Jg-=vhBJcH?HwIrTcrN1WrFT32|st-Y-_#th`{_s zTip{uQtfxpA*uedg9fEK>YzhXEjVaUsuKr2_qSUSO8lo z?w}bBYevJG(XiuSD}7ek9Z~l;NqujGwMqSv2vgn_Ui_s~78pRP!wwphsw`eXB~_W% z;oUQ~fyis%aDG>XFqc-I<%qr6G*+>JaKJTC* zslM2Kfy?hU31&HIq>{s+fy3tChrQNl*o(y^C7~w*$x*WW zPgwvYLM@ydwCc0eyX=tzQ|kOS7)v9C`ob%z)a&iB0c*LGk4WBWtHQZKtDGe{x-6w z$ZR01&1%0n>cu9h_eWTp<>U@q?KL?zU@eo%4VDz~`ir(IoEv1j0ZTGuk2JN^pNuf2 zkhJ@_rGcX#venNK}{J+;URKlr)q(uP~!j(=MkCWo=bhHOOgKTM~H) z0ZILYYNfK3w0f13b)=?R9Z6~}t$xO}8aQODCnM-CTYX;yB^k+iqhu+mtIizLWc_6 zA${-r_~K-Xdq?c|N2`;Q{;ORh*^q$0XjG!teY{97k=7 zhvad#*&(Q;`20l1A#7DE)Kgm>f=cQk{Egtx$U-Hxgg<`XV`QO{dIW!q&^4lY++L4p zxRcprQD``oE0!UQy@+Ud*D`~APCh2BaMTWX$iD@`#{`Y6cD&}Q4jwGH0E_XFGPz9@ z!l`!rU~0}(L#~ai+CDlxZApwiEm=ABj&cWyTj17~w=~Sf2dD_jkKwPi<*HYv!k7Gn zko!;WhWvO)!_VJrBTLal^wn8Q;PcVeS7Y`U3 zAWX8UdHgvf{FLQ%9O)s=LL3S2ub+t{q2xt}ppr_1LwtUpp|RYNwg1tCi{U;7m+3WfzP5kY6Oi7XX_G|D;cVGl}rKP>&+~{U$(T)Gr6J7?^&o7U5ml?(P+|F+FADezf|E zZ52iMCX5h6!>A)2+!e)77*TDGhSz@?e1f7_mB^cbJXnq+CxM)qi6h*Byy(4xh~-T$ zX!&>EnM&RNB65==mR|u7YS_Y|#Q7#FVtJ=?I9o;6Srf|-pchaAvcBLGkjAc~_#{GP z`A$01E1v^{zOR~ItZMm8rZ??b>?yQ*lWi4Qp87b;hjt!;EH`pPU+^Q3$bIxjue!8!FSLE;z;`|SJh%b-e8Ji06X4j`K2LAJK9|ti*yra^Yiu9x z4UB!<+c<$lf_EAvbXR^!dRWWUSf3$!nXPZIAg57 z3VckgJ_RJ956pVqjvYJtaD|zmwZ*><2s12bjvhU_Yb_eQNbI`d{vDFt8|Do|b94ZF zI0H1Kfh=4Ki_wRDqNSqJZuso%0~GP`^|my-_N_~^YlriDqg)rt+I4iqKuK%)z7$bF+31up{ufLM_T-{b5Pdxvt2?jdw&F|<#*;i@3O zYew+m<Ds!c8ki=9j>a*)uAa6XDBfW~>^ukJp&Tiq5LvieGYdM))5%tMXge zZSI;>7~yw2tMVZ*0Exl~&%mlC!bfnGn)!th{#F;^@+h%SL+|iw6UI9OxTs|@!k2Jm zy$Bxxoy}3^P13%o)EA-RoOnlzasDzi^w;y?;Aep5{H1L})#$Gw%-v=b{9!^{b4q_* zd6SHSC%}l~!7ubz0Kc12@NrxO5G%6JU4oslY(u~LK){udU+B|$=hJ<-h?7L2PyZAO zo2nb!i{$l3Wh5mZ(X7Sf|SM?XarEdK70nG#mZOCtJ85_P82!KT4dW?7a%zA7~ z;%c-W<7aushOzg6Tk6ZP;XL>-A{yD}a%}h!XTy7x&ID+~zr%9HWd2`;4Yki0^9yU( z(5|(1&?*=j&{^}aG)FV*((K#;l6Cm(JjzVEnk=@bPyfpK6oWe9Q<;fA0y^Wb=R__h@@?_eTZB}e@^n5?%p-V8gM@ulyjP!@Ak8h?zOMtdUh*B^q$_$%>RdNM)z zE6AA-b5y$F*dfg~Kyy)O2&DcGkfkSS19&+^@Ec&M71VG6d0f0~I8#GDdvQ%jDgQO+ zW%AdB+3$q&vOMqM)6vVV2gS<|VKAh90-VvycRMf7;tc;{MP@5@6CFaoct1-QMgrfo zX(Hh?2srlq!r2NxBWNSxo3NiQ9F^N3%R~Zmfv*o+FcNrIcC|<#%_6Rkz){Qstu6C} zydu%^1n6uw_Fkqh#Q2@GROTt`chEK}H?k++jK{_x5m1}hV4FOUw1<>|EI>m;Bk^No zQD=U~De?J*UGr}wOE~E*l%Wgb=cPyyCQIDcuxE^)&*JkPlld>flzu#uE8~a1US_hy zjX3jJN&GCi_|ch$d>B7xT>Mml&@O&q{5%7bm`rk~CIC6(hj#-_{4o3Z`j8{zXAXaB z#SdrmTVXjfn=^|1BJtA-8WTU>4+=P9zWFHqw2cj|N=Ww}(DXrPc8FsvmHHjV2C5Ol z*9a}4J)N1HJ;lL)2AXs0(0m6pE`CB;S1>Pp%WCNIn9-TC_-(L%6H>~5I*-vlqJ^Q7 z6^QFa3!mH2g>iTW!DHg^Q#jLwarj_C;_xdF_OBHYO^m%!GXXhc?Dx>r#Mr+FqRALz%t7nL*f`8)VoZ-Mi7|d1-^3VhRR-hL zj!g6n1GT@F9{k(YV+>7dc$I$L(L`PjThYt3zhA`53+M%XyVg204O4`%stpk`rI+7; zZW=HDyf4U}(aZk<4=$N(a|Wo+6!h}z;bP-uq^1Uzr5|khhwb#e+9G4@SR=5jVp1@L*;w4^AGKK8{DmGGgbjG4I**Is~6+@VTl~=G!(B zLYh6G+3IKr4FVZ)G(ok!yQ8bO%X!(whS7(9=NJBXrzR>oHfRBm#foHrC^qyRMUpc% z-tJ=K8lQ>f$JppNA+f>Nwr!3{GF8UL@3`3bGC-e|%tXtG4QAQamRBnt1QQ)d zfw)9rmT19%HSu%77i4LfCHA`bc_UCw#w@|lSl5dmKKWrXfd1tXisM`BmaR`4jZ@Oh zfu_%kgnNOEc*y-gPI<_G1~UI0>Ylb4{=WigdnS%t0J7i^S;-iEXlH&=Elm|%k@#VT z#Kkppi_X-A9L4_tVnuTAJ8$hiKyAOn`IE|5odP)|NeN<`s#k4}r#H@JK^h98C+*bhXhW5uOe$j|g|q*e{_a?G3~}1LJI%YA^EU#dSTG5Ih{{pfO0- zA|k;UK0-(24xQ_?4u^j;eYh#<7nSq{CB3So^D}6xkIi)>O-X-VNk6NkpHk9~E9sL>N#Cse zenCk;tE4X~>D8vB|ALav`+lC6v)r|NNcROLeYPp-E6Vb7s_z3z`er44t|{qPsJ@?5 z(oZYtcPr_qnv%}jcb-+dmX!2)CH?J6`g~K;mzCv5l=O^}{#7;0oNh|`Ce`<4C4E6j zzgP8rp(*KKRehgT()TIpykFpFn=?&G-=g|{QAuA?($6aCi%m&SE9qPydvTauR?`1e zNk7|^^j;-Bqoi|T?CI0}Vl1$I%P%!0{l}H`^UCsPRNsGF_5EB^(yvw0FDdEgl=Lqu z>B~(?Z&T7&l=MX<{Q)KYd{ff1O8Qpi_e)Cp^O1D>ZKk(DXR{X`&mAjZQ5q|g<=hmZ zC~J+^CuhpXQmI&Gv+INv=>=pai|l+k2E#pCBbwx{tr3mcwRQ6Tdl$~hUe~A6cZjy1@=m{meW9=0$uTIl*qr^s|Pu7zDx~v`a8I|X^ zs+hk7Z`j;obndRJIqrf)%VkObk4k!4Nx!J1->RfvY)X1nNk1$8lKTnSQ%d?xFom^- zwfv=~q}P@7B_(}cNk6Qlr=BzScrNeG$*huoPDwwlq>m}-o0^jTZY7;}Q>|4^jAfUU z^v9I+wx*_Ynv(uWB|W41o>G0kQ}umcQ_}BL(w9}=7gXPmtEd}jO8S_RzM!O6m301QpKtl$ zrle0Q>1UMmStWgol0MRu^f@J+PuSXi*-U#zNuO8JC!3N!ucTj6($6XBPb%rvrlfyR zNpDjzzoMjXRWU!?l=KB9eT$NQK}o+^NuO&<`t3^kyt4d=lD?v{&8eoO4=d@Xl=NXG zozKbG$h3KWzA5R8N_wxd{3ey_=9T47HzmEKq%W$z&#At*tC(MCN;;pnu{N~(iwjEn zStXsn;OJZaOjFW_l=L|zeLzWHRDNG(nofzJ^SQdfgoLd z(fG16ep_-}bZ_32%mB{I!l$QSao(pmZ&92x8{j;kI1eb!X~lWh1~?BX&cllHR>gUE z1DuBy=Mlv@qc~SL!1;E?nWNI?XY0XU#rf0*IFBgKRmHhaasJ>2IFBjLvx@UR#rg9a z;5?}~&neCWiu3XYIF}UXQ;PGj;(TEPoU4lSyy85fIHx2>>1^Z1U|n%OtvF9A&RaIX zc~)^=P@JoZb2j2^BC~TVvX>XD8_7S}*(B$#GfC+^cRCH3A;0@BKH2Fp?L=oS#=xaJ|Oa`t3l2Hku{P* z{s>4lmpC;dqvXI(2we;&x3NAsh2 zoO7$^i!FS>)1$ebh&NL96Y+eJ1F`~JM}02?*=!nG4c`SM4J7K<`+y94$VY)l`H_~! zPkufOnmJGB$AL%&Fuu5?IB&uuqFY?6Ai#I5gCG_I`WZARxZ9wF62SZZ?GVjsc2_%*3i$(Z7K(=_XaX*j?9`Ybtd6s_|$a%+^ z@smgXVKH_NrV3N}>dDkTFZ+ENt>!$=-v(mtW=K!JPg$PdUuGA`k(Unvx#*-*Y5_JLCO8S^Q#%~)z5u0%0* z9yGFEC3k%18pwz@mY)N{CpM#gwc@Gs1&`($AoCt_J&;UHLw;xOz|f-Ci*C?VJ){qa z?4XT4w*ldOiAZ`42%j{FkhcIi?b+}HK=^rgWU)^JiLwp9i&rey$Imzo+4qM*Gmm4$ z`F{YJ^EiJ8$dZR#!3XD2BCB2vWS3!q-U!6^#T1Z5w2Jik6(F0u zR`&oIalHugxe~HpYS|&w?ERpTbrfge@u|vKA+_Xj{x9U?>HNn)PI*238jv<96ZPUE zkY2ANP-SJ!A)vO`fbb8&{~nKcL0&k z8KDHqZvZ*vaeffUq~ja}oIgP0Y-sYv9ZW4TS?6%N3y2xZ0bT(=#+(T>8r_fLtm^ga z>p%uPx3YYeBOV(M%b?_jxow51MH8Mb14yF1l7k z_E47VJCP9}a~?7U-`H#a^@vQzAK)^W0=LsNbuhla^ zR-E)8H(IIH(RZ(>-v!NG-gv(n-^S>3t*C7`klC=6WYJwfhCMz5Y~}TR6v(8<=LC?m zUWES|`FJ{i0?3@F&zFF-InF^)DRbF9=jrn(Xqca)_<0=2CLkul8HdH-j_{p?QOxtZ z_{*Sy0vu*2Emx*eAH>lh!F0V=&QDd(dp*4dG?%=7y%GpZHF8w zYQfP^#xp=JcpCnz%^2ODte*wKy-Z}o8-dI^>Ev?+$byI50i@4E?gMffw1!U*?yL|$ zo<5%fO`9kEzXRbgi1O;wK$g7t`7V&ON0Yt^QTLpAhQatf3uM9T=`BFW77cA|ycx)d z$N6ry!gZrFDya_vnfGLU8ptJ&^J73*VzCOu&j8N=IqUWPAA#J6xjX9Vl~*H&d%d_G z2$eB3S)8?x6-H+!@Y@)<@TS*chqNPWD!)C;wJx$;=4P)h~)K7Wv}R)b<0ued{i=4$m& z33I{1Q@L_(qA-@LR{6HuI=>u_wa_4mX8=v+rh|OGUI9CiS1FAZX7klLu316JMzJ!eo14wh(?(9yg1F6P4PrU@FK&r8m>t+qJ#7Hydp0-nMP~_H4Fu zn>FZ;(uv&3>7b*dH|Xe21@5#n=;(@_b_5++ciPn*bacA2>~^${&cG+*&bDn2dZUxx zpvRp+frv#%PY`kF*cO1n&PurszL}t7TPiqMsP8J|OTo6z06My}jsPmUvrGUD<*aji zfOfVw*-WrKwXQ)Lny#a#YkOx;rZkX(?MI*%bETl8cN;~!)2
}uHt)ak%s%1O2WwY6yY6{=Xs1i;PM54Y3Y zk5+0YL0K&nxAk@hwV86gI8^{O#vb0m2_`3yF{oF2cV}k?u_|d}aQ8mL%?*BciR3}S zr4w?1LIrTZFvE`*P0a+=@U2E+b=Fj!iWAf0xngOiR!9YV2Sc;t2Y(L32DPye*)3Ve zV&O-0*Riwt8Qdc{8RRRKV?`!ie)mw}xI9%Y6{ZU1y3cOF*ms&;biBf@Sccfim{+Qh zVyqHOlq#c$Ze~+7;;qHNys!hmz+fW3ct-&`$BF6jP=b5`mL?C56;6h+;9tob!#k7X z>#{)}BtjjOiqq(WIAo}QklV4*9Ci}`gA?6ZGo!Fw4URrua7{HqZfq>N8h?k{4U`hb z@U5Jd@P^g>Q zYTQY7FABxM)ep9*@O?+PWonng)$nDhATt)J#uPZP|KQ%+g8c^vhj#S$2fKFPd}MEM zV8_tD-G>j0?zAzpE0%yWC4@Q?6bA7m&I@JyCRia1Fd4`q!ZWD77ZRpe1Vm-pi-gdO zW?LB9bTEvVA;{Pl^)wq6mrMfgJ2EY zw}*@D_>RK_TYn`tX8XxNMZXunGL(tYS;e)k|UVli1(cX9%1il+ghKkIX zh!U=%kVL1X6Eb;Vdf~t<;KyCY+;|A53z;2Fr`MWD?I$tTJf3qY#s&?awh9^yE&HjT zCq|v6*Q6yn-t)60h43H=1>t5@w=g-q17y80F1fNx84vekK|Y{P#F^!hEsUIy5UO4 ze$0^yR-X@(2@i|3P&4aincBliBR(UW^juGdq&L#B$Is8yaf=2#)1YW!_J?I zwPKIE-~^But&KCjNg^uMCpQIPsRXB&QzMhbEVjy=z z7?I&8ie?KNb=Xa=F^_mVCWEh?3(p7$to0iDcs|&8dCO|g#QP) CGWVDO literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..dc83098cc13e92892e12eb19d10d4ab8077ab16f GIT binary patch literal 6763 zcmeHLSx*8n5C-r2zR&f3(`7YL-!wccMqY?-#t=0I*KqjvwbOP;*?!1M77e76ly<(E z>G5^ibSdOtOJ4r*vv_h}tW?8t(ewRdKjR&xl#GZf&4n6Lv(Y|bvC)2r4nTAeqC*fJ zhA541&tVjzV+fV&qrSD!L`lX)u{xH{WR;BxsgZ6k zDkSD{X{Jj@{X_nynDLShZA_3F>d_pgU0u&iR%jV$M&i(xQHPjSur^z5{ zijYq6N1wH=#O;A%s8f63`2U%KzsNuOqk-i%%-24`a+P)e^g82TT>5e65%@vi)!v^t z{;l#7eq245;>Ua0+|6B%91*kII8yJ3rszJVrbK literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c new file mode 100644 index 0000000..0814fcf --- /dev/null +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c @@ -0,0 +1,8216 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/IOBM.v"; +static int ng1[] = {1, 0}; +static int ng2[] = {0, 0}; +static int ng3[] = {19, 0}; +static int ng4[] = {16, 0}; +static int ng5[] = {7, 0}; +static int ng6[] = {2, 0}; +static int ng7[] = {3, 0}; +static int ng8[] = {4, 0}; +static int ng9[] = {5, 0}; +static int ng10[] = {6, 0}; + + + +static void Always_13_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + +LAB0: t1 = (t0 + 8288U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(13, ng0); + t2 = (t0 + 12576); + *((int *)t2) = 1; + t3 = (t0 + 8320); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(13, ng0); + +LAB5: xsi_set_current_line(13, ng0); + t4 = (t0 + 2328U); + t5 = *((char **)t4); + t4 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_17_1(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + +LAB0: t1 = (t0 + 8536U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(17, ng0); + t2 = (t0 + 12592); + *((int *)t2) = 1; + t3 = (t0 + 8568); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(17, ng0); + +LAB5: xsi_set_current_line(18, ng0); + t5 = (t0 + 1528U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); + xsi_set_current_line(19, ng0); + t2 = (t0 + 1688U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB15; + +LAB13: if (*((unsigned int *)t2) == 0) + goto LAB12; + +LAB14: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB15: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB17; + +LAB16: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 5768); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(20, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB21; + +LAB19: if (*((unsigned int *)t2) == 0) + goto LAB18; + +LAB20: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB21: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB23; + +LAB22: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6088); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(21, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t2) == 0) + goto LAB24; + +LAB26: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB27: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB29; + +LAB28: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6408); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: *((unsigned int *)t4) = 1; + goto LAB15; + +LAB17: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB16; + +LAB18: *((unsigned int *)t4) = 1; + goto LAB21; + +LAB23: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB22; + +LAB24: *((unsigned int *)t4) = 1; + goto LAB27; + +LAB29: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB28; + +} + +static void Always_23_2(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + +LAB0: t1 = (t0 + 8784U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(23, ng0); + t2 = (t0 + 12608); + *((int *)t2) = 1; + t3 = (t0 + 8816); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(23, ng0); + +LAB5: xsi_set_current_line(24, ng0); + t5 = (t0 + 1528U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); + xsi_set_current_line(25, ng0); + t2 = (t0 + 1688U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB15; + +LAB13: if (*((unsigned int *)t2) == 0) + goto LAB12; + +LAB14: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB15: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB17; + +LAB16: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(26, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB21; + +LAB19: if (*((unsigned int *)t2) == 0) + goto LAB18; + +LAB20: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB21: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB23; + +LAB22: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6248); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(27, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t2) == 0) + goto LAB24; + +LAB26: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB27: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB29; + +LAB28: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6568); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: *((unsigned int *)t4) = 1; + goto LAB15; + +LAB17: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB16; + +LAB18: *((unsigned int *)t4) = 1; + goto LAB21; + +LAB23: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB22; + +LAB24: *((unsigned int *)t4) = 1; + goto LAB27; + +LAB29: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB28; + +} + +static void NetDecl_29_3(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9032U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(29, ng0); + t2 = (t0 + 5448); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 12896); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12624); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 5608); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void NetDecl_30_4(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9280U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(30, ng0); + t2 = (t0 + 6088); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 12960); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12640); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 6248); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void NetDecl_31_5(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9528U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(31, ng0); + t2 = (t0 + 5768); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 13024); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12656); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 5928); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void NetDecl_32_6(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9776U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(32, ng0); + t2 = (t0 + 6408); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 13088); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12672); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 6568); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void Always_38_7(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + +LAB0: t1 = (t0 + 10024U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(38, ng0); + t2 = (t0 + 12688); + *((int *)t2) = 1; + t3 = (t0 + 10056); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(38, ng0); + +LAB5: xsi_set_current_line(38, ng0); + t4 = (t0 + 1368U); + t5 = *((char **)t4); + t4 = (t0 + 6888); + xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_39_8(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: t1 = (t0 + 10272U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(39, ng0); + t2 = (t0 + 12704); + *((int *)t2) = 1; + t3 = (t0 + 10304); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(39, ng0); + +LAB5: xsi_set_current_line(39, ng0); + t4 = (t0 + 6888); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t0 + 7048); + xsi_vlogvar_wait_assign_value(t7, t6, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_40_9(char *t0) +{ + char t7[8]; + char t19[8]; + char t40[8]; + char t48[8]; + char t88[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + char *t20; + char *t21; + char *t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + char *t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + char *t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + unsigned int t49; + unsigned int t50; + unsigned int t51; + char *t52; + char *t53; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + int t72; + int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + char *t86; + char *t87; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + char *t97; + char *t98; + +LAB0: t1 = (t0 + 10520U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(40, ng0); + t2 = (t0 + 12720); + *((int *)t2) = 1; + t3 = (t0 + 10552); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(40, ng0); + +LAB5: xsi_set_current_line(41, ng0); + t4 = (t0 + 7048); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memset(t7, 0, 8); + t8 = (t6 + 4); + t9 = *((unsigned int *)t8); + t10 = (~(t9)); + t11 = *((unsigned int *)t6); + t12 = (t11 & t10); + t13 = (t12 & 1U); + if (t13 != 0) + goto LAB6; + +LAB7: if (*((unsigned int *)t8) != 0) + goto LAB8; + +LAB9: t15 = (t7 + 4); + t16 = *((unsigned int *)t7); + t17 = *((unsigned int *)t15); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB10; + +LAB11: memcpy(t48, t7, 8); + +LAB12: t80 = (t48 + 4); + t81 = *((unsigned int *)t80); + t82 = (~(t81)); + t83 = *((unsigned int *)t48); + t84 = (t83 & t82); + t85 = (t84 != 0); + if (t85 > 0) + goto LAB26; + +LAB27: xsi_set_current_line(42, ng0); + t2 = (t0 + 6728); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t7, 0, 8); + t6 = (t4 + 4); + t8 = (t5 + 4); + t9 = *((unsigned int *)t4); + t10 = *((unsigned int *)t5); + t11 = (t9 ^ t10); + t12 = *((unsigned int *)t6); + t13 = *((unsigned int *)t8); + t16 = (t12 ^ t13); + t17 = (t11 | t16); + t18 = *((unsigned int *)t6); + t24 = *((unsigned int *)t8); + t25 = (t18 | t24); + t26 = (~(t25)); + t27 = (t17 & t26); + if (t27 != 0) + goto LAB32; + +LAB29: if (t25 != 0) + goto LAB31; + +LAB30: *((unsigned int *)t7) = 1; + +LAB32: memset(t19, 0, 8); + t15 = (t7 + 4); + t28 = *((unsigned int *)t15); + t32 = (~(t28)); + t33 = *((unsigned int *)t7); + t34 = (t33 & t32); + t35 = (t34 & 1U); + if (t35 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t15) != 0) + goto LAB35; + +LAB36: t21 = (t19 + 4); + t36 = *((unsigned int *)t19); + t37 = (!(t36)); + t38 = *((unsigned int *)t21); + t39 = (t37 || t38); + if (t39 > 0) + goto LAB37; + +LAB38: memcpy(t88, t19, 8); + +LAB39: t87 = (t88 + 4); + t92 = *((unsigned int *)t87); + t93 = (~(t92)); + t94 = *((unsigned int *)t88); + t95 = (t94 & t93); + t96 = (t95 != 0); + if (t96 > 0) + goto LAB51; + +LAB52: xsi_set_current_line(43, ng0); + t2 = (t0 + 6728); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng1))); + memset(t7, 0, 8); + xsi_vlog_unsigned_add(t7, 32, t4, 5, t5, 32); + t6 = (t0 + 6728); + xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 5, 0LL); + +LAB53: +LAB28: goto LAB2; + +LAB6: *((unsigned int *)t7) = 1; + goto LAB9; + +LAB8: t14 = (t7 + 4); + *((unsigned int *)t7) = 1; + *((unsigned int *)t14) = 1; + goto LAB9; + +LAB10: t20 = (t0 + 6888); + t21 = (t20 + 56U); + t22 = *((char **)t21); + memset(t19, 0, 8); + t23 = (t22 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t22); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB16; + +LAB14: if (*((unsigned int *)t23) == 0) + goto LAB13; + +LAB15: t29 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t29) = 1; + +LAB16: t30 = (t19 + 4); + t31 = (t22 + 4); + t32 = *((unsigned int *)t22); + t33 = (~(t32)); + *((unsigned int *)t19) = t33; + *((unsigned int *)t30) = 0; + if (*((unsigned int *)t31) != 0) + goto LAB18; + +LAB17: t38 = *((unsigned int *)t19); + *((unsigned int *)t19) = (t38 & 1U); + t39 = *((unsigned int *)t30); + *((unsigned int *)t30) = (t39 & 1U); + memset(t40, 0, 8); + t41 = (t19 + 4); + t42 = *((unsigned int *)t41); + t43 = (~(t42)); + t44 = *((unsigned int *)t19); + t45 = (t44 & t43); + t46 = (t45 & 1U); + if (t46 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t41) != 0) + goto LAB21; + +LAB22: t49 = *((unsigned int *)t7); + t50 = *((unsigned int *)t40); + t51 = (t49 & t50); + *((unsigned int *)t48) = t51; + t52 = (t7 + 4); + t53 = (t40 + 4); + t54 = (t48 + 4); + t55 = *((unsigned int *)t52); + t56 = *((unsigned int *)t53); + t57 = (t55 | t56); + *((unsigned int *)t54) = t57; + t58 = *((unsigned int *)t54); + t59 = (t58 != 0); + if (t59 == 1) + goto LAB23; + +LAB24: +LAB25: goto LAB12; + +LAB13: *((unsigned int *)t19) = 1; + goto LAB16; + +LAB18: t34 = *((unsigned int *)t19); + t35 = *((unsigned int *)t31); + *((unsigned int *)t19) = (t34 | t35); + t36 = *((unsigned int *)t30); + t37 = *((unsigned int *)t31); + *((unsigned int *)t30) = (t36 | t37); + goto LAB17; + +LAB19: *((unsigned int *)t40) = 1; + goto LAB22; + +LAB21: t47 = (t40 + 4); + *((unsigned int *)t40) = 1; + *((unsigned int *)t47) = 1; + goto LAB22; + +LAB23: t60 = *((unsigned int *)t48); + t61 = *((unsigned int *)t54); + *((unsigned int *)t48) = (t60 | t61); + t62 = (t7 + 4); + t63 = (t40 + 4); + t64 = *((unsigned int *)t7); + t65 = (~(t64)); + t66 = *((unsigned int *)t62); + t67 = (~(t66)); + t68 = *((unsigned int *)t40); + t69 = (~(t68)); + t70 = *((unsigned int *)t63); + t71 = (~(t70)); + t72 = (t65 & t67); + t73 = (t69 & t71); + t74 = (~(t72)); + t75 = (~(t73)); + t76 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t76 & t74); + t77 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t77 & t75); + t78 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t78 & t74); + t79 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t79 & t75); + goto LAB25; + +LAB26: xsi_set_current_line(41, ng0); + t86 = ((char*)((ng1))); + t87 = (t0 + 6728); + xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 5, 0LL); + goto LAB28; + +LAB31: t14 = (t7 + 4); + *((unsigned int *)t7) = 1; + *((unsigned int *)t14) = 1; + goto LAB32; + +LAB33: *((unsigned int *)t19) = 1; + goto LAB36; + +LAB35: t20 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t20) = 1; + goto LAB36; + +LAB37: t22 = (t0 + 6728); + t23 = (t22 + 56U); + t29 = *((char **)t23); + t30 = ((char*)((ng3))); + memset(t40, 0, 8); + t31 = (t29 + 4); + t41 = (t30 + 4); + t42 = *((unsigned int *)t29); + t43 = *((unsigned int *)t30); + t44 = (t42 ^ t43); + t45 = *((unsigned int *)t31); + t46 = *((unsigned int *)t41); + t49 = (t45 ^ t46); + t50 = (t44 | t49); + t51 = *((unsigned int *)t31); + t55 = *((unsigned int *)t41); + t56 = (t51 | t55); + t57 = (~(t56)); + t58 = (t50 & t57); + if (t58 != 0) + goto LAB43; + +LAB40: if (t56 != 0) + goto LAB42; + +LAB41: *((unsigned int *)t40) = 1; + +LAB43: memset(t48, 0, 8); + t52 = (t40 + 4); + t59 = *((unsigned int *)t52); + t60 = (~(t59)); + t61 = *((unsigned int *)t40); + t64 = (t61 & t60); + t65 = (t64 & 1U); + if (t65 != 0) + goto LAB44; + +LAB45: if (*((unsigned int *)t52) != 0) + goto LAB46; + +LAB47: t66 = *((unsigned int *)t19); + t67 = *((unsigned int *)t48); + t68 = (t66 | t67); + *((unsigned int *)t88) = t68; + t54 = (t19 + 4); + t62 = (t48 + 4); + t63 = (t88 + 4); + t69 = *((unsigned int *)t54); + t70 = *((unsigned int *)t62); + t71 = (t69 | t70); + *((unsigned int *)t63) = t71; + t74 = *((unsigned int *)t63); + t75 = (t74 != 0); + if (t75 == 1) + goto LAB48; + +LAB49: +LAB50: goto LAB39; + +LAB42: t47 = (t40 + 4); + *((unsigned int *)t40) = 1; + *((unsigned int *)t47) = 1; + goto LAB43; + +LAB44: *((unsigned int *)t48) = 1; + goto LAB47; + +LAB46: t53 = (t48 + 4); + *((unsigned int *)t48) = 1; + *((unsigned int *)t53) = 1; + goto LAB47; + +LAB48: t76 = *((unsigned int *)t88); + t77 = *((unsigned int *)t63); + *((unsigned int *)t88) = (t76 | t77); + t80 = (t19 + 4); + t86 = (t48 + 4); + t78 = *((unsigned int *)t80); + t79 = (~(t78)); + t81 = *((unsigned int *)t19); + t72 = (t81 & t79); + t82 = *((unsigned int *)t86); + t83 = (~(t82)); + t84 = *((unsigned int *)t48); + t73 = (t84 & t83); + t85 = (~(t72)); + t89 = (~(t73)); + t90 = *((unsigned int *)t63); + *((unsigned int *)t63) = (t90 & t85); + t91 = *((unsigned int *)t63); + *((unsigned int *)t63) = (t91 & t89); + goto LAB50; + +LAB51: xsi_set_current_line(42, ng0); + t97 = ((char*)((ng2))); + t98 = (t0 + 6728); + xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 5, 0LL); + goto LAB53; + +} + +static void Always_48_10(char *t0) +{ + char t8[8]; + char t24[8]; + char t36[8]; + char t57[8]; + char t65[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t37; + char *t38; + char *t39; + char *t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + char *t46; + char *t47; + char *t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + char *t70; + char *t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + char *t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + int t89; + int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + char *t97; + +LAB0: t1 = (t0 + 10768U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 12736); + *((int *)t2) = 1; + t3 = (t0 + 10800); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(48, ng0); + +LAB5: xsi_set_current_line(48, ng0); + t4 = (t0 + 6728); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng4))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: memset(t24, 0, 8); + t25 = (t8 + 4); + t26 = *((unsigned int *)t25); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 & 1U); + if (t30 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t25) != 0) + goto LAB12; + +LAB13: t32 = (t24 + 4); + t33 = *((unsigned int *)t24); + t34 = *((unsigned int *)t32); + t35 = (t33 || t34); + if (t35 > 0) + goto LAB14; + +LAB15: memcpy(t65, t24, 8); + +LAB16: t97 = (t0 + 7208); + xsi_vlogvar_wait_assign_value(t97, t65, 0, 0, 1, 0LL); + goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t24) = 1; + goto LAB13; + +LAB12: t31 = (t24 + 4); + *((unsigned int *)t24) = 1; + *((unsigned int *)t31) = 1; + goto LAB13; + +LAB14: t37 = (t0 + 4328); + t38 = (t37 + 56U); + t39 = *((char **)t38); + memset(t36, 0, 8); + t40 = (t39 + 4); + t41 = *((unsigned int *)t40); + t42 = (~(t41)); + t43 = *((unsigned int *)t39); + t44 = (t43 & t42); + t45 = (t44 & 1U); + if (t45 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t40) == 0) + goto LAB17; + +LAB19: t46 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t46) = 1; + +LAB20: t47 = (t36 + 4); + t48 = (t39 + 4); + t49 = *((unsigned int *)t39); + t50 = (~(t49)); + *((unsigned int *)t36) = t50; + *((unsigned int *)t47) = 0; + if (*((unsigned int *)t48) != 0) + goto LAB22; + +LAB21: t55 = *((unsigned int *)t36); + *((unsigned int *)t36) = (t55 & 1U); + t56 = *((unsigned int *)t47); + *((unsigned int *)t47) = (t56 & 1U); + memset(t57, 0, 8); + t58 = (t36 + 4); + t59 = *((unsigned int *)t58); + t60 = (~(t59)); + t61 = *((unsigned int *)t36); + t62 = (t61 & t60); + t63 = (t62 & 1U); + if (t63 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t58) != 0) + goto LAB25; + +LAB26: t66 = *((unsigned int *)t24); + t67 = *((unsigned int *)t57); + t68 = (t66 & t67); + *((unsigned int *)t65) = t68; + t69 = (t24 + 4); + t70 = (t57 + 4); + t71 = (t65 + 4); + t72 = *((unsigned int *)t69); + t73 = *((unsigned int *)t70); + t74 = (t72 | t73); + *((unsigned int *)t71) = t74; + t75 = *((unsigned int *)t71); + t76 = (t75 != 0); + if (t76 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB22: t51 = *((unsigned int *)t36); + t52 = *((unsigned int *)t48); + *((unsigned int *)t36) = (t51 | t52); + t53 = *((unsigned int *)t47); + t54 = *((unsigned int *)t48); + *((unsigned int *)t47) = (t53 | t54); + goto LAB21; + +LAB23: *((unsigned int *)t57) = 1; + goto LAB26; + +LAB25: t64 = (t57 + 4); + *((unsigned int *)t57) = 1; + *((unsigned int *)t64) = 1; + goto LAB26; + +LAB27: t77 = *((unsigned int *)t65); + t78 = *((unsigned int *)t71); + *((unsigned int *)t65) = (t77 | t78); + t79 = (t24 + 4); + t80 = (t57 + 4); + t81 = *((unsigned int *)t24); + t82 = (~(t81)); + t83 = *((unsigned int *)t79); + t84 = (~(t83)); + t85 = *((unsigned int *)t57); + t86 = (~(t85)); + t87 = *((unsigned int *)t80); + t88 = (~(t87)); + t89 = (t82 & t84); + t90 = (t86 & t88); + t91 = (~(t89)); + t92 = (~(t90)); + t93 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t93 & t91); + t94 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t94 & t92); + t95 = *((unsigned int *)t65); + *((unsigned int *)t65) = (t95 & t91); + t96 = *((unsigned int *)t65); + *((unsigned int *)t65) = (t96 & t92); + goto LAB29; + +} + +static void Always_49_11(char *t0) +{ + char t8[8]; + char t24[8]; + char t39[8]; + char t47[8]; + char t79[8]; + char t93[8]; + char t100[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t36; + char *t37; + char *t38; + char *t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + char *t46; + unsigned int t48; + unsigned int t49; + unsigned int t50; + char *t51; + char *t52; + char *t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + char *t61; + char *t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + int t71; + int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + char *t86; + char *t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + char *t99; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t105; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + int t124; + int t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + char *t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + char *t138; + char *t139; + +LAB0: t1 = (t0 + 11016U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 12752); + *((int *)t2) = 1; + t3 = (t0 + 11048); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(49, ng0); + +LAB5: xsi_set_current_line(50, ng0); + t4 = (t0 + 6728); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng5))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: memset(t24, 0, 8); + t25 = (t8 + 4); + t26 = *((unsigned int *)t25); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 & 1U); + if (t30 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t25) != 0) + goto LAB12; + +LAB13: t32 = (t24 + 4); + t33 = *((unsigned int *)t24); + t34 = *((unsigned int *)t32); + t35 = (t33 || t34); + if (t35 > 0) + goto LAB14; + +LAB15: memcpy(t47, t24, 8); + +LAB16: memset(t79, 0, 8); + t80 = (t47 + 4); + t81 = *((unsigned int *)t80); + t82 = (~(t81)); + t83 = *((unsigned int *)t47); + t84 = (t83 & t82); + t85 = (t84 & 1U); + if (t85 != 0) + goto LAB24; + +LAB25: if (*((unsigned int *)t80) != 0) + goto LAB26; + +LAB27: t87 = (t79 + 4); + t88 = *((unsigned int *)t79); + t89 = *((unsigned int *)t87); + t90 = (t88 || t89); + if (t90 > 0) + goto LAB28; + +LAB29: memcpy(t100, t79, 8); + +LAB30: t132 = (t100 + 4); + t133 = *((unsigned int *)t132); + t134 = (~(t133)); + t135 = *((unsigned int *)t100); + t136 = (t135 & t134); + t137 = (t136 != 0); + if (t137 > 0) + goto LAB38; + +LAB39: xsi_set_current_line(51, ng0); + t2 = (t0 + 6728); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB44; + +LAB41: if (t20 != 0) + goto LAB43; + +LAB42: *((unsigned int *)t8) = 1; + +LAB44: t10 = (t8 + 4); + t26 = *((unsigned int *)t10); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 != 0); + if (t30 > 0) + goto LAB45; + +LAB46: +LAB47: +LAB40: goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t24) = 1; + goto LAB13; + +LAB12: t31 = (t24 + 4); + *((unsigned int *)t24) = 1; + *((unsigned int *)t31) = 1; + goto LAB13; + +LAB14: t36 = (t0 + 4968); + t37 = (t36 + 56U); + t38 = *((char **)t37); + memset(t39, 0, 8); + t40 = (t38 + 4); + t41 = *((unsigned int *)t40); + t42 = (~(t41)); + t43 = *((unsigned int *)t38); + t44 = (t43 & t42); + t45 = (t44 & 1U); + if (t45 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t40) != 0) + goto LAB19; + +LAB20: t48 = *((unsigned int *)t24); + t49 = *((unsigned int *)t39); + t50 = (t48 & t49); + *((unsigned int *)t47) = t50; + t51 = (t24 + 4); + t52 = (t39 + 4); + t53 = (t47 + 4); + t54 = *((unsigned int *)t51); + t55 = *((unsigned int *)t52); + t56 = (t54 | t55); + *((unsigned int *)t53) = t56; + t57 = *((unsigned int *)t53); + t58 = (t57 != 0); + if (t58 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t39) = 1; + goto LAB20; + +LAB19: t46 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t46) = 1; + goto LAB20; + +LAB21: t59 = *((unsigned int *)t47); + t60 = *((unsigned int *)t53); + *((unsigned int *)t47) = (t59 | t60); + t61 = (t24 + 4); + t62 = (t39 + 4); + t63 = *((unsigned int *)t24); + t64 = (~(t63)); + t65 = *((unsigned int *)t61); + t66 = (~(t65)); + t67 = *((unsigned int *)t39); + t68 = (~(t67)); + t69 = *((unsigned int *)t62); + t70 = (~(t69)); + t71 = (t64 & t66); + t72 = (t68 & t70); + t73 = (~(t71)); + t74 = (~(t72)); + t75 = *((unsigned int *)t53); + *((unsigned int *)t53) = (t75 & t73); + t76 = *((unsigned int *)t53); + *((unsigned int *)t53) = (t76 & t74); + t77 = *((unsigned int *)t47); + *((unsigned int *)t47) = (t77 & t73); + t78 = *((unsigned int *)t47); + *((unsigned int *)t47) = (t78 & t74); + goto LAB23; + +LAB24: *((unsigned int *)t79) = 1; + goto LAB27; + +LAB26: t86 = (t79 + 4); + *((unsigned int *)t79) = 1; + *((unsigned int *)t86) = 1; + goto LAB27; + +LAB28: t91 = (t0 + 3288U); + t92 = *((char **)t91); + memset(t93, 0, 8); + t91 = (t92 + 4); + t94 = *((unsigned int *)t91); + t95 = (~(t94)); + t96 = *((unsigned int *)t92); + t97 = (t96 & t95); + t98 = (t97 & 1U); + if (t98 != 0) + goto LAB31; + +LAB32: if (*((unsigned int *)t91) != 0) + goto LAB33; + +LAB34: t101 = *((unsigned int *)t79); + t102 = *((unsigned int *)t93); + t103 = (t101 & t102); + *((unsigned int *)t100) = t103; + t104 = (t79 + 4); + t105 = (t93 + 4); + t106 = (t100 + 4); + t107 = *((unsigned int *)t104); + t108 = *((unsigned int *)t105); + t109 = (t107 | t108); + *((unsigned int *)t106) = t109; + t110 = *((unsigned int *)t106); + t111 = (t110 != 0); + if (t111 == 1) + goto LAB35; + +LAB36: +LAB37: goto LAB30; + +LAB31: *((unsigned int *)t93) = 1; + goto LAB34; + +LAB33: t99 = (t93 + 4); + *((unsigned int *)t93) = 1; + *((unsigned int *)t99) = 1; + goto LAB34; + +LAB35: t112 = *((unsigned int *)t100); + t113 = *((unsigned int *)t106); + *((unsigned int *)t100) = (t112 | t113); + t114 = (t79 + 4); + t115 = (t93 + 4); + t116 = *((unsigned int *)t79); + t117 = (~(t116)); + t118 = *((unsigned int *)t114); + t119 = (~(t118)); + t120 = *((unsigned int *)t93); + t121 = (~(t120)); + t122 = *((unsigned int *)t115); + t123 = (~(t122)); + t124 = (t117 & t119); + t125 = (t121 & t123); + t126 = (~(t124)); + t127 = (~(t125)); + t128 = *((unsigned int *)t106); + *((unsigned int *)t106) = (t128 & t126); + t129 = *((unsigned int *)t106); + *((unsigned int *)t106) = (t129 & t127); + t130 = *((unsigned int *)t100); + *((unsigned int *)t100) = (t130 & t126); + t131 = *((unsigned int *)t100); + *((unsigned int *)t100) = (t131 & t127); + goto LAB37; + +LAB38: xsi_set_current_line(50, ng0); + t138 = ((char*)((ng2))); + t139 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t139, t138, 0, 0, 1, 0LL); + goto LAB40; + +LAB43: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB44; + +LAB45: xsi_set_current_line(51, ng0); + t23 = ((char*)((ng1))); + t25 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t25, t23, 0, 0, 1, 0LL); + goto LAB47; + +} + +static void Always_56_12(char *t0) +{ + char t8[8]; + char t39[8]; + char t66[8]; + char t67[8]; + char t68[8]; + char t94[8]; + char t109[8]; + char t116[8]; + char t144[8]; + char t159[8]; + char t166[8]; + char t194[8]; + char t202[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + char *t40; + char *t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + char *t65; + unsigned int t69; + unsigned int t70; + char *t71; + char *t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t107; + char *t108; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + char *t115; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t120; + char *t121; + char *t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + char *t130; + char *t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + char *t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + char *t151; + char *t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + char *t157; + char *t158; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + char *t165; + unsigned int t167; + unsigned int t168; + unsigned int t169; + char *t170; + char *t171; + char *t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + char *t180; + char *t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + char *t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + char *t201; + unsigned int t203; + unsigned int t204; + unsigned int t205; + char *t206; + char *t207; + char *t208; + unsigned int t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + char *t216; + char *t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + int t226; + int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + char *t234; + unsigned int t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + char *t240; + char *t241; + +LAB0: t1 = (t0 + 11264U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(56, ng0); + t2 = (t0 + 12768); + *((int *)t2) = 1; + t3 = (t0 + 11296); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(56, ng0); + +LAB5: xsi_set_current_line(57, ng0); + t4 = (t0 + 7368); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng2))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: t24 = (t8 + 4); + t25 = *((unsigned int *)t24); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB10; + +LAB11: xsi_set_current_line(71, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng1))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB33; + +LAB30: if (t20 != 0) + goto LAB32; + +LAB31: *((unsigned int *)t8) = 1; + +LAB33: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB34; + +LAB35: xsi_set_current_line(76, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng6))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB41; + +LAB38: if (t20 != 0) + goto LAB40; + +LAB39: *((unsigned int *)t8) = 1; + +LAB41: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB42; + +LAB43: xsi_set_current_line(80, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng7))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB49; + +LAB46: if (t20 != 0) + goto LAB48; + +LAB47: *((unsigned int *)t8) = 1; + +LAB49: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB50; + +LAB51: xsi_set_current_line(84, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng8))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB57; + +LAB54: if (t20 != 0) + goto LAB56; + +LAB55: *((unsigned int *)t8) = 1; + +LAB57: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB58; + +LAB59: xsi_set_current_line(88, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng9))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB65; + +LAB62: if (t20 != 0) + goto LAB64; + +LAB63: *((unsigned int *)t8) = 1; + +LAB65: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB66; + +LAB67: xsi_set_current_line(98, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng10))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB140; + +LAB137: if (t20 != 0) + goto LAB139; + +LAB138: *((unsigned int *)t8) = 1; + +LAB140: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB141; + +LAB142: xsi_set_current_line(102, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng5))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB148; + +LAB145: if (t20 != 0) + goto LAB147; + +LAB146: *((unsigned int *)t8) = 1; + +LAB148: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB149; + +LAB150: +LAB151: +LAB143: +LAB68: +LAB60: +LAB52: +LAB44: +LAB36: +LAB12: goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: xsi_set_current_line(57, ng0); + +LAB13: xsi_set_current_line(58, ng0); + t30 = (t0 + 5288); + t31 = (t30 + 56U); + t32 = *((char **)t31); + t33 = (t32 + 4); + t34 = *((unsigned int *)t33); + t35 = (~(t34)); + t36 = *((unsigned int *)t32); + t37 = (t36 & t35); + t38 = (t37 != 0); + if (t38 > 0) + goto LAB14; + +LAB15: xsi_set_current_line(66, ng0); + +LAB29: xsi_set_current_line(67, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(68, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(69, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB16: goto LAB12; + +LAB14: xsi_set_current_line(58, ng0); + +LAB17: xsi_set_current_line(59, ng0); + t40 = (t0 + 1208U); + t41 = *((char **)t40); + memset(t39, 0, 8); + t40 = (t41 + 4); + t42 = *((unsigned int *)t40); + t43 = (~(t42)); + t44 = *((unsigned int *)t41); + t45 = (t44 & t43); + t46 = (t45 & 1U); + if (t46 != 0) + goto LAB21; + +LAB19: if (*((unsigned int *)t40) == 0) + goto LAB18; + +LAB20: t47 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t47) = 1; + +LAB21: t48 = (t39 + 4); + t49 = (t41 + 4); + t50 = *((unsigned int *)t41); + t51 = (~(t50)); + *((unsigned int *)t39) = t51; + *((unsigned int *)t48) = 0; + if (*((unsigned int *)t49) != 0) + goto LAB23; + +LAB22: t56 = *((unsigned int *)t39); + *((unsigned int *)t39) = (t56 & 1U); + t57 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t57 & 1U); + t58 = (t39 + 4); + t59 = *((unsigned int *)t58); + t60 = (~(t59)); + t61 = *((unsigned int *)t39); + t62 = (t61 & t60); + t63 = (t62 != 0); + if (t63 > 0) + goto LAB24; + +LAB25: xsi_set_current_line(61, ng0); + +LAB28: xsi_set_current_line(62, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + +LAB26: xsi_set_current_line(64, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(65, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB16; + +LAB18: *((unsigned int *)t39) = 1; + goto LAB21; + +LAB23: t52 = *((unsigned int *)t39); + t53 = *((unsigned int *)t49); + *((unsigned int *)t39) = (t52 | t53); + t54 = *((unsigned int *)t48); + t55 = *((unsigned int *)t49); + *((unsigned int *)t48) = (t54 | t55); + goto LAB22; + +LAB24: xsi_set_current_line(59, ng0); + +LAB27: xsi_set_current_line(60, ng0); + t64 = ((char*)((ng1))); + t65 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t65, t64, 0, 0, 3, 0LL); + goto LAB26; + +LAB32: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB33; + +LAB34: xsi_set_current_line(71, ng0); + +LAB37: xsi_set_current_line(72, ng0); + t23 = ((char*)((ng6))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(73, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(74, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(75, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB36; + +LAB40: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB41; + +LAB42: xsi_set_current_line(76, ng0); + +LAB45: xsi_set_current_line(77, ng0); + t23 = ((char*)((ng7))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB44; + +LAB48: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB49; + +LAB50: xsi_set_current_line(80, ng0); + +LAB53: xsi_set_current_line(81, ng0); + t23 = ((char*)((ng8))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB52; + +LAB56: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB57; + +LAB58: xsi_set_current_line(84, ng0); + +LAB61: xsi_set_current_line(85, ng0); + t23 = ((char*)((ng9))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB60; + +LAB64: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB65; + +LAB66: xsi_set_current_line(88, ng0); + +LAB69: xsi_set_current_line(89, ng0); + t23 = (t0 + 1208U); + t24 = *((char **)t23); + memset(t39, 0, 8); + t23 = (t24 + 4); + t34 = *((unsigned int *)t23); + t35 = (~(t34)); + t36 = *((unsigned int *)t24); + t37 = (t36 & t35); + t38 = (t37 & 1U); + if (t38 != 0) + goto LAB70; + +LAB71: if (*((unsigned int *)t23) != 0) + goto LAB72; + +LAB73: t31 = (t39 + 4); + t42 = *((unsigned int *)t39); + t43 = *((unsigned int *)t31); + t44 = (t42 || t43); + if (t44 > 0) + goto LAB74; + +LAB75: memcpy(t202, t39, 8); + +LAB76: t234 = (t202 + 4); + t235 = *((unsigned int *)t234); + t236 = (~(t235)); + t237 = *((unsigned int *)t202); + t238 = (t237 & t236); + t239 = (t238 != 0); + if (t239 > 0) + goto LAB126; + +LAB127: xsi_set_current_line(93, ng0); + +LAB136: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng9))); + t3 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB128: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB68; + +LAB70: *((unsigned int *)t39) = 1; + goto LAB73; + +LAB72: t30 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t30) = 1; + goto LAB73; + +LAB74: t32 = (t0 + 2968U); + t33 = *((char **)t32); + memset(t66, 0, 8); + t32 = (t33 + 4); + t45 = *((unsigned int *)t32); + t46 = (~(t45)); + t50 = *((unsigned int *)t33); + t51 = (t50 & t46); + t52 = (t51 & 1U); + if (t52 != 0) + goto LAB77; + +LAB78: if (*((unsigned int *)t32) != 0) + goto LAB79; + +LAB80: t41 = (t66 + 4); + t53 = *((unsigned int *)t66); + t54 = (!(t53)); + t55 = *((unsigned int *)t41); + t56 = (t54 || t55); + if (t56 > 0) + goto LAB81; + +LAB82: memcpy(t68, t66, 8); + +LAB83: memset(t94, 0, 8); + t95 = (t68 + 4); + t96 = *((unsigned int *)t95); + t97 = (~(t96)); + t98 = *((unsigned int *)t68); + t99 = (t98 & t97); + t100 = (t99 & 1U); + if (t100 != 0) + goto LAB91; + +LAB92: if (*((unsigned int *)t95) != 0) + goto LAB93; + +LAB94: t102 = (t94 + 4); + t103 = *((unsigned int *)t94); + t104 = (!(t103)); + t105 = *((unsigned int *)t102); + t106 = (t104 || t105); + if (t106 > 0) + goto LAB95; + +LAB96: memcpy(t116, t94, 8); + +LAB97: memset(t144, 0, 8); + t145 = (t116 + 4); + t146 = *((unsigned int *)t145); + t147 = (~(t146)); + t148 = *((unsigned int *)t116); + t149 = (t148 & t147); + t150 = (t149 & 1U); + if (t150 != 0) + goto LAB105; + +LAB106: if (*((unsigned int *)t145) != 0) + goto LAB107; + +LAB108: t152 = (t144 + 4); + t153 = *((unsigned int *)t144); + t154 = (!(t153)); + t155 = *((unsigned int *)t152); + t156 = (t154 || t155); + if (t156 > 0) + goto LAB109; + +LAB110: memcpy(t166, t144, 8); + +LAB111: memset(t194, 0, 8); + t195 = (t166 + 4); + t196 = *((unsigned int *)t195); + t197 = (~(t196)); + t198 = *((unsigned int *)t166); + t199 = (t198 & t197); + t200 = (t199 & 1U); + if (t200 != 0) + goto LAB119; + +LAB120: if (*((unsigned int *)t195) != 0) + goto LAB121; + +LAB122: t203 = *((unsigned int *)t39); + t204 = *((unsigned int *)t194); + t205 = (t203 & t204); + *((unsigned int *)t202) = t205; + t206 = (t39 + 4); + t207 = (t194 + 4); + t208 = (t202 + 4); + t209 = *((unsigned int *)t206); + t210 = *((unsigned int *)t207); + t211 = (t209 | t210); + *((unsigned int *)t208) = t211; + t212 = *((unsigned int *)t208); + t213 = (t212 != 0); + if (t213 == 1) + goto LAB123; + +LAB124: +LAB125: goto LAB76; + +LAB77: *((unsigned int *)t66) = 1; + goto LAB80; + +LAB79: t40 = (t66 + 4); + *((unsigned int *)t66) = 1; + *((unsigned int *)t40) = 1; + goto LAB80; + +LAB81: t47 = (t0 + 7208); + t48 = (t47 + 56U); + t49 = *((char **)t48); + memset(t67, 0, 8); + t58 = (t49 + 4); + t57 = *((unsigned int *)t58); + t59 = (~(t57)); + t60 = *((unsigned int *)t49); + t61 = (t60 & t59); + t62 = (t61 & 1U); + if (t62 != 0) + goto LAB84; + +LAB85: if (*((unsigned int *)t58) != 0) + goto LAB86; + +LAB87: t63 = *((unsigned int *)t66); + t69 = *((unsigned int *)t67); + t70 = (t63 | t69); + *((unsigned int *)t68) = t70; + t65 = (t66 + 4); + t71 = (t67 + 4); + t72 = (t68 + 4); + t73 = *((unsigned int *)t65); + t74 = *((unsigned int *)t71); + t75 = (t73 | t74); + *((unsigned int *)t72) = t75; + t76 = *((unsigned int *)t72); + t77 = (t76 != 0); + if (t77 == 1) + goto LAB88; + +LAB89: +LAB90: goto LAB83; + +LAB84: *((unsigned int *)t67) = 1; + goto LAB87; + +LAB86: t64 = (t67 + 4); + *((unsigned int *)t67) = 1; + *((unsigned int *)t64) = 1; + goto LAB87; + +LAB88: t78 = *((unsigned int *)t68); + t79 = *((unsigned int *)t72); + *((unsigned int *)t68) = (t78 | t79); + t80 = (t66 + 4); + t81 = (t67 + 4); + t82 = *((unsigned int *)t80); + t83 = (~(t82)); + t84 = *((unsigned int *)t66); + t85 = (t84 & t83); + t86 = *((unsigned int *)t81); + t87 = (~(t86)); + t88 = *((unsigned int *)t67); + t89 = (t88 & t87); + t90 = (~(t85)); + t91 = (~(t89)); + t92 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t92 & t90); + t93 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t93 & t91); + goto LAB90; + +LAB91: *((unsigned int *)t94) = 1; + goto LAB94; + +LAB93: t101 = (t94 + 4); + *((unsigned int *)t94) = 1; + *((unsigned int *)t101) = 1; + goto LAB94; + +LAB95: t107 = (t0 + 3128U); + t108 = *((char **)t107); + memset(t109, 0, 8); + t107 = (t108 + 4); + t110 = *((unsigned int *)t107); + t111 = (~(t110)); + t112 = *((unsigned int *)t108); + t113 = (t112 & t111); + t114 = (t113 & 1U); + if (t114 != 0) + goto LAB98; + +LAB99: if (*((unsigned int *)t107) != 0) + goto LAB100; + +LAB101: t117 = *((unsigned int *)t94); + t118 = *((unsigned int *)t109); + t119 = (t117 | t118); + *((unsigned int *)t116) = t119; + t120 = (t94 + 4); + t121 = (t109 + 4); + t122 = (t116 + 4); + t123 = *((unsigned int *)t120); + t124 = *((unsigned int *)t121); + t125 = (t123 | t124); + *((unsigned int *)t122) = t125; + t126 = *((unsigned int *)t122); + t127 = (t126 != 0); + if (t127 == 1) + goto LAB102; + +LAB103: +LAB104: goto LAB97; + +LAB98: *((unsigned int *)t109) = 1; + goto LAB101; + +LAB100: t115 = (t109 + 4); + *((unsigned int *)t109) = 1; + *((unsigned int *)t115) = 1; + goto LAB101; + +LAB102: t128 = *((unsigned int *)t116); + t129 = *((unsigned int *)t122); + *((unsigned int *)t116) = (t128 | t129); + t130 = (t94 + 4); + t131 = (t109 + 4); + t132 = *((unsigned int *)t130); + t133 = (~(t132)); + t134 = *((unsigned int *)t94); + t135 = (t134 & t133); + t136 = *((unsigned int *)t131); + t137 = (~(t136)); + t138 = *((unsigned int *)t109); + t139 = (t138 & t137); + t140 = (~(t135)); + t141 = (~(t139)); + t142 = *((unsigned int *)t122); + *((unsigned int *)t122) = (t142 & t140); + t143 = *((unsigned int *)t122); + *((unsigned int *)t122) = (t143 & t141); + goto LAB104; + +LAB105: *((unsigned int *)t144) = 1; + goto LAB108; + +LAB107: t151 = (t144 + 4); + *((unsigned int *)t144) = 1; + *((unsigned int *)t151) = 1; + goto LAB108; + +LAB109: t157 = (t0 + 3448U); + t158 = *((char **)t157); + memset(t159, 0, 8); + t157 = (t158 + 4); + t160 = *((unsigned int *)t157); + t161 = (~(t160)); + t162 = *((unsigned int *)t158); + t163 = (t162 & t161); + t164 = (t163 & 1U); + if (t164 != 0) + goto LAB112; + +LAB113: if (*((unsigned int *)t157) != 0) + goto LAB114; + +LAB115: t167 = *((unsigned int *)t144); + t168 = *((unsigned int *)t159); + t169 = (t167 | t168); + *((unsigned int *)t166) = t169; + t170 = (t144 + 4); + t171 = (t159 + 4); + t172 = (t166 + 4); + t173 = *((unsigned int *)t170); + t174 = *((unsigned int *)t171); + t175 = (t173 | t174); + *((unsigned int *)t172) = t175; + t176 = *((unsigned int *)t172); + t177 = (t176 != 0); + if (t177 == 1) + goto LAB116; + +LAB117: +LAB118: goto LAB111; + +LAB112: *((unsigned int *)t159) = 1; + goto LAB115; + +LAB114: t165 = (t159 + 4); + *((unsigned int *)t159) = 1; + *((unsigned int *)t165) = 1; + goto LAB115; + +LAB116: t178 = *((unsigned int *)t166); + t179 = *((unsigned int *)t172); + *((unsigned int *)t166) = (t178 | t179); + t180 = (t144 + 4); + t181 = (t159 + 4); + t182 = *((unsigned int *)t180); + t183 = (~(t182)); + t184 = *((unsigned int *)t144); + t185 = (t184 & t183); + t186 = *((unsigned int *)t181); + t187 = (~(t186)); + t188 = *((unsigned int *)t159); + t189 = (t188 & t187); + t190 = (~(t185)); + t191 = (~(t189)); + t192 = *((unsigned int *)t172); + *((unsigned int *)t172) = (t192 & t190); + t193 = *((unsigned int *)t172); + *((unsigned int *)t172) = (t193 & t191); + goto LAB118; + +LAB119: *((unsigned int *)t194) = 1; + goto LAB122; + +LAB121: t201 = (t194 + 4); + *((unsigned int *)t194) = 1; + *((unsigned int *)t201) = 1; + goto LAB122; + +LAB123: t214 = *((unsigned int *)t202); + t215 = *((unsigned int *)t208); + *((unsigned int *)t202) = (t214 | t215); + t216 = (t39 + 4); + t217 = (t194 + 4); + t218 = *((unsigned int *)t39); + t219 = (~(t218)); + t220 = *((unsigned int *)t216); + t221 = (~(t220)); + t222 = *((unsigned int *)t194); + t223 = (~(t222)); + t224 = *((unsigned int *)t217); + t225 = (~(t224)); + t226 = (t219 & t221); + t227 = (t223 & t225); + t228 = (~(t226)); + t229 = (~(t227)); + t230 = *((unsigned int *)t208); + *((unsigned int *)t208) = (t230 & t228); + t231 = *((unsigned int *)t208); + *((unsigned int *)t208) = (t231 & t229); + t232 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t232 & t228); + t233 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t233 & t229); + goto LAB125; + +LAB126: xsi_set_current_line(89, ng0); + +LAB129: xsi_set_current_line(90, ng0); + t240 = ((char*)((ng10))); + t241 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t241, t240, 0, 0, 3, 0LL); + xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(92, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB133; + +LAB131: if (*((unsigned int *)t2) == 0) + goto LAB130; + +LAB132: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + +LAB133: t5 = (t8 + 4); + t6 = (t3 + 4); + t16 = *((unsigned int *)t3); + t17 = (~(t16)); + *((unsigned int *)t8) = t17; + *((unsigned int *)t5) = 0; + if (*((unsigned int *)t6) != 0) + goto LAB135; + +LAB134: t22 = *((unsigned int *)t8); + *((unsigned int *)t8) = (t22 & 1U); + t25 = *((unsigned int *)t5); + *((unsigned int *)t5) = (t25 & 1U); + t7 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t7, t8, 0, 0, 1, 0LL); + goto LAB128; + +LAB130: *((unsigned int *)t8) = 1; + goto LAB133; + +LAB135: t18 = *((unsigned int *)t8); + t19 = *((unsigned int *)t6); + *((unsigned int *)t8) = (t18 | t19); + t20 = *((unsigned int *)t5); + t21 = *((unsigned int *)t6); + *((unsigned int *)t5) = (t20 | t21); + goto LAB134; + +LAB139: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB140; + +LAB141: xsi_set_current_line(98, ng0); + +LAB144: xsi_set_current_line(99, ng0); + t23 = ((char*)((ng5))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB143; + +LAB147: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB148; + +LAB149: xsi_set_current_line(102, ng0); + +LAB152: xsi_set_current_line(103, ng0); + t23 = ((char*)((ng2))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB151; + +} + +static void Cont_110_13(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + +LAB0: t1 = (t0 + 11512U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 13152); + t4 = (t3 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t2 + 4); + t11 = *((unsigned int *)t2); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t3, 0, 0); + +LAB1: return; +} + +static void Always_111_14(char *t0) +{ + char t8[8]; + char t24[8]; + char t41[8]; + char t57[8]; + char t65[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + char *t37; + char *t38; + char *t39; + char *t40; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + char *t70; + char *t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + char *t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t93; + +LAB0: t1 = (t0 + 11760U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(111, ng0); + t2 = (t0 + 12784); + *((int *)t2) = 1; + t3 = (t0 + 11792); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(111, ng0); + +LAB5: xsi_set_current_line(111, ng0); + t4 = (t0 + 7368); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng8))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: memset(t24, 0, 8); + t25 = (t8 + 4); + t26 = *((unsigned int *)t25); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 & 1U); + if (t30 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t25) != 0) + goto LAB12; + +LAB13: t32 = (t24 + 4); + t33 = *((unsigned int *)t24); + t34 = (!(t33)); + t35 = *((unsigned int *)t32); + t36 = (t34 || t35); + if (t36 > 0) + goto LAB14; + +LAB15: memcpy(t65, t24, 8); + +LAB16: t93 = (t0 + 4808); + xsi_vlogvar_wait_assign_value(t93, t65, 0, 0, 1, 0LL); + goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t24) = 1; + goto LAB13; + +LAB12: t31 = (t24 + 4); + *((unsigned int *)t24) = 1; + *((unsigned int *)t31) = 1; + goto LAB13; + +LAB14: t37 = (t0 + 7368); + t38 = (t37 + 56U); + t39 = *((char **)t38); + t40 = ((char*)((ng9))); + memset(t41, 0, 8); + t42 = (t39 + 4); + t43 = (t40 + 4); + t44 = *((unsigned int *)t39); + t45 = *((unsigned int *)t40); + t46 = (t44 ^ t45); + t47 = *((unsigned int *)t42); + t48 = *((unsigned int *)t43); + t49 = (t47 ^ t48); + t50 = (t46 | t49); + t51 = *((unsigned int *)t42); + t52 = *((unsigned int *)t43); + t53 = (t51 | t52); + t54 = (~(t53)); + t55 = (t50 & t54); + if (t55 != 0) + goto LAB20; + +LAB17: if (t53 != 0) + goto LAB19; + +LAB18: *((unsigned int *)t41) = 1; + +LAB20: memset(t57, 0, 8); + t58 = (t41 + 4); + t59 = *((unsigned int *)t58); + t60 = (~(t59)); + t61 = *((unsigned int *)t41); + t62 = (t61 & t60); + t63 = (t62 & 1U); + if (t63 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t58) != 0) + goto LAB23; + +LAB24: t66 = *((unsigned int *)t24); + t67 = *((unsigned int *)t57); + t68 = (t66 | t67); + *((unsigned int *)t65) = t68; + t69 = (t24 + 4); + t70 = (t57 + 4); + t71 = (t65 + 4); + t72 = *((unsigned int *)t69); + t73 = *((unsigned int *)t70); + t74 = (t72 | t73); + *((unsigned int *)t71) = t74; + t75 = *((unsigned int *)t71); + t76 = (t75 != 0); + if (t76 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB16; + +LAB19: t56 = (t41 + 4); + *((unsigned int *)t41) = 1; + *((unsigned int *)t56) = 1; + goto LAB20; + +LAB21: *((unsigned int *)t57) = 1; + goto LAB24; + +LAB23: t64 = (t57 + 4); + *((unsigned int *)t57) = 1; + *((unsigned int *)t64) = 1; + goto LAB24; + +LAB25: t77 = *((unsigned int *)t65); + t78 = *((unsigned int *)t71); + *((unsigned int *)t65) = (t77 | t78); + t79 = (t24 + 4); + t80 = (t57 + 4); + t81 = *((unsigned int *)t79); + t82 = (~(t81)); + t83 = *((unsigned int *)t24); + t84 = (t83 & t82); + t85 = *((unsigned int *)t80); + t86 = (~(t85)); + t87 = *((unsigned int *)t57); + t88 = (t87 & t86); + t89 = (~(t84)); + t90 = (~(t88)); + t91 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t91 & t89); + t92 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t92 & t90); + goto LAB27; + +} + +static void Always_112_15(char *t0) +{ + char t4[8]; + char t7[8]; + char t22[8]; + char t38[8]; + char t55[8]; + char t71[8]; + char t79[8]; + char t107[8]; + char t124[8]; + char t140[8]; + char t148[8]; + char t176[8]; + char t193[8]; + char t209[8]; + char t217[8]; + char t245[8]; + char t262[8]; + char t278[8]; + char t286[8]; + char t314[8]; + char t331[8]; + char t347[8]; + char t355[8]; + char t383[8]; + char t391[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t18; + char *t19; + char *t20; + char *t21; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + char *t37; + char *t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + char *t45; + char *t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + char *t51; + char *t52; + char *t53; + char *t54; + char *t56; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + char *t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + char *t78; + unsigned int t80; + unsigned int t81; + unsigned int t82; + char *t83; + char *t84; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t93; + char *t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + int t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t120; + char *t121; + char *t122; + char *t123; + char *t125; + char *t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + char *t139; + char *t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + char *t147; + unsigned int t149; + unsigned int t150; + unsigned int t151; + char *t152; + char *t153; + char *t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + char *t162; + char *t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + int t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + char *t183; + char *t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + char *t189; + char *t190; + char *t191; + char *t192; + char *t194; + char *t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + char *t216; + unsigned int t218; + unsigned int t219; + unsigned int t220; + char *t221; + char *t222; + char *t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + char *t231; + char *t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + int t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + int t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + unsigned int t244; + char *t246; + unsigned int t247; + unsigned int t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + char *t252; + char *t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + char *t258; + char *t259; + char *t260; + char *t261; + char *t263; + char *t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + unsigned int t272; + unsigned int t273; + unsigned int t274; + unsigned int t275; + unsigned int t276; + char *t277; + char *t279; + unsigned int t280; + unsigned int t281; + unsigned int t282; + unsigned int t283; + unsigned int t284; + char *t285; + unsigned int t287; + unsigned int t288; + unsigned int t289; + char *t290; + char *t291; + char *t292; + unsigned int t293; + unsigned int t294; + unsigned int t295; + unsigned int t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + char *t300; + char *t301; + unsigned int t302; + unsigned int t303; + unsigned int t304; + int t305; + unsigned int t306; + unsigned int t307; + unsigned int t308; + int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + char *t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + unsigned int t319; + unsigned int t320; + char *t321; + char *t322; + unsigned int t323; + unsigned int t324; + unsigned int t325; + unsigned int t326; + char *t327; + char *t328; + char *t329; + char *t330; + char *t332; + char *t333; + unsigned int t334; + unsigned int t335; + unsigned int t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + unsigned int t340; + unsigned int t341; + unsigned int t342; + unsigned int t343; + unsigned int t344; + unsigned int t345; + char *t346; + char *t348; + unsigned int t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + char *t354; + unsigned int t356; + unsigned int t357; + unsigned int t358; + char *t359; + char *t360; + char *t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + char *t369; + char *t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + int t374; + unsigned int t375; + unsigned int t376; + unsigned int t377; + int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + char *t384; + unsigned int t385; + unsigned int t386; + unsigned int t387; + unsigned int t388; + unsigned int t389; + char *t390; + unsigned int t392; + unsigned int t393; + unsigned int t394; + char *t395; + char *t396; + char *t397; + unsigned int t398; + unsigned int t399; + unsigned int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + unsigned int t404; + char *t405; + char *t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + int t415; + int t416; + unsigned int t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + char *t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + char *t429; + char *t430; + char *t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + unsigned int t436; + unsigned int t437; + unsigned int t438; + unsigned int t439; + char *t440; + +LAB0: t1 = (t0 + 12008U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(112, ng0); + t2 = (t0 + 12800); + *((int *)t2) = 1; + t3 = (t0 + 12040); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(112, ng0); + +LAB5: xsi_set_current_line(113, ng0); + t5 = (t0 + 2808U); + t6 = *((char **)t5); + memset(t7, 0, 8); + t5 = (t6 + 4); + t8 = *((unsigned int *)t5); + t9 = (~(t8)); + t10 = *((unsigned int *)t6); + t11 = (t10 & t9); + t12 = (t11 & 1U); + if (t12 != 0) + goto LAB6; + +LAB7: if (*((unsigned int *)t5) != 0) + goto LAB8; + +LAB9: t14 = (t7 + 4); + t15 = *((unsigned int *)t7); + t16 = *((unsigned int *)t14); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB10; + +LAB11: memcpy(t391, t7, 8); + +LAB12: memset(t4, 0, 8); + t423 = (t391 + 4); + t424 = *((unsigned int *)t423); + t425 = (~(t424)); + t426 = *((unsigned int *)t391); + t427 = (t426 & t425); + t428 = (t427 & 1U); + if (t428 != 0) + goto LAB117; + +LAB115: if (*((unsigned int *)t423) == 0) + goto LAB114; + +LAB116: t429 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t429) = 1; + +LAB117: t430 = (t4 + 4); + t431 = (t391 + 4); + t432 = *((unsigned int *)t391); + t433 = (~(t432)); + *((unsigned int *)t4) = t433; + *((unsigned int *)t430) = 0; + if (*((unsigned int *)t431) != 0) + goto LAB119; + +LAB118: t438 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t438 & 1U); + t439 = *((unsigned int *)t430); + *((unsigned int *)t430) = (t439 & 1U); + t440 = (t0 + 4488); + xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t7) = 1; + goto LAB9; + +LAB8: t13 = (t7 + 4); + *((unsigned int *)t7) = 1; + *((unsigned int *)t13) = 1; + goto LAB9; + +LAB10: t18 = (t0 + 7368); + t19 = (t18 + 56U); + t20 = *((char **)t19); + t21 = ((char*)((ng1))); + memset(t22, 0, 8); + t23 = (t20 + 4); + t24 = (t21 + 4); + t25 = *((unsigned int *)t20); + t26 = *((unsigned int *)t21); + t27 = (t25 ^ t26); + t28 = *((unsigned int *)t23); + t29 = *((unsigned int *)t24); + t30 = (t28 ^ t29); + t31 = (t27 | t30); + t32 = *((unsigned int *)t23); + t33 = *((unsigned int *)t24); + t34 = (t32 | t33); + t35 = (~(t34)); + t36 = (t31 & t35); + if (t36 != 0) + goto LAB16; + +LAB13: if (t34 != 0) + goto LAB15; + +LAB14: *((unsigned int *)t22) = 1; + +LAB16: memset(t38, 0, 8); + t39 = (t22 + 4); + t40 = *((unsigned int *)t39); + t41 = (~(t40)); + t42 = *((unsigned int *)t22); + t43 = (t42 & t41); + t44 = (t43 & 1U); + if (t44 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t39) != 0) + goto LAB19; + +LAB20: t46 = (t38 + 4); + t47 = *((unsigned int *)t38); + t48 = (!(t47)); + t49 = *((unsigned int *)t46); + t50 = (t48 || t49); + if (t50 > 0) + goto LAB21; + +LAB22: memcpy(t79, t38, 8); + +LAB23: memset(t107, 0, 8); + t108 = (t79 + 4); + t109 = *((unsigned int *)t108); + t110 = (~(t109)); + t111 = *((unsigned int *)t79); + t112 = (t111 & t110); + t113 = (t112 & 1U); + if (t113 != 0) + goto LAB35; + +LAB36: if (*((unsigned int *)t108) != 0) + goto LAB37; + +LAB38: t115 = (t107 + 4); + t116 = *((unsigned int *)t107); + t117 = (!(t116)); + t118 = *((unsigned int *)t115); + t119 = (t117 || t118); + if (t119 > 0) + goto LAB39; + +LAB40: memcpy(t148, t107, 8); + +LAB41: memset(t176, 0, 8); + t177 = (t148 + 4); + t178 = *((unsigned int *)t177); + t179 = (~(t178)); + t180 = *((unsigned int *)t148); + t181 = (t180 & t179); + t182 = (t181 & 1U); + if (t182 != 0) + goto LAB53; + +LAB54: if (*((unsigned int *)t177) != 0) + goto LAB55; + +LAB56: t184 = (t176 + 4); + t185 = *((unsigned int *)t176); + t186 = (!(t185)); + t187 = *((unsigned int *)t184); + t188 = (t186 || t187); + if (t188 > 0) + goto LAB57; + +LAB58: memcpy(t217, t176, 8); + +LAB59: memset(t245, 0, 8); + t246 = (t217 + 4); + t247 = *((unsigned int *)t246); + t248 = (~(t247)); + t249 = *((unsigned int *)t217); + t250 = (t249 & t248); + t251 = (t250 & 1U); + if (t251 != 0) + goto LAB71; + +LAB72: if (*((unsigned int *)t246) != 0) + goto LAB73; + +LAB74: t253 = (t245 + 4); + t254 = *((unsigned int *)t245); + t255 = (!(t254)); + t256 = *((unsigned int *)t253); + t257 = (t255 || t256); + if (t257 > 0) + goto LAB75; + +LAB76: memcpy(t286, t245, 8); + +LAB77: memset(t314, 0, 8); + t315 = (t286 + 4); + t316 = *((unsigned int *)t315); + t317 = (~(t316)); + t318 = *((unsigned int *)t286); + t319 = (t318 & t317); + t320 = (t319 & 1U); + if (t320 != 0) + goto LAB89; + +LAB90: if (*((unsigned int *)t315) != 0) + goto LAB91; + +LAB92: t322 = (t314 + 4); + t323 = *((unsigned int *)t314); + t324 = (!(t323)); + t325 = *((unsigned int *)t322); + t326 = (t324 || t325); + if (t326 > 0) + goto LAB93; + +LAB94: memcpy(t355, t314, 8); + +LAB95: memset(t383, 0, 8); + t384 = (t355 + 4); + t385 = *((unsigned int *)t384); + t386 = (~(t385)); + t387 = *((unsigned int *)t355); + t388 = (t387 & t386); + t389 = (t388 & 1U); + if (t389 != 0) + goto LAB107; + +LAB108: if (*((unsigned int *)t384) != 0) + goto LAB109; + +LAB110: t392 = *((unsigned int *)t7); + t393 = *((unsigned int *)t383); + t394 = (t392 & t393); + *((unsigned int *)t391) = t394; + t395 = (t7 + 4); + t396 = (t383 + 4); + t397 = (t391 + 4); + t398 = *((unsigned int *)t395); + t399 = *((unsigned int *)t396); + t400 = (t398 | t399); + *((unsigned int *)t397) = t400; + t401 = *((unsigned int *)t397); + t402 = (t401 != 0); + if (t402 == 1) + goto LAB111; + +LAB112: +LAB113: goto LAB12; + +LAB15: t37 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t37) = 1; + goto LAB16; + +LAB17: *((unsigned int *)t38) = 1; + goto LAB20; + +LAB19: t45 = (t38 + 4); + *((unsigned int *)t38) = 1; + *((unsigned int *)t45) = 1; + goto LAB20; + +LAB21: t51 = (t0 + 7368); + t52 = (t51 + 56U); + t53 = *((char **)t52); + t54 = ((char*)((ng6))); + memset(t55, 0, 8); + t56 = (t53 + 4); + t57 = (t54 + 4); + t58 = *((unsigned int *)t53); + t59 = *((unsigned int *)t54); + t60 = (t58 ^ t59); + t61 = *((unsigned int *)t56); + t62 = *((unsigned int *)t57); + t63 = (t61 ^ t62); + t64 = (t60 | t63); + t65 = *((unsigned int *)t56); + t66 = *((unsigned int *)t57); + t67 = (t65 | t66); + t68 = (~(t67)); + t69 = (t64 & t68); + if (t69 != 0) + goto LAB27; + +LAB24: if (t67 != 0) + goto LAB26; + +LAB25: *((unsigned int *)t55) = 1; + +LAB27: memset(t71, 0, 8); + t72 = (t55 + 4); + t73 = *((unsigned int *)t72); + t74 = (~(t73)); + t75 = *((unsigned int *)t55); + t76 = (t75 & t74); + t77 = (t76 & 1U); + if (t77 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t72) != 0) + goto LAB30; + +LAB31: t80 = *((unsigned int *)t38); + t81 = *((unsigned int *)t71); + t82 = (t80 | t81); + *((unsigned int *)t79) = t82; + t83 = (t38 + 4); + t84 = (t71 + 4); + t85 = (t79 + 4); + t86 = *((unsigned int *)t83); + t87 = *((unsigned int *)t84); + t88 = (t86 | t87); + *((unsigned int *)t85) = t88; + t89 = *((unsigned int *)t85); + t90 = (t89 != 0); + if (t90 == 1) + goto LAB32; + +LAB33: +LAB34: goto LAB23; + +LAB26: t70 = (t55 + 4); + *((unsigned int *)t55) = 1; + *((unsigned int *)t70) = 1; + goto LAB27; + +LAB28: *((unsigned int *)t71) = 1; + goto LAB31; + +LAB30: t78 = (t71 + 4); + *((unsigned int *)t71) = 1; + *((unsigned int *)t78) = 1; + goto LAB31; + +LAB32: t91 = *((unsigned int *)t79); + t92 = *((unsigned int *)t85); + *((unsigned int *)t79) = (t91 | t92); + t93 = (t38 + 4); + t94 = (t71 + 4); + t95 = *((unsigned int *)t93); + t96 = (~(t95)); + t97 = *((unsigned int *)t38); + t98 = (t97 & t96); + t99 = *((unsigned int *)t94); + t100 = (~(t99)); + t101 = *((unsigned int *)t71); + t102 = (t101 & t100); + t103 = (~(t98)); + t104 = (~(t102)); + t105 = *((unsigned int *)t85); + *((unsigned int *)t85) = (t105 & t103); + t106 = *((unsigned int *)t85); + *((unsigned int *)t85) = (t106 & t104); + goto LAB34; + +LAB35: *((unsigned int *)t107) = 1; + goto LAB38; + +LAB37: t114 = (t107 + 4); + *((unsigned int *)t107) = 1; + *((unsigned int *)t114) = 1; + goto LAB38; + +LAB39: t120 = (t0 + 7368); + t121 = (t120 + 56U); + t122 = *((char **)t121); + t123 = ((char*)((ng7))); + memset(t124, 0, 8); + t125 = (t122 + 4); + t126 = (t123 + 4); + t127 = *((unsigned int *)t122); + t128 = *((unsigned int *)t123); + t129 = (t127 ^ t128); + t130 = *((unsigned int *)t125); + t131 = *((unsigned int *)t126); + t132 = (t130 ^ t131); + t133 = (t129 | t132); + t134 = *((unsigned int *)t125); + t135 = *((unsigned int *)t126); + t136 = (t134 | t135); + t137 = (~(t136)); + t138 = (t133 & t137); + if (t138 != 0) + goto LAB45; + +LAB42: if (t136 != 0) + goto LAB44; + +LAB43: *((unsigned int *)t124) = 1; + +LAB45: memset(t140, 0, 8); + t141 = (t124 + 4); + t142 = *((unsigned int *)t141); + t143 = (~(t142)); + t144 = *((unsigned int *)t124); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t141) != 0) + goto LAB48; + +LAB49: t149 = *((unsigned int *)t107); + t150 = *((unsigned int *)t140); + t151 = (t149 | t150); + *((unsigned int *)t148) = t151; + t152 = (t107 + 4); + t153 = (t140 + 4); + t154 = (t148 + 4); + t155 = *((unsigned int *)t152); + t156 = *((unsigned int *)t153); + t157 = (t155 | t156); + *((unsigned int *)t154) = t157; + t158 = *((unsigned int *)t154); + t159 = (t158 != 0); + if (t159 == 1) + goto LAB50; + +LAB51: +LAB52: goto LAB41; + +LAB44: t139 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t139) = 1; + goto LAB45; + +LAB46: *((unsigned int *)t140) = 1; + goto LAB49; + +LAB48: t147 = (t140 + 4); + *((unsigned int *)t140) = 1; + *((unsigned int *)t147) = 1; + goto LAB49; + +LAB50: t160 = *((unsigned int *)t148); + t161 = *((unsigned int *)t154); + *((unsigned int *)t148) = (t160 | t161); + t162 = (t107 + 4); + t163 = (t140 + 4); + t164 = *((unsigned int *)t162); + t165 = (~(t164)); + t166 = *((unsigned int *)t107); + t167 = (t166 & t165); + t168 = *((unsigned int *)t163); + t169 = (~(t168)); + t170 = *((unsigned int *)t140); + t171 = (t170 & t169); + t172 = (~(t167)); + t173 = (~(t171)); + t174 = *((unsigned int *)t154); + *((unsigned int *)t154) = (t174 & t172); + t175 = *((unsigned int *)t154); + *((unsigned int *)t154) = (t175 & t173); + goto LAB52; + +LAB53: *((unsigned int *)t176) = 1; + goto LAB56; + +LAB55: t183 = (t176 + 4); + *((unsigned int *)t176) = 1; + *((unsigned int *)t183) = 1; + goto LAB56; + +LAB57: t189 = (t0 + 7368); + t190 = (t189 + 56U); + t191 = *((char **)t190); + t192 = ((char*)((ng8))); + memset(t193, 0, 8); + t194 = (t191 + 4); + t195 = (t192 + 4); + t196 = *((unsigned int *)t191); + t197 = *((unsigned int *)t192); + t198 = (t196 ^ t197); + t199 = *((unsigned int *)t194); + t200 = *((unsigned int *)t195); + t201 = (t199 ^ t200); + t202 = (t198 | t201); + t203 = *((unsigned int *)t194); + t204 = *((unsigned int *)t195); + t205 = (t203 | t204); + t206 = (~(t205)); + t207 = (t202 & t206); + if (t207 != 0) + goto LAB63; + +LAB60: if (t205 != 0) + goto LAB62; + +LAB61: *((unsigned int *)t193) = 1; + +LAB63: memset(t209, 0, 8); + t210 = (t193 + 4); + t211 = *((unsigned int *)t210); + t212 = (~(t211)); + t213 = *((unsigned int *)t193); + t214 = (t213 & t212); + t215 = (t214 & 1U); + if (t215 != 0) + goto LAB64; + +LAB65: if (*((unsigned int *)t210) != 0) + goto LAB66; + +LAB67: t218 = *((unsigned int *)t176); + t219 = *((unsigned int *)t209); + t220 = (t218 | t219); + *((unsigned int *)t217) = t220; + t221 = (t176 + 4); + t222 = (t209 + 4); + t223 = (t217 + 4); + t224 = *((unsigned int *)t221); + t225 = *((unsigned int *)t222); + t226 = (t224 | t225); + *((unsigned int *)t223) = t226; + t227 = *((unsigned int *)t223); + t228 = (t227 != 0); + if (t228 == 1) + goto LAB68; + +LAB69: +LAB70: goto LAB59; + +LAB62: t208 = (t193 + 4); + *((unsigned int *)t193) = 1; + *((unsigned int *)t208) = 1; + goto LAB63; + +LAB64: *((unsigned int *)t209) = 1; + goto LAB67; + +LAB66: t216 = (t209 + 4); + *((unsigned int *)t209) = 1; + *((unsigned int *)t216) = 1; + goto LAB67; + +LAB68: t229 = *((unsigned int *)t217); + t230 = *((unsigned int *)t223); + *((unsigned int *)t217) = (t229 | t230); + t231 = (t176 + 4); + t232 = (t209 + 4); + t233 = *((unsigned int *)t231); + t234 = (~(t233)); + t235 = *((unsigned int *)t176); + t236 = (t235 & t234); + t237 = *((unsigned int *)t232); + t238 = (~(t237)); + t239 = *((unsigned int *)t209); + t240 = (t239 & t238); + t241 = (~(t236)); + t242 = (~(t240)); + t243 = *((unsigned int *)t223); + *((unsigned int *)t223) = (t243 & t241); + t244 = *((unsigned int *)t223); + *((unsigned int *)t223) = (t244 & t242); + goto LAB70; + +LAB71: *((unsigned int *)t245) = 1; + goto LAB74; + +LAB73: t252 = (t245 + 4); + *((unsigned int *)t245) = 1; + *((unsigned int *)t252) = 1; + goto LAB74; + +LAB75: t258 = (t0 + 7368); + t259 = (t258 + 56U); + t260 = *((char **)t259); + t261 = ((char*)((ng9))); + memset(t262, 0, 8); + t263 = (t260 + 4); + t264 = (t261 + 4); + t265 = *((unsigned int *)t260); + t266 = *((unsigned int *)t261); + t267 = (t265 ^ t266); + t268 = *((unsigned int *)t263); + t269 = *((unsigned int *)t264); + t270 = (t268 ^ t269); + t271 = (t267 | t270); + t272 = *((unsigned int *)t263); + t273 = *((unsigned int *)t264); + t274 = (t272 | t273); + t275 = (~(t274)); + t276 = (t271 & t275); + if (t276 != 0) + goto LAB81; + +LAB78: if (t274 != 0) + goto LAB80; + +LAB79: *((unsigned int *)t262) = 1; + +LAB81: memset(t278, 0, 8); + t279 = (t262 + 4); + t280 = *((unsigned int *)t279); + t281 = (~(t280)); + t282 = *((unsigned int *)t262); + t283 = (t282 & t281); + t284 = (t283 & 1U); + if (t284 != 0) + goto LAB82; + +LAB83: if (*((unsigned int *)t279) != 0) + goto LAB84; + +LAB85: t287 = *((unsigned int *)t245); + t288 = *((unsigned int *)t278); + t289 = (t287 | t288); + *((unsigned int *)t286) = t289; + t290 = (t245 + 4); + t291 = (t278 + 4); + t292 = (t286 + 4); + t293 = *((unsigned int *)t290); + t294 = *((unsigned int *)t291); + t295 = (t293 | t294); + *((unsigned int *)t292) = t295; + t296 = *((unsigned int *)t292); + t297 = (t296 != 0); + if (t297 == 1) + goto LAB86; + +LAB87: +LAB88: goto LAB77; + +LAB80: t277 = (t262 + 4); + *((unsigned int *)t262) = 1; + *((unsigned int *)t277) = 1; + goto LAB81; + +LAB82: *((unsigned int *)t278) = 1; + goto LAB85; + +LAB84: t285 = (t278 + 4); + *((unsigned int *)t278) = 1; + *((unsigned int *)t285) = 1; + goto LAB85; + +LAB86: t298 = *((unsigned int *)t286); + t299 = *((unsigned int *)t292); + *((unsigned int *)t286) = (t298 | t299); + t300 = (t245 + 4); + t301 = (t278 + 4); + t302 = *((unsigned int *)t300); + t303 = (~(t302)); + t304 = *((unsigned int *)t245); + t305 = (t304 & t303); + t306 = *((unsigned int *)t301); + t307 = (~(t306)); + t308 = *((unsigned int *)t278); + t309 = (t308 & t307); + t310 = (~(t305)); + t311 = (~(t309)); + t312 = *((unsigned int *)t292); + *((unsigned int *)t292) = (t312 & t310); + t313 = *((unsigned int *)t292); + *((unsigned int *)t292) = (t313 & t311); + goto LAB88; + +LAB89: *((unsigned int *)t314) = 1; + goto LAB92; + +LAB91: t321 = (t314 + 4); + *((unsigned int *)t314) = 1; + *((unsigned int *)t321) = 1; + goto LAB92; + +LAB93: t327 = (t0 + 7368); + t328 = (t327 + 56U); + t329 = *((char **)t328); + t330 = ((char*)((ng10))); + memset(t331, 0, 8); + t332 = (t329 + 4); + t333 = (t330 + 4); + t334 = *((unsigned int *)t329); + t335 = *((unsigned int *)t330); + t336 = (t334 ^ t335); + t337 = *((unsigned int *)t332); + t338 = *((unsigned int *)t333); + t339 = (t337 ^ t338); + t340 = (t336 | t339); + t341 = *((unsigned int *)t332); + t342 = *((unsigned int *)t333); + t343 = (t341 | t342); + t344 = (~(t343)); + t345 = (t340 & t344); + if (t345 != 0) + goto LAB99; + +LAB96: if (t343 != 0) + goto LAB98; + +LAB97: *((unsigned int *)t331) = 1; + +LAB99: memset(t347, 0, 8); + t348 = (t331 + 4); + t349 = *((unsigned int *)t348); + t350 = (~(t349)); + t351 = *((unsigned int *)t331); + t352 = (t351 & t350); + t353 = (t352 & 1U); + if (t353 != 0) + goto LAB100; + +LAB101: if (*((unsigned int *)t348) != 0) + goto LAB102; + +LAB103: t356 = *((unsigned int *)t314); + t357 = *((unsigned int *)t347); + t358 = (t356 | t357); + *((unsigned int *)t355) = t358; + t359 = (t314 + 4); + t360 = (t347 + 4); + t361 = (t355 + 4); + t362 = *((unsigned int *)t359); + t363 = *((unsigned int *)t360); + t364 = (t362 | t363); + *((unsigned int *)t361) = t364; + t365 = *((unsigned int *)t361); + t366 = (t365 != 0); + if (t366 == 1) + goto LAB104; + +LAB105: +LAB106: goto LAB95; + +LAB98: t346 = (t331 + 4); + *((unsigned int *)t331) = 1; + *((unsigned int *)t346) = 1; + goto LAB99; + +LAB100: *((unsigned int *)t347) = 1; + goto LAB103; + +LAB102: t354 = (t347 + 4); + *((unsigned int *)t347) = 1; + *((unsigned int *)t354) = 1; + goto LAB103; + +LAB104: t367 = *((unsigned int *)t355); + t368 = *((unsigned int *)t361); + *((unsigned int *)t355) = (t367 | t368); + t369 = (t314 + 4); + t370 = (t347 + 4); + t371 = *((unsigned int *)t369); + t372 = (~(t371)); + t373 = *((unsigned int *)t314); + t374 = (t373 & t372); + t375 = *((unsigned int *)t370); + t376 = (~(t375)); + t377 = *((unsigned int *)t347); + t378 = (t377 & t376); + t379 = (~(t374)); + t380 = (~(t378)); + t381 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t381 & t379); + t382 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t382 & t380); + goto LAB106; + +LAB107: *((unsigned int *)t383) = 1; + goto LAB110; + +LAB109: t390 = (t383 + 4); + *((unsigned int *)t383) = 1; + *((unsigned int *)t390) = 1; + goto LAB110; + +LAB111: t403 = *((unsigned int *)t391); + t404 = *((unsigned int *)t397); + *((unsigned int *)t391) = (t403 | t404); + t405 = (t7 + 4); + t406 = (t383 + 4); + t407 = *((unsigned int *)t7); + t408 = (~(t407)); + t409 = *((unsigned int *)t405); + t410 = (~(t409)); + t411 = *((unsigned int *)t383); + t412 = (~(t411)); + t413 = *((unsigned int *)t406); + t414 = (~(t413)); + t415 = (t408 & t410); + t416 = (t412 & t414); + t417 = (~(t415)); + t418 = (~(t416)); + t419 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t419 & t417); + t420 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t420 & t418); + t421 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t421 & t417); + t422 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t422 & t418); + goto LAB113; + +LAB114: *((unsigned int *)t4) = 1; + goto LAB117; + +LAB119: t434 = *((unsigned int *)t4); + t435 = *((unsigned int *)t431); + *((unsigned int *)t4) = (t434 | t435); + t436 = *((unsigned int *)t430); + t437 = *((unsigned int *)t431); + *((unsigned int *)t430) = (t436 | t437); + goto LAB118; + +} + +static void Always_118_16(char *t0) +{ + char t4[8]; + char t9[8]; + char t25[8]; + char t42[8]; + char t58[8]; + char t66[8]; + char t94[8]; + char t111[8]; + char t127[8]; + char t135[8]; + char t163[8]; + char t180[8]; + char t196[8]; + char t204[8]; + char t232[8]; + char t249[8]; + char t265[8]; + char t273[8]; + char t319[8]; + char t320[8]; + char t331[8]; + char t347[8]; + char t355[8]; + char t383[8]; + char t391[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + char *t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + char *t38; + char *t39; + char *t40; + char *t41; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + char *t71; + char *t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t107; + char *t108; + char *t109; + char *t110; + char *t112; + char *t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + char *t126; + char *t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + char *t134; + unsigned int t136; + unsigned int t137; + unsigned int t138; + char *t139; + char *t140; + char *t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + char *t149; + char *t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + char *t164; + unsigned int t165; + unsigned int t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + char *t170; + char *t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t176; + char *t177; + char *t178; + char *t179; + char *t181; + char *t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + char *t195; + char *t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + char *t203; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t209; + char *t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + char *t218; + char *t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + char *t233; + unsigned int t234; + unsigned int t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + char *t239; + char *t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + unsigned int t244; + char *t245; + char *t246; + char *t247; + char *t248; + char *t250; + char *t251; + unsigned int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + char *t264; + char *t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + char *t272; + unsigned int t274; + unsigned int t275; + unsigned int t276; + char *t277; + char *t278; + char *t279; + unsigned int t280; + unsigned int t281; + unsigned int t282; + unsigned int t283; + unsigned int t284; + unsigned int t285; + unsigned int t286; + char *t287; + char *t288; + unsigned int t289; + unsigned int t290; + unsigned int t291; + int t292; + unsigned int t293; + unsigned int t294; + unsigned int t295; + int t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + unsigned int t300; + char *t301; + unsigned int t302; + unsigned int t303; + unsigned int t304; + unsigned int t305; + unsigned int t306; + char *t307; + char *t308; + char *t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + unsigned int t314; + unsigned int t315; + unsigned int t316; + unsigned int t317; + char *t318; + unsigned int t321; + unsigned int t322; + unsigned int t323; + unsigned int t324; + unsigned int t325; + unsigned int t326; + unsigned int t327; + unsigned int t328; + char *t329; + char *t330; + char *t332; + char *t333; + unsigned int t334; + unsigned int t335; + unsigned int t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + unsigned int t340; + unsigned int t341; + unsigned int t342; + unsigned int t343; + unsigned int t344; + unsigned int t345; + char *t346; + char *t348; + unsigned int t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + char *t354; + unsigned int t356; + unsigned int t357; + unsigned int t358; + char *t359; + char *t360; + char *t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + char *t369; + char *t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + int t374; + unsigned int t375; + unsigned int t376; + unsigned int t377; + int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + char *t384; + unsigned int t385; + unsigned int t386; + unsigned int t387; + unsigned int t388; + unsigned int t389; + char *t390; + unsigned int t392; + unsigned int t393; + unsigned int t394; + char *t395; + char *t396; + char *t397; + unsigned int t398; + unsigned int t399; + unsigned int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + unsigned int t404; + char *t405; + char *t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + int t415; + int t416; + unsigned int t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + char *t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + char *t429; + char *t430; + char *t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + unsigned int t436; + unsigned int t437; + unsigned int t438; + unsigned int t439; + char *t440; + +LAB0: t1 = (t0 + 12256U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(118, ng0); + t2 = (t0 + 12816); + *((int *)t2) = 1; + t3 = (t0 + 12288); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(118, ng0); + +LAB5: xsi_set_current_line(119, ng0); + t5 = (t0 + 7368); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = ((char*)((ng1))); + memset(t9, 0, 8); + t10 = (t7 + 4); + t11 = (t8 + 4); + t12 = *((unsigned int *)t7); + t13 = *((unsigned int *)t8); + t14 = (t12 ^ t13); + t15 = *((unsigned int *)t10); + t16 = *((unsigned int *)t11); + t17 = (t15 ^ t16); + t18 = (t14 | t17); + t19 = *((unsigned int *)t10); + t20 = *((unsigned int *)t11); + t21 = (t19 | t20); + t22 = (~(t21)); + t23 = (t18 & t22); + if (t23 != 0) + goto LAB9; + +LAB6: if (t21 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t9) = 1; + +LAB9: memset(t25, 0, 8); + t26 = (t9 + 4); + t27 = *((unsigned int *)t26); + t28 = (~(t27)); + t29 = *((unsigned int *)t9); + t30 = (t29 & t28); + t31 = (t30 & 1U); + if (t31 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t26) != 0) + goto LAB12; + +LAB13: t33 = (t25 + 4); + t34 = *((unsigned int *)t25); + t35 = (!(t34)); + t36 = *((unsigned int *)t33); + t37 = (t35 || t36); + if (t37 > 0) + goto LAB14; + +LAB15: memcpy(t66, t25, 8); + +LAB16: memset(t94, 0, 8); + t95 = (t66 + 4); + t96 = *((unsigned int *)t95); + t97 = (~(t96)); + t98 = *((unsigned int *)t66); + t99 = (t98 & t97); + t100 = (t99 & 1U); + if (t100 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t95) != 0) + goto LAB30; + +LAB31: t102 = (t94 + 4); + t103 = *((unsigned int *)t94); + t104 = (!(t103)); + t105 = *((unsigned int *)t102); + t106 = (t104 || t105); + if (t106 > 0) + goto LAB32; + +LAB33: memcpy(t135, t94, 8); + +LAB34: memset(t163, 0, 8); + t164 = (t135 + 4); + t165 = *((unsigned int *)t164); + t166 = (~(t165)); + t167 = *((unsigned int *)t135); + t168 = (t167 & t166); + t169 = (t168 & 1U); + if (t169 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t164) != 0) + goto LAB48; + +LAB49: t171 = (t163 + 4); + t172 = *((unsigned int *)t163); + t173 = (!(t172)); + t174 = *((unsigned int *)t171); + t175 = (t173 || t174); + if (t175 > 0) + goto LAB50; + +LAB51: memcpy(t204, t163, 8); + +LAB52: memset(t232, 0, 8); + t233 = (t204 + 4); + t234 = *((unsigned int *)t233); + t235 = (~(t234)); + t236 = *((unsigned int *)t204); + t237 = (t236 & t235); + t238 = (t237 & 1U); + if (t238 != 0) + goto LAB64; + +LAB65: if (*((unsigned int *)t233) != 0) + goto LAB66; + +LAB67: t240 = (t232 + 4); + t241 = *((unsigned int *)t232); + t242 = (!(t241)); + t243 = *((unsigned int *)t240); + t244 = (t242 || t243); + if (t244 > 0) + goto LAB68; + +LAB69: memcpy(t273, t232, 8); + +LAB70: memset(t4, 0, 8); + t301 = (t273 + 4); + t302 = *((unsigned int *)t301); + t303 = (~(t302)); + t304 = *((unsigned int *)t273); + t305 = (t304 & t303); + t306 = (t305 & 1U); + if (t306 != 0) + goto LAB85; + +LAB83: if (*((unsigned int *)t301) == 0) + goto LAB82; + +LAB84: t307 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t307) = 1; + +LAB85: t308 = (t4 + 4); + t309 = (t273 + 4); + t310 = *((unsigned int *)t273); + t311 = (~(t310)); + *((unsigned int *)t4) = t311; + *((unsigned int *)t308) = 0; + if (*((unsigned int *)t309) != 0) + goto LAB87; + +LAB86: t316 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t316 & 1U); + t317 = *((unsigned int *)t308); + *((unsigned int *)t308) = (t317 & 1U); + t318 = (t0 + 3848); + xsi_vlogvar_wait_assign_value(t318, t4, 0, 0, 1, 0LL); + xsi_set_current_line(120, ng0); + t2 = (t0 + 2488U); + t3 = *((char **)t2); + memset(t9, 0, 8); + t2 = (t3 + 4); + t12 = *((unsigned int *)t2); + t13 = (~(t12)); + t14 = *((unsigned int *)t3); + t15 = (t14 & t13); + t16 = (t15 & 1U); + if (t16 != 0) + goto LAB88; + +LAB89: if (*((unsigned int *)t2) != 0) + goto LAB90; + +LAB91: t6 = (t9 + 4); + t17 = *((unsigned int *)t9); + t18 = *((unsigned int *)t6); + t19 = (t17 || t18); + if (t19 > 0) + goto LAB92; + +LAB93: memcpy(t391, t9, 8); + +LAB94: memset(t4, 0, 8); + t423 = (t391 + 4); + t424 = *((unsigned int *)t423); + t425 = (~(t424)); + t426 = *((unsigned int *)t391); + t427 = (t426 & t425); + t428 = (t427 & 1U); + if (t428 != 0) + goto LAB201; + +LAB199: if (*((unsigned int *)t423) == 0) + goto LAB198; + +LAB200: t429 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t429) = 1; + +LAB201: t430 = (t4 + 4); + t431 = (t391 + 4); + t432 = *((unsigned int *)t391); + t433 = (~(t432)); + *((unsigned int *)t4) = t433; + *((unsigned int *)t430) = 0; + if (*((unsigned int *)t431) != 0) + goto LAB203; + +LAB202: t438 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t438 & 1U); + t439 = *((unsigned int *)t430); + *((unsigned int *)t430) = (t439 & 1U); + t440 = (t0 + 4008); + xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); + xsi_set_current_line(121, ng0); + t2 = (t0 + 2648U); + t3 = *((char **)t2); + memset(t9, 0, 8); + t2 = (t3 + 4); + t12 = *((unsigned int *)t2); + t13 = (~(t12)); + t14 = *((unsigned int *)t3); + t15 = (t14 & t13); + t16 = (t15 & 1U); + if (t16 != 0) + goto LAB204; + +LAB205: if (*((unsigned int *)t2) != 0) + goto LAB206; + +LAB207: t6 = (t9 + 4); + t17 = *((unsigned int *)t9); + t18 = *((unsigned int *)t6); + t19 = (t17 || t18); + if (t19 > 0) + goto LAB208; + +LAB209: memcpy(t391, t9, 8); + +LAB210: memset(t4, 0, 8); + t423 = (t391 + 4); + t424 = *((unsigned int *)t423); + t425 = (~(t424)); + t426 = *((unsigned int *)t391); + t427 = (t426 & t425); + t428 = (t427 & 1U); + if (t428 != 0) + goto LAB317; + +LAB315: if (*((unsigned int *)t423) == 0) + goto LAB314; + +LAB316: t429 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t429) = 1; + +LAB317: t430 = (t4 + 4); + t431 = (t391 + 4); + t432 = *((unsigned int *)t391); + t433 = (~(t432)); + *((unsigned int *)t4) = t433; + *((unsigned int *)t430) = 0; + if (*((unsigned int *)t431) != 0) + goto LAB319; + +LAB318: t438 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t438 & 1U); + t439 = *((unsigned int *)t430); + *((unsigned int *)t430) = (t439 & 1U); + t440 = (t0 + 4168); + xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB8: t24 = (t9 + 4); + *((unsigned int *)t9) = 1; + *((unsigned int *)t24) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t25) = 1; + goto LAB13; + +LAB12: t32 = (t25 + 4); + *((unsigned int *)t25) = 1; + *((unsigned int *)t32) = 1; + goto LAB13; + +LAB14: t38 = (t0 + 7368); + t39 = (t38 + 56U); + t40 = *((char **)t39); + t41 = ((char*)((ng6))); + memset(t42, 0, 8); + t43 = (t40 + 4); + t44 = (t41 + 4); + t45 = *((unsigned int *)t40); + t46 = *((unsigned int *)t41); + t47 = (t45 ^ t46); + t48 = *((unsigned int *)t43); + t49 = *((unsigned int *)t44); + t50 = (t48 ^ t49); + t51 = (t47 | t50); + t52 = *((unsigned int *)t43); + t53 = *((unsigned int *)t44); + t54 = (t52 | t53); + t55 = (~(t54)); + t56 = (t51 & t55); + if (t56 != 0) + goto LAB20; + +LAB17: if (t54 != 0) + goto LAB19; + +LAB18: *((unsigned int *)t42) = 1; + +LAB20: memset(t58, 0, 8); + t59 = (t42 + 4); + t60 = *((unsigned int *)t59); + t61 = (~(t60)); + t62 = *((unsigned int *)t42); + t63 = (t62 & t61); + t64 = (t63 & 1U); + if (t64 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t59) != 0) + goto LAB23; + +LAB24: t67 = *((unsigned int *)t25); + t68 = *((unsigned int *)t58); + t69 = (t67 | t68); + *((unsigned int *)t66) = t69; + t70 = (t25 + 4); + t71 = (t58 + 4); + t72 = (t66 + 4); + t73 = *((unsigned int *)t70); + t74 = *((unsigned int *)t71); + t75 = (t73 | t74); + *((unsigned int *)t72) = t75; + t76 = *((unsigned int *)t72); + t77 = (t76 != 0); + if (t77 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB16; + +LAB19: t57 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t57) = 1; + goto LAB20; + +LAB21: *((unsigned int *)t58) = 1; + goto LAB24; + +LAB23: t65 = (t58 + 4); + *((unsigned int *)t58) = 1; + *((unsigned int *)t65) = 1; + goto LAB24; + +LAB25: t78 = *((unsigned int *)t66); + t79 = *((unsigned int *)t72); + *((unsigned int *)t66) = (t78 | t79); + t80 = (t25 + 4); + t81 = (t58 + 4); + t82 = *((unsigned int *)t80); + t83 = (~(t82)); + t84 = *((unsigned int *)t25); + t85 = (t84 & t83); + t86 = *((unsigned int *)t81); + t87 = (~(t86)); + t88 = *((unsigned int *)t58); + t89 = (t88 & t87); + t90 = (~(t85)); + t91 = (~(t89)); + t92 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t92 & t90); + t93 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t93 & t91); + goto LAB27; + +LAB28: *((unsigned int *)t94) = 1; + goto LAB31; + +LAB30: t101 = (t94 + 4); + *((unsigned int *)t94) = 1; + *((unsigned int *)t101) = 1; + goto LAB31; + +LAB32: t107 = (t0 + 7368); + t108 = (t107 + 56U); + t109 = *((char **)t108); + t110 = ((char*)((ng7))); + memset(t111, 0, 8); + t112 = (t109 + 4); + t113 = (t110 + 4); + t114 = *((unsigned int *)t109); + t115 = *((unsigned int *)t110); + t116 = (t114 ^ t115); + t117 = *((unsigned int *)t112); + t118 = *((unsigned int *)t113); + t119 = (t117 ^ t118); + t120 = (t116 | t119); + t121 = *((unsigned int *)t112); + t122 = *((unsigned int *)t113); + t123 = (t121 | t122); + t124 = (~(t123)); + t125 = (t120 & t124); + if (t125 != 0) + goto LAB38; + +LAB35: if (t123 != 0) + goto LAB37; + +LAB36: *((unsigned int *)t111) = 1; + +LAB38: memset(t127, 0, 8); + t128 = (t111 + 4); + t129 = *((unsigned int *)t128); + t130 = (~(t129)); + t131 = *((unsigned int *)t111); + t132 = (t131 & t130); + t133 = (t132 & 1U); + if (t133 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t128) != 0) + goto LAB41; + +LAB42: t136 = *((unsigned int *)t94); + t137 = *((unsigned int *)t127); + t138 = (t136 | t137); + *((unsigned int *)t135) = t138; + t139 = (t94 + 4); + t140 = (t127 + 4); + t141 = (t135 + 4); + t142 = *((unsigned int *)t139); + t143 = *((unsigned int *)t140); + t144 = (t142 | t143); + *((unsigned int *)t141) = t144; + t145 = *((unsigned int *)t141); + t146 = (t145 != 0); + if (t146 == 1) + goto LAB43; + +LAB44: +LAB45: goto LAB34; + +LAB37: t126 = (t111 + 4); + *((unsigned int *)t111) = 1; + *((unsigned int *)t126) = 1; + goto LAB38; + +LAB39: *((unsigned int *)t127) = 1; + goto LAB42; + +LAB41: t134 = (t127 + 4); + *((unsigned int *)t127) = 1; + *((unsigned int *)t134) = 1; + goto LAB42; + +LAB43: t147 = *((unsigned int *)t135); + t148 = *((unsigned int *)t141); + *((unsigned int *)t135) = (t147 | t148); + t149 = (t94 + 4); + t150 = (t127 + 4); + t151 = *((unsigned int *)t149); + t152 = (~(t151)); + t153 = *((unsigned int *)t94); + t154 = (t153 & t152); + t155 = *((unsigned int *)t150); + t156 = (~(t155)); + t157 = *((unsigned int *)t127); + t158 = (t157 & t156); + t159 = (~(t154)); + t160 = (~(t158)); + t161 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t161 & t159); + t162 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t162 & t160); + goto LAB45; + +LAB46: *((unsigned int *)t163) = 1; + goto LAB49; + +LAB48: t170 = (t163 + 4); + *((unsigned int *)t163) = 1; + *((unsigned int *)t170) = 1; + goto LAB49; + +LAB50: t176 = (t0 + 7368); + t177 = (t176 + 56U); + t178 = *((char **)t177); + t179 = ((char*)((ng8))); + memset(t180, 0, 8); + t181 = (t178 + 4); + t182 = (t179 + 4); + t183 = *((unsigned int *)t178); + t184 = *((unsigned int *)t179); + t185 = (t183 ^ t184); + t186 = *((unsigned int *)t181); + t187 = *((unsigned int *)t182); + t188 = (t186 ^ t187); + t189 = (t185 | t188); + t190 = *((unsigned int *)t181); + t191 = *((unsigned int *)t182); + t192 = (t190 | t191); + t193 = (~(t192)); + t194 = (t189 & t193); + if (t194 != 0) + goto LAB56; + +LAB53: if (t192 != 0) + goto LAB55; + +LAB54: *((unsigned int *)t180) = 1; + +LAB56: memset(t196, 0, 8); + t197 = (t180 + 4); + t198 = *((unsigned int *)t197); + t199 = (~(t198)); + t200 = *((unsigned int *)t180); + t201 = (t200 & t199); + t202 = (t201 & 1U); + if (t202 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t197) != 0) + goto LAB59; + +LAB60: t205 = *((unsigned int *)t163); + t206 = *((unsigned int *)t196); + t207 = (t205 | t206); + *((unsigned int *)t204) = t207; + t208 = (t163 + 4); + t209 = (t196 + 4); + t210 = (t204 + 4); + t211 = *((unsigned int *)t208); + t212 = *((unsigned int *)t209); + t213 = (t211 | t212); + *((unsigned int *)t210) = t213; + t214 = *((unsigned int *)t210); + t215 = (t214 != 0); + if (t215 == 1) + goto LAB61; + +LAB62: +LAB63: goto LAB52; + +LAB55: t195 = (t180 + 4); + *((unsigned int *)t180) = 1; + *((unsigned int *)t195) = 1; + goto LAB56; + +LAB57: *((unsigned int *)t196) = 1; + goto LAB60; + +LAB59: t203 = (t196 + 4); + *((unsigned int *)t196) = 1; + *((unsigned int *)t203) = 1; + goto LAB60; + +LAB61: t216 = *((unsigned int *)t204); + t217 = *((unsigned int *)t210); + *((unsigned int *)t204) = (t216 | t217); + t218 = (t163 + 4); + t219 = (t196 + 4); + t220 = *((unsigned int *)t218); + t221 = (~(t220)); + t222 = *((unsigned int *)t163); + t223 = (t222 & t221); + t224 = *((unsigned int *)t219); + t225 = (~(t224)); + t226 = *((unsigned int *)t196); + t227 = (t226 & t225); + t228 = (~(t223)); + t229 = (~(t227)); + t230 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t230 & t228); + t231 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t231 & t229); + goto LAB63; + +LAB64: *((unsigned int *)t232) = 1; + goto LAB67; + +LAB66: t239 = (t232 + 4); + *((unsigned int *)t232) = 1; + *((unsigned int *)t239) = 1; + goto LAB67; + +LAB68: t245 = (t0 + 7368); + t246 = (t245 + 56U); + t247 = *((char **)t246); + t248 = ((char*)((ng9))); + memset(t249, 0, 8); + t250 = (t247 + 4); + t251 = (t248 + 4); + t252 = *((unsigned int *)t247); + t253 = *((unsigned int *)t248); + t254 = (t252 ^ t253); + t255 = *((unsigned int *)t250); + t256 = *((unsigned int *)t251); + t257 = (t255 ^ t256); + t258 = (t254 | t257); + t259 = *((unsigned int *)t250); + t260 = *((unsigned int *)t251); + t261 = (t259 | t260); + t262 = (~(t261)); + t263 = (t258 & t262); + if (t263 != 0) + goto LAB74; + +LAB71: if (t261 != 0) + goto LAB73; + +LAB72: *((unsigned int *)t249) = 1; + +LAB74: memset(t265, 0, 8); + t266 = (t249 + 4); + t267 = *((unsigned int *)t266); + t268 = (~(t267)); + t269 = *((unsigned int *)t249); + t270 = (t269 & t268); + t271 = (t270 & 1U); + if (t271 != 0) + goto LAB75; + +LAB76: if (*((unsigned int *)t266) != 0) + goto LAB77; + +LAB78: t274 = *((unsigned int *)t232); + t275 = *((unsigned int *)t265); + t276 = (t274 | t275); + *((unsigned int *)t273) = t276; + t277 = (t232 + 4); + t278 = (t265 + 4); + t279 = (t273 + 4); + t280 = *((unsigned int *)t277); + t281 = *((unsigned int *)t278); + t282 = (t280 | t281); + *((unsigned int *)t279) = t282; + t283 = *((unsigned int *)t279); + t284 = (t283 != 0); + if (t284 == 1) + goto LAB79; + +LAB80: +LAB81: goto LAB70; + +LAB73: t264 = (t249 + 4); + *((unsigned int *)t249) = 1; + *((unsigned int *)t264) = 1; + goto LAB74; + +LAB75: *((unsigned int *)t265) = 1; + goto LAB78; + +LAB77: t272 = (t265 + 4); + *((unsigned int *)t265) = 1; + *((unsigned int *)t272) = 1; + goto LAB78; + +LAB79: t285 = *((unsigned int *)t273); + t286 = *((unsigned int *)t279); + *((unsigned int *)t273) = (t285 | t286); + t287 = (t232 + 4); + t288 = (t265 + 4); + t289 = *((unsigned int *)t287); + t290 = (~(t289)); + t291 = *((unsigned int *)t232); + t292 = (t291 & t290); + t293 = *((unsigned int *)t288); + t294 = (~(t293)); + t295 = *((unsigned int *)t265); + t296 = (t295 & t294); + t297 = (~(t292)); + t298 = (~(t296)); + t299 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t299 & t297); + t300 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t300 & t298); + goto LAB81; + +LAB82: *((unsigned int *)t4) = 1; + goto LAB85; + +LAB87: t312 = *((unsigned int *)t4); + t313 = *((unsigned int *)t309); + *((unsigned int *)t4) = (t312 | t313); + t314 = *((unsigned int *)t308); + t315 = *((unsigned int *)t309); + *((unsigned int *)t308) = (t314 | t315); + goto LAB86; + +LAB88: *((unsigned int *)t9) = 1; + goto LAB91; + +LAB90: t5 = (t9 + 4); + *((unsigned int *)t9) = 1; + *((unsigned int *)t5) = 1; + goto LAB91; + +LAB92: t7 = (t0 + 7368); + t8 = (t7 + 56U); + t10 = *((char **)t8); + t11 = ((char*)((ng1))); + memset(t25, 0, 8); + t24 = (t10 + 4); + t26 = (t11 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t11); + t22 = (t20 ^ t21); + t23 = *((unsigned int *)t24); + t27 = *((unsigned int *)t26); + t28 = (t23 ^ t27); + t29 = (t22 | t28); + t30 = *((unsigned int *)t24); + t31 = *((unsigned int *)t26); + t34 = (t30 | t31); + t35 = (~(t34)); + t36 = (t29 & t35); + if (t36 != 0) + goto LAB98; + +LAB95: if (t34 != 0) + goto LAB97; + +LAB96: *((unsigned int *)t25) = 1; + +LAB98: memset(t42, 0, 8); + t33 = (t25 + 4); + t37 = *((unsigned int *)t33); + t45 = (~(t37)); + t46 = *((unsigned int *)t25); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB99; + +LAB100: if (*((unsigned int *)t33) != 0) + goto LAB101; + +LAB102: t39 = (t42 + 4); + t49 = *((unsigned int *)t42); + t50 = (!(t49)); + t51 = *((unsigned int *)t39); + t52 = (t50 || t51); + if (t52 > 0) + goto LAB103; + +LAB104: memcpy(t94, t42, 8); + +LAB105: memset(t111, 0, 8); + t102 = (t94 + 4); + t106 = *((unsigned int *)t102); + t114 = (~(t106)); + t115 = *((unsigned int *)t94); + t116 = (t115 & t114); + t117 = (t116 & 1U); + if (t117 != 0) + goto LAB117; + +LAB118: if (*((unsigned int *)t102) != 0) + goto LAB119; + +LAB120: t108 = (t111 + 4); + t118 = *((unsigned int *)t111); + t119 = *((unsigned int *)t108); + t120 = (t118 || t119); + if (t120 > 0) + goto LAB121; + +LAB122: memcpy(t163, t111, 8); + +LAB123: memset(t180, 0, 8); + t164 = (t163 + 4); + t186 = *((unsigned int *)t164); + t187 = (~(t186)); + t188 = *((unsigned int *)t163); + t189 = (t188 & t187); + t190 = (t189 & 1U); + if (t190 != 0) + goto LAB137; + +LAB138: if (*((unsigned int *)t164) != 0) + goto LAB139; + +LAB140: t171 = (t180 + 4); + t191 = *((unsigned int *)t180); + t192 = (!(t191)); + t193 = *((unsigned int *)t171); + t194 = (t192 || t193); + if (t194 > 0) + goto LAB141; + +LAB142: memcpy(t232, t180, 8); + +LAB143: memset(t249, 0, 8); + t233 = (t232 + 4); + t255 = *((unsigned int *)t233); + t256 = (~(t255)); + t257 = *((unsigned int *)t232); + t258 = (t257 & t256); + t259 = (t258 & 1U); + if (t259 != 0) + goto LAB155; + +LAB156: if (*((unsigned int *)t233) != 0) + goto LAB157; + +LAB158: t240 = (t249 + 4); + t260 = *((unsigned int *)t249); + t261 = (!(t260)); + t262 = *((unsigned int *)t240); + t263 = (t261 || t262); + if (t263 > 0) + goto LAB159; + +LAB160: memcpy(t319, t249, 8); + +LAB161: memset(t320, 0, 8); + t301 = (t319 + 4); + t317 = *((unsigned int *)t301); + t321 = (~(t317)); + t322 = *((unsigned int *)t319); + t323 = (t322 & t321); + t324 = (t323 & 1U); + if (t324 != 0) + goto LAB173; + +LAB174: if (*((unsigned int *)t301) != 0) + goto LAB175; + +LAB176: t308 = (t320 + 4); + t325 = *((unsigned int *)t320); + t326 = (!(t325)); + t327 = *((unsigned int *)t308); + t328 = (t326 || t327); + if (t328 > 0) + goto LAB177; + +LAB178: memcpy(t355, t320, 8); + +LAB179: memset(t383, 0, 8); + t384 = (t355 + 4); + t385 = *((unsigned int *)t384); + t386 = (~(t385)); + t387 = *((unsigned int *)t355); + t388 = (t387 & t386); + t389 = (t388 & 1U); + if (t389 != 0) + goto LAB191; + +LAB192: if (*((unsigned int *)t384) != 0) + goto LAB193; + +LAB194: t392 = *((unsigned int *)t9); + t393 = *((unsigned int *)t383); + t394 = (t392 & t393); + *((unsigned int *)t391) = t394; + t395 = (t9 + 4); + t396 = (t383 + 4); + t397 = (t391 + 4); + t398 = *((unsigned int *)t395); + t399 = *((unsigned int *)t396); + t400 = (t398 | t399); + *((unsigned int *)t397) = t400; + t401 = *((unsigned int *)t397); + t402 = (t401 != 0); + if (t402 == 1) + goto LAB195; + +LAB196: +LAB197: goto LAB94; + +LAB97: t32 = (t25 + 4); + *((unsigned int *)t25) = 1; + *((unsigned int *)t32) = 1; + goto LAB98; + +LAB99: *((unsigned int *)t42) = 1; + goto LAB102; + +LAB101: t38 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t38) = 1; + goto LAB102; + +LAB103: t40 = (t0 + 7368); + t41 = (t40 + 56U); + t43 = *((char **)t41); + t44 = ((char*)((ng6))); + memset(t58, 0, 8); + t57 = (t43 + 4); + t59 = (t44 + 4); + t53 = *((unsigned int *)t43); + t54 = *((unsigned int *)t44); + t55 = (t53 ^ t54); + t56 = *((unsigned int *)t57); + t60 = *((unsigned int *)t59); + t61 = (t56 ^ t60); + t62 = (t55 | t61); + t63 = *((unsigned int *)t57); + t64 = *((unsigned int *)t59); + t67 = (t63 | t64); + t68 = (~(t67)); + t69 = (t62 & t68); + if (t69 != 0) + goto LAB109; + +LAB106: if (t67 != 0) + goto LAB108; + +LAB107: *((unsigned int *)t58) = 1; + +LAB109: memset(t66, 0, 8); + t70 = (t58 + 4); + t73 = *((unsigned int *)t70); + t74 = (~(t73)); + t75 = *((unsigned int *)t58); + t76 = (t75 & t74); + t77 = (t76 & 1U); + if (t77 != 0) + goto LAB110; + +LAB111: if (*((unsigned int *)t70) != 0) + goto LAB112; + +LAB113: t78 = *((unsigned int *)t42); + t79 = *((unsigned int *)t66); + t82 = (t78 | t79); + *((unsigned int *)t94) = t82; + t72 = (t42 + 4); + t80 = (t66 + 4); + t81 = (t94 + 4); + t83 = *((unsigned int *)t72); + t84 = *((unsigned int *)t80); + t86 = (t83 | t84); + *((unsigned int *)t81) = t86; + t87 = *((unsigned int *)t81); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB114; + +LAB115: +LAB116: goto LAB105; + +LAB108: t65 = (t58 + 4); + *((unsigned int *)t58) = 1; + *((unsigned int *)t65) = 1; + goto LAB109; + +LAB110: *((unsigned int *)t66) = 1; + goto LAB113; + +LAB112: t71 = (t66 + 4); + *((unsigned int *)t66) = 1; + *((unsigned int *)t71) = 1; + goto LAB113; + +LAB114: t90 = *((unsigned int *)t94); + t91 = *((unsigned int *)t81); + *((unsigned int *)t94) = (t90 | t91); + t95 = (t42 + 4); + t101 = (t66 + 4); + t92 = *((unsigned int *)t95); + t93 = (~(t92)); + t96 = *((unsigned int *)t42); + t85 = (t96 & t93); + t97 = *((unsigned int *)t101); + t98 = (~(t97)); + t99 = *((unsigned int *)t66); + t89 = (t99 & t98); + t100 = (~(t85)); + t103 = (~(t89)); + t104 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t104 & t100); + t105 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t105 & t103); + goto LAB116; + +LAB117: *((unsigned int *)t111) = 1; + goto LAB120; + +LAB119: t107 = (t111 + 4); + *((unsigned int *)t111) = 1; + *((unsigned int *)t107) = 1; + goto LAB120; + +LAB121: t109 = (t0 + 2808U); + t110 = *((char **)t109); + memset(t127, 0, 8); + t109 = (t110 + 4); + t121 = *((unsigned int *)t109); + t122 = (~(t121)); + t123 = *((unsigned int *)t110); + t124 = (t123 & t122); + t125 = (t124 & 1U); + if (t125 != 0) + goto LAB127; + +LAB125: if (*((unsigned int *)t109) == 0) + goto LAB124; + +LAB126: t112 = (t127 + 4); + *((unsigned int *)t127) = 1; + *((unsigned int *)t112) = 1; + +LAB127: t113 = (t127 + 4); + t126 = (t110 + 4); + t129 = *((unsigned int *)t110); + t130 = (~(t129)); + *((unsigned int *)t127) = t130; + *((unsigned int *)t113) = 0; + if (*((unsigned int *)t126) != 0) + goto LAB129; + +LAB128: t137 = *((unsigned int *)t127); + *((unsigned int *)t127) = (t137 & 1U); + t138 = *((unsigned int *)t113); + *((unsigned int *)t113) = (t138 & 1U); + memset(t135, 0, 8); + t128 = (t127 + 4); + t142 = *((unsigned int *)t128); + t143 = (~(t142)); + t144 = *((unsigned int *)t127); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB130; + +LAB131: if (*((unsigned int *)t128) != 0) + goto LAB132; + +LAB133: t147 = *((unsigned int *)t111); + t148 = *((unsigned int *)t135); + t151 = (t147 & t148); + *((unsigned int *)t163) = t151; + t139 = (t111 + 4); + t140 = (t135 + 4); + t141 = (t163 + 4); + t152 = *((unsigned int *)t139); + t153 = *((unsigned int *)t140); + t155 = (t152 | t153); + *((unsigned int *)t141) = t155; + t156 = *((unsigned int *)t141); + t157 = (t156 != 0); + if (t157 == 1) + goto LAB134; + +LAB135: +LAB136: goto LAB123; + +LAB124: *((unsigned int *)t127) = 1; + goto LAB127; + +LAB129: t131 = *((unsigned int *)t127); + t132 = *((unsigned int *)t126); + *((unsigned int *)t127) = (t131 | t132); + t133 = *((unsigned int *)t113); + t136 = *((unsigned int *)t126); + *((unsigned int *)t113) = (t133 | t136); + goto LAB128; + +LAB130: *((unsigned int *)t135) = 1; + goto LAB133; + +LAB132: t134 = (t135 + 4); + *((unsigned int *)t135) = 1; + *((unsigned int *)t134) = 1; + goto LAB133; + +LAB134: t159 = *((unsigned int *)t163); + t160 = *((unsigned int *)t141); + *((unsigned int *)t163) = (t159 | t160); + t149 = (t111 + 4); + t150 = (t135 + 4); + t161 = *((unsigned int *)t111); + t162 = (~(t161)); + t165 = *((unsigned int *)t149); + t166 = (~(t165)); + t167 = *((unsigned int *)t135); + t168 = (~(t167)); + t169 = *((unsigned int *)t150); + t172 = (~(t169)); + t154 = (t162 & t166); + t158 = (t168 & t172); + t173 = (~(t154)); + t174 = (~(t158)); + t175 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t175 & t173); + t183 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t183 & t174); + t184 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t184 & t173); + t185 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t185 & t174); + goto LAB136; + +LAB137: *((unsigned int *)t180) = 1; + goto LAB140; + +LAB139: t170 = (t180 + 4); + *((unsigned int *)t180) = 1; + *((unsigned int *)t170) = 1; + goto LAB140; + +LAB141: t176 = (t0 + 7368); + t177 = (t176 + 56U); + t178 = *((char **)t177); + t179 = ((char*)((ng7))); + memset(t196, 0, 8); + t181 = (t178 + 4); + t182 = (t179 + 4); + t198 = *((unsigned int *)t178); + t199 = *((unsigned int *)t179); + t200 = (t198 ^ t199); + t201 = *((unsigned int *)t181); + t202 = *((unsigned int *)t182); + t205 = (t201 ^ t202); + t206 = (t200 | t205); + t207 = *((unsigned int *)t181); + t211 = *((unsigned int *)t182); + t212 = (t207 | t211); + t213 = (~(t212)); + t214 = (t206 & t213); + if (t214 != 0) + goto LAB147; + +LAB144: if (t212 != 0) + goto LAB146; + +LAB145: *((unsigned int *)t196) = 1; + +LAB147: memset(t204, 0, 8); + t197 = (t196 + 4); + t215 = *((unsigned int *)t197); + t216 = (~(t215)); + t217 = *((unsigned int *)t196); + t220 = (t217 & t216); + t221 = (t220 & 1U); + if (t221 != 0) + goto LAB148; + +LAB149: if (*((unsigned int *)t197) != 0) + goto LAB150; + +LAB151: t222 = *((unsigned int *)t180); + t224 = *((unsigned int *)t204); + t225 = (t222 | t224); + *((unsigned int *)t232) = t225; + t208 = (t180 + 4); + t209 = (t204 + 4); + t210 = (t232 + 4); + t226 = *((unsigned int *)t208); + t228 = *((unsigned int *)t209); + t229 = (t226 | t228); + *((unsigned int *)t210) = t229; + t230 = *((unsigned int *)t210); + t231 = (t230 != 0); + if (t231 == 1) + goto LAB152; + +LAB153: +LAB154: goto LAB143; + +LAB146: t195 = (t196 + 4); + *((unsigned int *)t196) = 1; + *((unsigned int *)t195) = 1; + goto LAB147; + +LAB148: *((unsigned int *)t204) = 1; + goto LAB151; + +LAB150: t203 = (t204 + 4); + *((unsigned int *)t204) = 1; + *((unsigned int *)t203) = 1; + goto LAB151; + +LAB152: t234 = *((unsigned int *)t232); + t235 = *((unsigned int *)t210); + *((unsigned int *)t232) = (t234 | t235); + t218 = (t180 + 4); + t219 = (t204 + 4); + t236 = *((unsigned int *)t218); + t237 = (~(t236)); + t238 = *((unsigned int *)t180); + t223 = (t238 & t237); + t241 = *((unsigned int *)t219); + t242 = (~(t241)); + t243 = *((unsigned int *)t204); + t227 = (t243 & t242); + t244 = (~(t223)); + t252 = (~(t227)); + t253 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t253 & t244); + t254 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t254 & t252); + goto LAB154; + +LAB155: *((unsigned int *)t249) = 1; + goto LAB158; + +LAB157: t239 = (t249 + 4); + *((unsigned int *)t249) = 1; + *((unsigned int *)t239) = 1; + goto LAB158; + +LAB159: t245 = (t0 + 7368); + t246 = (t245 + 56U); + t247 = *((char **)t246); + t248 = ((char*)((ng8))); + memset(t265, 0, 8); + t250 = (t247 + 4); + t251 = (t248 + 4); + t267 = *((unsigned int *)t247); + t268 = *((unsigned int *)t248); + t269 = (t267 ^ t268); + t270 = *((unsigned int *)t250); + t271 = *((unsigned int *)t251); + t274 = (t270 ^ t271); + t275 = (t269 | t274); + t276 = *((unsigned int *)t250); + t280 = *((unsigned int *)t251); + t281 = (t276 | t280); + t282 = (~(t281)); + t283 = (t275 & t282); + if (t283 != 0) + goto LAB165; + +LAB162: if (t281 != 0) + goto LAB164; + +LAB163: *((unsigned int *)t265) = 1; + +LAB165: memset(t273, 0, 8); + t266 = (t265 + 4); + t284 = *((unsigned int *)t266); + t285 = (~(t284)); + t286 = *((unsigned int *)t265); + t289 = (t286 & t285); + t290 = (t289 & 1U); + if (t290 != 0) + goto LAB166; + +LAB167: if (*((unsigned int *)t266) != 0) + goto LAB168; + +LAB169: t291 = *((unsigned int *)t249); + t293 = *((unsigned int *)t273); + t294 = (t291 | t293); + *((unsigned int *)t319) = t294; + t277 = (t249 + 4); + t278 = (t273 + 4); + t279 = (t319 + 4); + t295 = *((unsigned int *)t277); + t297 = *((unsigned int *)t278); + t298 = (t295 | t297); + *((unsigned int *)t279) = t298; + t299 = *((unsigned int *)t279); + t300 = (t299 != 0); + if (t300 == 1) + goto LAB170; + +LAB171: +LAB172: goto LAB161; + +LAB164: t264 = (t265 + 4); + *((unsigned int *)t265) = 1; + *((unsigned int *)t264) = 1; + goto LAB165; + +LAB166: *((unsigned int *)t273) = 1; + goto LAB169; + +LAB168: t272 = (t273 + 4); + *((unsigned int *)t273) = 1; + *((unsigned int *)t272) = 1; + goto LAB169; + +LAB170: t302 = *((unsigned int *)t319); + t303 = *((unsigned int *)t279); + *((unsigned int *)t319) = (t302 | t303); + t287 = (t249 + 4); + t288 = (t273 + 4); + t304 = *((unsigned int *)t287); + t305 = (~(t304)); + t306 = *((unsigned int *)t249); + t292 = (t306 & t305); + t310 = *((unsigned int *)t288); + t311 = (~(t310)); + t312 = *((unsigned int *)t273); + t296 = (t312 & t311); + t313 = (~(t292)); + t314 = (~(t296)); + t315 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t315 & t313); + t316 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t316 & t314); + goto LAB172; + +LAB173: *((unsigned int *)t320) = 1; + goto LAB176; + +LAB175: t307 = (t320 + 4); + *((unsigned int *)t320) = 1; + *((unsigned int *)t307) = 1; + goto LAB176; + +LAB177: t309 = (t0 + 7368); + t318 = (t309 + 56U); + t329 = *((char **)t318); + t330 = ((char*)((ng9))); + memset(t331, 0, 8); + t332 = (t329 + 4); + t333 = (t330 + 4); + t334 = *((unsigned int *)t329); + t335 = *((unsigned int *)t330); + t336 = (t334 ^ t335); + t337 = *((unsigned int *)t332); + t338 = *((unsigned int *)t333); + t339 = (t337 ^ t338); + t340 = (t336 | t339); + t341 = *((unsigned int *)t332); + t342 = *((unsigned int *)t333); + t343 = (t341 | t342); + t344 = (~(t343)); + t345 = (t340 & t344); + if (t345 != 0) + goto LAB183; + +LAB180: if (t343 != 0) + goto LAB182; + +LAB181: *((unsigned int *)t331) = 1; + +LAB183: memset(t347, 0, 8); + t348 = (t331 + 4); + t349 = *((unsigned int *)t348); + t350 = (~(t349)); + t351 = *((unsigned int *)t331); + t352 = (t351 & t350); + t353 = (t352 & 1U); + if (t353 != 0) + goto LAB184; + +LAB185: if (*((unsigned int *)t348) != 0) + goto LAB186; + +LAB187: t356 = *((unsigned int *)t320); + t357 = *((unsigned int *)t347); + t358 = (t356 | t357); + *((unsigned int *)t355) = t358; + t359 = (t320 + 4); + t360 = (t347 + 4); + t361 = (t355 + 4); + t362 = *((unsigned int *)t359); + t363 = *((unsigned int *)t360); + t364 = (t362 | t363); + *((unsigned int *)t361) = t364; + t365 = *((unsigned int *)t361); + t366 = (t365 != 0); + if (t366 == 1) + goto LAB188; + +LAB189: +LAB190: goto LAB179; + +LAB182: t346 = (t331 + 4); + *((unsigned int *)t331) = 1; + *((unsigned int *)t346) = 1; + goto LAB183; + +LAB184: *((unsigned int *)t347) = 1; + goto LAB187; + +LAB186: t354 = (t347 + 4); + *((unsigned int *)t347) = 1; + *((unsigned int *)t354) = 1; + goto LAB187; + +LAB188: t367 = *((unsigned int *)t355); + t368 = *((unsigned int *)t361); + *((unsigned int *)t355) = (t367 | t368); + t369 = (t320 + 4); + t370 = (t347 + 4); + t371 = *((unsigned int *)t369); + t372 = (~(t371)); + t373 = *((unsigned int *)t320); + t374 = (t373 & t372); + t375 = *((unsigned int *)t370); + t376 = (~(t375)); + t377 = *((unsigned int *)t347); + t378 = (t377 & t376); + t379 = (~(t374)); + t380 = (~(t378)); + t381 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t381 & t379); + t382 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t382 & t380); + goto LAB190; + +LAB191: *((unsigned int *)t383) = 1; + goto LAB194; + +LAB193: t390 = (t383 + 4); + *((unsigned int *)t383) = 1; + *((unsigned int *)t390) = 1; + goto LAB194; + +LAB195: t403 = *((unsigned int *)t391); + t404 = *((unsigned int *)t397); + *((unsigned int *)t391) = (t403 | t404); + t405 = (t9 + 4); + t406 = (t383 + 4); + t407 = *((unsigned int *)t9); + t408 = (~(t407)); + t409 = *((unsigned int *)t405); + t410 = (~(t409)); + t411 = *((unsigned int *)t383); + t412 = (~(t411)); + t413 = *((unsigned int *)t406); + t414 = (~(t413)); + t415 = (t408 & t410); + t416 = (t412 & t414); + t417 = (~(t415)); + t418 = (~(t416)); + t419 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t419 & t417); + t420 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t420 & t418); + t421 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t421 & t417); + t422 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t422 & t418); + goto LAB197; + +LAB198: *((unsigned int *)t4) = 1; + goto LAB201; + +LAB203: t434 = *((unsigned int *)t4); + t435 = *((unsigned int *)t431); + *((unsigned int *)t4) = (t434 | t435); + t436 = *((unsigned int *)t430); + t437 = *((unsigned int *)t431); + *((unsigned int *)t430) = (t436 | t437); + goto LAB202; + +LAB204: *((unsigned int *)t9) = 1; + goto LAB207; + +LAB206: t5 = (t9 + 4); + *((unsigned int *)t9) = 1; + *((unsigned int *)t5) = 1; + goto LAB207; + +LAB208: t7 = (t0 + 7368); + t8 = (t7 + 56U); + t10 = *((char **)t8); + t11 = ((char*)((ng1))); + memset(t25, 0, 8); + t24 = (t10 + 4); + t26 = (t11 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t11); + t22 = (t20 ^ t21); + t23 = *((unsigned int *)t24); + t27 = *((unsigned int *)t26); + t28 = (t23 ^ t27); + t29 = (t22 | t28); + t30 = *((unsigned int *)t24); + t31 = *((unsigned int *)t26); + t34 = (t30 | t31); + t35 = (~(t34)); + t36 = (t29 & t35); + if (t36 != 0) + goto LAB214; + +LAB211: if (t34 != 0) + goto LAB213; + +LAB212: *((unsigned int *)t25) = 1; + +LAB214: memset(t42, 0, 8); + t33 = (t25 + 4); + t37 = *((unsigned int *)t33); + t45 = (~(t37)); + t46 = *((unsigned int *)t25); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB215; + +LAB216: if (*((unsigned int *)t33) != 0) + goto LAB217; + +LAB218: t39 = (t42 + 4); + t49 = *((unsigned int *)t42); + t50 = (!(t49)); + t51 = *((unsigned int *)t39); + t52 = (t50 || t51); + if (t52 > 0) + goto LAB219; + +LAB220: memcpy(t94, t42, 8); + +LAB221: memset(t111, 0, 8); + t102 = (t94 + 4); + t106 = *((unsigned int *)t102); + t114 = (~(t106)); + t115 = *((unsigned int *)t94); + t116 = (t115 & t114); + t117 = (t116 & 1U); + if (t117 != 0) + goto LAB233; + +LAB234: if (*((unsigned int *)t102) != 0) + goto LAB235; + +LAB236: t108 = (t111 + 4); + t118 = *((unsigned int *)t111); + t119 = *((unsigned int *)t108); + t120 = (t118 || t119); + if (t120 > 0) + goto LAB237; + +LAB238: memcpy(t163, t111, 8); + +LAB239: memset(t180, 0, 8); + t164 = (t163 + 4); + t186 = *((unsigned int *)t164); + t187 = (~(t186)); + t188 = *((unsigned int *)t163); + t189 = (t188 & t187); + t190 = (t189 & 1U); + if (t190 != 0) + goto LAB253; + +LAB254: if (*((unsigned int *)t164) != 0) + goto LAB255; + +LAB256: t171 = (t180 + 4); + t191 = *((unsigned int *)t180); + t192 = (!(t191)); + t193 = *((unsigned int *)t171); + t194 = (t192 || t193); + if (t194 > 0) + goto LAB257; + +LAB258: memcpy(t232, t180, 8); + +LAB259: memset(t249, 0, 8); + t233 = (t232 + 4); + t255 = *((unsigned int *)t233); + t256 = (~(t255)); + t257 = *((unsigned int *)t232); + t258 = (t257 & t256); + t259 = (t258 & 1U); + if (t259 != 0) + goto LAB271; + +LAB272: if (*((unsigned int *)t233) != 0) + goto LAB273; + +LAB274: t240 = (t249 + 4); + t260 = *((unsigned int *)t249); + t261 = (!(t260)); + t262 = *((unsigned int *)t240); + t263 = (t261 || t262); + if (t263 > 0) + goto LAB275; + +LAB276: memcpy(t319, t249, 8); + +LAB277: memset(t320, 0, 8); + t301 = (t319 + 4); + t317 = *((unsigned int *)t301); + t321 = (~(t317)); + t322 = *((unsigned int *)t319); + t323 = (t322 & t321); + t324 = (t323 & 1U); + if (t324 != 0) + goto LAB289; + +LAB290: if (*((unsigned int *)t301) != 0) + goto LAB291; + +LAB292: t308 = (t320 + 4); + t325 = *((unsigned int *)t320); + t326 = (!(t325)); + t327 = *((unsigned int *)t308); + t328 = (t326 || t327); + if (t328 > 0) + goto LAB293; + +LAB294: memcpy(t355, t320, 8); + +LAB295: memset(t383, 0, 8); + t384 = (t355 + 4); + t385 = *((unsigned int *)t384); + t386 = (~(t385)); + t387 = *((unsigned int *)t355); + t388 = (t387 & t386); + t389 = (t388 & 1U); + if (t389 != 0) + goto LAB307; + +LAB308: if (*((unsigned int *)t384) != 0) + goto LAB309; + +LAB310: t392 = *((unsigned int *)t9); + t393 = *((unsigned int *)t383); + t394 = (t392 & t393); + *((unsigned int *)t391) = t394; + t395 = (t9 + 4); + t396 = (t383 + 4); + t397 = (t391 + 4); + t398 = *((unsigned int *)t395); + t399 = *((unsigned int *)t396); + t400 = (t398 | t399); + *((unsigned int *)t397) = t400; + t401 = *((unsigned int *)t397); + t402 = (t401 != 0); + if (t402 == 1) + goto LAB311; + +LAB312: +LAB313: goto LAB210; + +LAB213: t32 = (t25 + 4); + *((unsigned int *)t25) = 1; + *((unsigned int *)t32) = 1; + goto LAB214; + +LAB215: *((unsigned int *)t42) = 1; + goto LAB218; + +LAB217: t38 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t38) = 1; + goto LAB218; + +LAB219: t40 = (t0 + 7368); + t41 = (t40 + 56U); + t43 = *((char **)t41); + t44 = ((char*)((ng6))); + memset(t58, 0, 8); + t57 = (t43 + 4); + t59 = (t44 + 4); + t53 = *((unsigned int *)t43); + t54 = *((unsigned int *)t44); + t55 = (t53 ^ t54); + t56 = *((unsigned int *)t57); + t60 = *((unsigned int *)t59); + t61 = (t56 ^ t60); + t62 = (t55 | t61); + t63 = *((unsigned int *)t57); + t64 = *((unsigned int *)t59); + t67 = (t63 | t64); + t68 = (~(t67)); + t69 = (t62 & t68); + if (t69 != 0) + goto LAB225; + +LAB222: if (t67 != 0) + goto LAB224; + +LAB223: *((unsigned int *)t58) = 1; + +LAB225: memset(t66, 0, 8); + t70 = (t58 + 4); + t73 = *((unsigned int *)t70); + t74 = (~(t73)); + t75 = *((unsigned int *)t58); + t76 = (t75 & t74); + t77 = (t76 & 1U); + if (t77 != 0) + goto LAB226; + +LAB227: if (*((unsigned int *)t70) != 0) + goto LAB228; + +LAB229: t78 = *((unsigned int *)t42); + t79 = *((unsigned int *)t66); + t82 = (t78 | t79); + *((unsigned int *)t94) = t82; + t72 = (t42 + 4); + t80 = (t66 + 4); + t81 = (t94 + 4); + t83 = *((unsigned int *)t72); + t84 = *((unsigned int *)t80); + t86 = (t83 | t84); + *((unsigned int *)t81) = t86; + t87 = *((unsigned int *)t81); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB230; + +LAB231: +LAB232: goto LAB221; + +LAB224: t65 = (t58 + 4); + *((unsigned int *)t58) = 1; + *((unsigned int *)t65) = 1; + goto LAB225; + +LAB226: *((unsigned int *)t66) = 1; + goto LAB229; + +LAB228: t71 = (t66 + 4); + *((unsigned int *)t66) = 1; + *((unsigned int *)t71) = 1; + goto LAB229; + +LAB230: t90 = *((unsigned int *)t94); + t91 = *((unsigned int *)t81); + *((unsigned int *)t94) = (t90 | t91); + t95 = (t42 + 4); + t101 = (t66 + 4); + t92 = *((unsigned int *)t95); + t93 = (~(t92)); + t96 = *((unsigned int *)t42); + t85 = (t96 & t93); + t97 = *((unsigned int *)t101); + t98 = (~(t97)); + t99 = *((unsigned int *)t66); + t89 = (t99 & t98); + t100 = (~(t85)); + t103 = (~(t89)); + t104 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t104 & t100); + t105 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t105 & t103); + goto LAB232; + +LAB233: *((unsigned int *)t111) = 1; + goto LAB236; + +LAB235: t107 = (t111 + 4); + *((unsigned int *)t111) = 1; + *((unsigned int *)t107) = 1; + goto LAB236; + +LAB237: t109 = (t0 + 2808U); + t110 = *((char **)t109); + memset(t127, 0, 8); + t109 = (t110 + 4); + t121 = *((unsigned int *)t109); + t122 = (~(t121)); + t123 = *((unsigned int *)t110); + t124 = (t123 & t122); + t125 = (t124 & 1U); + if (t125 != 0) + goto LAB243; + +LAB241: if (*((unsigned int *)t109) == 0) + goto LAB240; + +LAB242: t112 = (t127 + 4); + *((unsigned int *)t127) = 1; + *((unsigned int *)t112) = 1; + +LAB243: t113 = (t127 + 4); + t126 = (t110 + 4); + t129 = *((unsigned int *)t110); + t130 = (~(t129)); + *((unsigned int *)t127) = t130; + *((unsigned int *)t113) = 0; + if (*((unsigned int *)t126) != 0) + goto LAB245; + +LAB244: t137 = *((unsigned int *)t127); + *((unsigned int *)t127) = (t137 & 1U); + t138 = *((unsigned int *)t113); + *((unsigned int *)t113) = (t138 & 1U); + memset(t135, 0, 8); + t128 = (t127 + 4); + t142 = *((unsigned int *)t128); + t143 = (~(t142)); + t144 = *((unsigned int *)t127); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB246; + +LAB247: if (*((unsigned int *)t128) != 0) + goto LAB248; + +LAB249: t147 = *((unsigned int *)t111); + t148 = *((unsigned int *)t135); + t151 = (t147 & t148); + *((unsigned int *)t163) = t151; + t139 = (t111 + 4); + t140 = (t135 + 4); + t141 = (t163 + 4); + t152 = *((unsigned int *)t139); + t153 = *((unsigned int *)t140); + t155 = (t152 | t153); + *((unsigned int *)t141) = t155; + t156 = *((unsigned int *)t141); + t157 = (t156 != 0); + if (t157 == 1) + goto LAB250; + +LAB251: +LAB252: goto LAB239; + +LAB240: *((unsigned int *)t127) = 1; + goto LAB243; + +LAB245: t131 = *((unsigned int *)t127); + t132 = *((unsigned int *)t126); + *((unsigned int *)t127) = (t131 | t132); + t133 = *((unsigned int *)t113); + t136 = *((unsigned int *)t126); + *((unsigned int *)t113) = (t133 | t136); + goto LAB244; + +LAB246: *((unsigned int *)t135) = 1; + goto LAB249; + +LAB248: t134 = (t135 + 4); + *((unsigned int *)t135) = 1; + *((unsigned int *)t134) = 1; + goto LAB249; + +LAB250: t159 = *((unsigned int *)t163); + t160 = *((unsigned int *)t141); + *((unsigned int *)t163) = (t159 | t160); + t149 = (t111 + 4); + t150 = (t135 + 4); + t161 = *((unsigned int *)t111); + t162 = (~(t161)); + t165 = *((unsigned int *)t149); + t166 = (~(t165)); + t167 = *((unsigned int *)t135); + t168 = (~(t167)); + t169 = *((unsigned int *)t150); + t172 = (~(t169)); + t154 = (t162 & t166); + t158 = (t168 & t172); + t173 = (~(t154)); + t174 = (~(t158)); + t175 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t175 & t173); + t183 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t183 & t174); + t184 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t184 & t173); + t185 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t185 & t174); + goto LAB252; + +LAB253: *((unsigned int *)t180) = 1; + goto LAB256; + +LAB255: t170 = (t180 + 4); + *((unsigned int *)t180) = 1; + *((unsigned int *)t170) = 1; + goto LAB256; + +LAB257: t176 = (t0 + 7368); + t177 = (t176 + 56U); + t178 = *((char **)t177); + t179 = ((char*)((ng7))); + memset(t196, 0, 8); + t181 = (t178 + 4); + t182 = (t179 + 4); + t198 = *((unsigned int *)t178); + t199 = *((unsigned int *)t179); + t200 = (t198 ^ t199); + t201 = *((unsigned int *)t181); + t202 = *((unsigned int *)t182); + t205 = (t201 ^ t202); + t206 = (t200 | t205); + t207 = *((unsigned int *)t181); + t211 = *((unsigned int *)t182); + t212 = (t207 | t211); + t213 = (~(t212)); + t214 = (t206 & t213); + if (t214 != 0) + goto LAB263; + +LAB260: if (t212 != 0) + goto LAB262; + +LAB261: *((unsigned int *)t196) = 1; + +LAB263: memset(t204, 0, 8); + t197 = (t196 + 4); + t215 = *((unsigned int *)t197); + t216 = (~(t215)); + t217 = *((unsigned int *)t196); + t220 = (t217 & t216); + t221 = (t220 & 1U); + if (t221 != 0) + goto LAB264; + +LAB265: if (*((unsigned int *)t197) != 0) + goto LAB266; + +LAB267: t222 = *((unsigned int *)t180); + t224 = *((unsigned int *)t204); + t225 = (t222 | t224); + *((unsigned int *)t232) = t225; + t208 = (t180 + 4); + t209 = (t204 + 4); + t210 = (t232 + 4); + t226 = *((unsigned int *)t208); + t228 = *((unsigned int *)t209); + t229 = (t226 | t228); + *((unsigned int *)t210) = t229; + t230 = *((unsigned int *)t210); + t231 = (t230 != 0); + if (t231 == 1) + goto LAB268; + +LAB269: +LAB270: goto LAB259; + +LAB262: t195 = (t196 + 4); + *((unsigned int *)t196) = 1; + *((unsigned int *)t195) = 1; + goto LAB263; + +LAB264: *((unsigned int *)t204) = 1; + goto LAB267; + +LAB266: t203 = (t204 + 4); + *((unsigned int *)t204) = 1; + *((unsigned int *)t203) = 1; + goto LAB267; + +LAB268: t234 = *((unsigned int *)t232); + t235 = *((unsigned int *)t210); + *((unsigned int *)t232) = (t234 | t235); + t218 = (t180 + 4); + t219 = (t204 + 4); + t236 = *((unsigned int *)t218); + t237 = (~(t236)); + t238 = *((unsigned int *)t180); + t223 = (t238 & t237); + t241 = *((unsigned int *)t219); + t242 = (~(t241)); + t243 = *((unsigned int *)t204); + t227 = (t243 & t242); + t244 = (~(t223)); + t252 = (~(t227)); + t253 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t253 & t244); + t254 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t254 & t252); + goto LAB270; + +LAB271: *((unsigned int *)t249) = 1; + goto LAB274; + +LAB273: t239 = (t249 + 4); + *((unsigned int *)t249) = 1; + *((unsigned int *)t239) = 1; + goto LAB274; + +LAB275: t245 = (t0 + 7368); + t246 = (t245 + 56U); + t247 = *((char **)t246); + t248 = ((char*)((ng8))); + memset(t265, 0, 8); + t250 = (t247 + 4); + t251 = (t248 + 4); + t267 = *((unsigned int *)t247); + t268 = *((unsigned int *)t248); + t269 = (t267 ^ t268); + t270 = *((unsigned int *)t250); + t271 = *((unsigned int *)t251); + t274 = (t270 ^ t271); + t275 = (t269 | t274); + t276 = *((unsigned int *)t250); + t280 = *((unsigned int *)t251); + t281 = (t276 | t280); + t282 = (~(t281)); + t283 = (t275 & t282); + if (t283 != 0) + goto LAB281; + +LAB278: if (t281 != 0) + goto LAB280; + +LAB279: *((unsigned int *)t265) = 1; + +LAB281: memset(t273, 0, 8); + t266 = (t265 + 4); + t284 = *((unsigned int *)t266); + t285 = (~(t284)); + t286 = *((unsigned int *)t265); + t289 = (t286 & t285); + t290 = (t289 & 1U); + if (t290 != 0) + goto LAB282; + +LAB283: if (*((unsigned int *)t266) != 0) + goto LAB284; + +LAB285: t291 = *((unsigned int *)t249); + t293 = *((unsigned int *)t273); + t294 = (t291 | t293); + *((unsigned int *)t319) = t294; + t277 = (t249 + 4); + t278 = (t273 + 4); + t279 = (t319 + 4); + t295 = *((unsigned int *)t277); + t297 = *((unsigned int *)t278); + t298 = (t295 | t297); + *((unsigned int *)t279) = t298; + t299 = *((unsigned int *)t279); + t300 = (t299 != 0); + if (t300 == 1) + goto LAB286; + +LAB287: +LAB288: goto LAB277; + +LAB280: t264 = (t265 + 4); + *((unsigned int *)t265) = 1; + *((unsigned int *)t264) = 1; + goto LAB281; + +LAB282: *((unsigned int *)t273) = 1; + goto LAB285; + +LAB284: t272 = (t273 + 4); + *((unsigned int *)t273) = 1; + *((unsigned int *)t272) = 1; + goto LAB285; + +LAB286: t302 = *((unsigned int *)t319); + t303 = *((unsigned int *)t279); + *((unsigned int *)t319) = (t302 | t303); + t287 = (t249 + 4); + t288 = (t273 + 4); + t304 = *((unsigned int *)t287); + t305 = (~(t304)); + t306 = *((unsigned int *)t249); + t292 = (t306 & t305); + t310 = *((unsigned int *)t288); + t311 = (~(t310)); + t312 = *((unsigned int *)t273); + t296 = (t312 & t311); + t313 = (~(t292)); + t314 = (~(t296)); + t315 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t315 & t313); + t316 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t316 & t314); + goto LAB288; + +LAB289: *((unsigned int *)t320) = 1; + goto LAB292; + +LAB291: t307 = (t320 + 4); + *((unsigned int *)t320) = 1; + *((unsigned int *)t307) = 1; + goto LAB292; + +LAB293: t309 = (t0 + 7368); + t318 = (t309 + 56U); + t329 = *((char **)t318); + t330 = ((char*)((ng9))); + memset(t331, 0, 8); + t332 = (t329 + 4); + t333 = (t330 + 4); + t334 = *((unsigned int *)t329); + t335 = *((unsigned int *)t330); + t336 = (t334 ^ t335); + t337 = *((unsigned int *)t332); + t338 = *((unsigned int *)t333); + t339 = (t337 ^ t338); + t340 = (t336 | t339); + t341 = *((unsigned int *)t332); + t342 = *((unsigned int *)t333); + t343 = (t341 | t342); + t344 = (~(t343)); + t345 = (t340 & t344); + if (t345 != 0) + goto LAB299; + +LAB296: if (t343 != 0) + goto LAB298; + +LAB297: *((unsigned int *)t331) = 1; + +LAB299: memset(t347, 0, 8); + t348 = (t331 + 4); + t349 = *((unsigned int *)t348); + t350 = (~(t349)); + t351 = *((unsigned int *)t331); + t352 = (t351 & t350); + t353 = (t352 & 1U); + if (t353 != 0) + goto LAB300; + +LAB301: if (*((unsigned int *)t348) != 0) + goto LAB302; + +LAB303: t356 = *((unsigned int *)t320); + t357 = *((unsigned int *)t347); + t358 = (t356 | t357); + *((unsigned int *)t355) = t358; + t359 = (t320 + 4); + t360 = (t347 + 4); + t361 = (t355 + 4); + t362 = *((unsigned int *)t359); + t363 = *((unsigned int *)t360); + t364 = (t362 | t363); + *((unsigned int *)t361) = t364; + t365 = *((unsigned int *)t361); + t366 = (t365 != 0); + if (t366 == 1) + goto LAB304; + +LAB305: +LAB306: goto LAB295; + +LAB298: t346 = (t331 + 4); + *((unsigned int *)t331) = 1; + *((unsigned int *)t346) = 1; + goto LAB299; + +LAB300: *((unsigned int *)t347) = 1; + goto LAB303; + +LAB302: t354 = (t347 + 4); + *((unsigned int *)t347) = 1; + *((unsigned int *)t354) = 1; + goto LAB303; + +LAB304: t367 = *((unsigned int *)t355); + t368 = *((unsigned int *)t361); + *((unsigned int *)t355) = (t367 | t368); + t369 = (t320 + 4); + t370 = (t347 + 4); + t371 = *((unsigned int *)t369); + t372 = (~(t371)); + t373 = *((unsigned int *)t320); + t374 = (t373 & t372); + t375 = *((unsigned int *)t370); + t376 = (~(t375)); + t377 = *((unsigned int *)t347); + t378 = (t377 & t376); + t379 = (~(t374)); + t380 = (~(t378)); + t381 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t381 & t379); + t382 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t382 & t380); + goto LAB306; + +LAB307: *((unsigned int *)t383) = 1; + goto LAB310; + +LAB309: t390 = (t383 + 4); + *((unsigned int *)t383) = 1; + *((unsigned int *)t390) = 1; + goto LAB310; + +LAB311: t403 = *((unsigned int *)t391); + t404 = *((unsigned int *)t397); + *((unsigned int *)t391) = (t403 | t404); + t405 = (t9 + 4); + t406 = (t383 + 4); + t407 = *((unsigned int *)t9); + t408 = (~(t407)); + t409 = *((unsigned int *)t405); + t410 = (~(t409)); + t411 = *((unsigned int *)t383); + t412 = (~(t411)); + t413 = *((unsigned int *)t406); + t414 = (~(t413)); + t415 = (t408 & t410); + t416 = (t412 & t414); + t417 = (~(t415)); + t418 = (~(t416)); + t419 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t419 & t417); + t420 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t420 & t418); + t421 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t421 & t417); + t422 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t422 & t418); + goto LAB313; + +LAB314: *((unsigned int *)t4) = 1; + goto LAB317; + +LAB319: t434 = *((unsigned int *)t4); + t435 = *((unsigned int *)t431); + *((unsigned int *)t4) = (t434 | t435); + t436 = *((unsigned int *)t430); + t437 = *((unsigned int *)t431); + *((unsigned int *)t430) = (t436 | t437); + goto LAB318; + +} + + +extern void work_m_00000000000808859884_3633994423_init() +{ + static char *pe[] = {(void *)Always_13_0,(void *)Always_17_1,(void *)Always_23_2,(void *)NetDecl_29_3,(void *)NetDecl_30_4,(void *)NetDecl_31_5,(void *)NetDecl_32_6,(void *)Always_38_7,(void *)Always_39_8,(void *)Always_40_9,(void *)Always_48_10,(void *)Always_49_11,(void *)Always_56_12,(void *)Cont_110_13,(void *)Always_111_14,(void *)Always_112_15,(void *)Always_118_16}; + xsi_register_didat("work_m_00000000000808859884_3633994423", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat new file mode 100644 index 0000000000000000000000000000000000000000..557c2e9603b471dbf7fb71bc591f0ee98a664890 GIT binary patch literal 7580 zcmeHMOKcle6n$-hmeNAYuYn4PppZ~R?6I9>T%g3Ut;8g$n_rXin>vZpSWWEIcAAt; zH%KgCQHcdq%A$)3Sg-*hv8!0IK!_EK2(c&)8Yrwbrl-dQN1HdFO53B(10-ph20+be8SFHM16K(ok?N%Q^A8cHL zzWQJVdmcic0Mo`QG%<(%uK_=!U-Rhi_t4jX6NsGyT7Z6lLZ85G(=Yd>rf}{=SSI$` zn%x#3_1(73`rBM}&UVf+n*He>wCjn)Y*aUFSF~du*cMBvWy;o6!a;qX%XZeWtrvL? zjn^T!=%Dp=DwfG<+WM#U8`=-^Un3kd$Jh(7j2(d4Z%i1+IpR7omVteC*$)r-2AaUb zzMb&FbE_RoeX<8EVcIb;$9`E~y@#NVF{azDz z?f}P!P2jL*p9u6+6T`gcI+PLZAb!K zJEgpjs}=Fh683@lh?BM-;G9eT)_&NBe`kZug*M4od%n}bLDJ@7D( zk>^dI-NB>%9(b6?$ny>mbns}u2Oj1z@>~K82aoo9;Ndexo{s>&6UjVlzXu-XF$P}- zPu}LC3#NwNr*v#0TzBR%27Cb&P?Y{?e%Ot!JM$QM)__F^kLLHl!(2h0AAmCs9?jn% z4=Mhuf@xDjK)pP~I{KyV;@asgTyMfDtmp3tY3HnKl!N)}m`@J&>m{EYd=Ace{G_bZvQ2%mt|PMgD3rOkRA2V4#LePp*sj>lab_*AC$x;Xf(Z1%ha zTpWD&-Dh*ie&>2`#?kHK_&ZH>T?^-&B4cp-#TH#@U28AzZQ>^>+e&_M`{n(^?U!8Q z_RD*i+b{1!ZohtC!TsHSJ_8iDU&bwdvEAbpTeP$nTXeJiUi%~ME2FKf1^Y&@j+*ZR zEb~04=6OoZy-UsWgzdP7)La8<&N(&LkeX{o%{iy$98)t_Q1f|jRGjO~m>wG}JKuSo z>(06!8!S89IrkasdTg-lZ0Fo}tn0DCva_A@xn^CD4VInlX#X$&+!?sRjSD#na8Gh? zb6;~$b3gO^VeaSu82GOU{0l_w2lx$x-yitxpcUY6_BNm$coH}WJOu;+0|)_OfWJAT zz#-rn;8}p*?GFPzKn&;w;y?mO0)0R~kOE!+27p0e2si?y0rr0w7y(9sF<>0vZ-5D4 z5;zXL2=JZ$W#ARyRlou=z!WeI%m7(n7B~sa0XZNK6o5tGG{E17%fJe7miEC>6+aXh zFJ+6Rz-yU&_EaEIm|j}Q=F6o(U#{H0G!>Z06c?W!O$MeH=Vt<`bnj5d8AVmO#Eq^Y z6*q@4?0jrgu~>Wn<)bL~CPzk8ek6&ySYfH0Mns~bV}r>cj9h*& z3D#JAjNELUN{=LmaV2XiP1;m?f^Fa~DotJ#J*#jRSiH_EhQrWmR^g^Fc%7|8=?XTb zQ}M5ezmQ7m{-)BSDmK5IIa{)fuobM9yDdY?p|BNFN3!Kac6#0lMXhkv2nVf5%`mLa znh~t!-o3NSGD0d|$d};^qLUJ07=~p;YF5ZH zI%^jAy8hC7W2@8^o==pm6}90tSIR8}%2uv0wP3MqO=aggvMbpR`j-pEQ-K95SQ9g7 bnw?S8j9B5Wa5x%`L_*ss* zkl?nvzW0%w|NWoy|2$v+^Kr)|>q7>gx2`jcDG~}9lRH}1c1T$LIk>dtzIn=`cKsVqTx=XxqI@eAj8eb zTX$u!aNKB)TiLhkto#Ygu=2+>BRTB85T{?VJL;Y>7nt+SdFI@C3+7*8-SPIqa!}a+ zX65hbgRPdmF1CR@vQ0+E5=)%Gmo00E5vSs>ad@ALyji{Fzi%MJ5=q1ASr@AZH$K7& zS@~1HPH3FHJG{^SW&i$$=Y)~UkK72P*=U5f=k{k77g8=e%5YU)ADwC#Jw_Q&mc8Dn zH$Zp7%EN~br^H=Sy*c(6>y8~%{pxGe*O-gUYs_oS^fS3vt8(X{?zAG39&oi*DwTgy zDmW|u`H*ENVoky@#qrr+mD$_-_vZFgd*C2~7$Snsz z%PEFz+qKvhpIG8j5KGH9L71uJvK<8Eo-#_wJsl}6Cl4ANcP($B<#A>CNhqW&KMoL8 zU~#HY&lJ|Gl-4F<3n_ALY^_h8mH)d~91SBS60x;VslV4AVdZZN^^AsIz@}mgO;N_y z@B;|Q)|O?@EF)XeSoymvs*v;~Vs$Kyv>H+ka|_>0RQufG?~4PCU=8-D*uQ~=j4~gLZ+ApH-94LwJTGE zVzf|MXQH=KfDI!CShnfdEW5>L+4_Z*C6+aZgkf*<)TqkUf;*e{nUn(8H({Wu%GKjd znf7Uk51K}GU29}d9o(85Ck$eZ6{SW)){~R@}zQ3VM za#RVH+{GMt-pY?;r(zXHQHYPYDuf}?{$=<@(>`SO_crVnm5mkQSIpebOjYi1W`!%XcMO4b6DH5?Oto*GZQI$dy=>$VF0>r|Q9m(y= z#GUK4{$v}_zp!2lrV@pR$d>GbWxf)w;2wo;7l@2xEl@9gib8Q~bp zWqn{IT{caNBqG4hIi={bE=Z;6y(Gaiz-6z9QyM3PcbmDFrOR&8Wm%kb-I|VWArBGg zRhcVt-I+zqV>#NknPsHQEWgVVvG$<9e)NHA8RQvIzF=#q$S?|zkSBQu%jD@V4B8k= z`g_&LU0W`y`uEgUhPUqCB;N`4jj%r^VM``p%lHeK2SvTmi zEKa&kO-F~2M~Sm8fwLAckL74sJIhp>kt(-sv8gSMM11XPq}H440(uwj)&h}X6dolz zvJIBGhki<6e&I-L){YLi=&|>ui&{WM9?olcCmSLHY@8j;Q7+;PM^l?gf@dffEz@OL zoOE$bM>mj1iHqjKMb|Ko<)}V^ zY+HC`36cy4h6YnU1{91s!Lk8Kj5ZB(n0&ATnj0?t9oS|bUA5>w7U=K(7vOl3ACFq<=LmzJ32Nz zX&=&3?yqU?Z%Xd)yDY0h77Qr0$J-UM8iX7so6vJoc`IbvVYa5-AaZK^8TWIRQEinr zc7ls)Va_){lw$*%1Pyim1@CSP3iKT35#*%U#`DLy)3ZCxCehXt;>u9c-jfW!lC+;U z`+u5j_<2$oiN>;IxHp;Gk%=ZTeR7^A5k=Abg9HfY?~?e0Fhe5DeuDOqurb1$pt>}P z!QyI8$6Y9k%8f{CEtr`?uXtJ_D@IQ!TYAW1p3(h$YAr;KuXOc{|B)^i4yoD{zB z9dc0!*_|!qlc~-|`2&JrzT0ccoqzZ*>D69{rDSIp z-sx2$f?oXrbHv?;bmZ5~;&@W|S)rsDlMpAH**D+`@HTVLWImNd@V73H0_4WR0d6jm zkp)LkE7T+TffEQbOS9Ew*_tvsezTS%!dS%F+vsi^!H1dKmYDO!8*TC6h@;b>BX6X9?n&*nx(O3%V~UB=3{9$)S1 z4Q6;Wh6jpJD=SlsB?Vqdw$ zh4djPl>^P1GUo+E0Wcy8rD%uq4x<9}QzC-C+lL(OJ2q{aE=d3n+jlE95k--~L8N@> z7cv{%cg=LiLY86q6twF@nm})jR3tf>T!wL{G7+hqgC$SWj&RhDJC{jI6yKota#}LA zC~R{12FrXc>5iS!Yp%maI3FBR!z5@)@0_9?){B>*!(>!ef$b*NFQ&OlG1iPkYo0S} z#?(Zeiw-ho<2o1d+F#?vjn`f&!WJsFNilV{16>-fB}Ckf*D&td+roQPxMnu=il~m( z%*L_)Xl<5^r;a^Zs|Otek|xr#eaKWtYZu@}h78k)#USw!qP0l~!(_yRbYQeL9at%$ zp4pJl3+I1qqP5Q=4x{dkjK|=dW-8}~vQ0or)u%8Qs>|F#qcs)51sDF7 znSb6`4GVr9t3{pfmBeZ<95Gh=;qYTM< z>QgQzjxka@>xhw>{b!5R_8`!JIUN0Ih4ZcsJctMe9{ZUimSZ?SZZk^yBejH>gH^AI zDCxOmcze>`XZG()Hf&2E43W#;ok^_N;JUpTx{iV~u1bbw`yS67i5w$gO-W*M>C{{-9D$OfScx`1TDI}u_U|`yhpKXu zK$Uw38*_|wQH&&3eq~6Wk#b8jd%FSeGF-NV&bGIzm=6--J_*f(OBjI&ml7h3bRmHx zo`finO2Q~+LxRkPgeZ$ag5i@u+#oi*q9y!FOCX6S;Z0A%FSG=i4GF#4OWjEMP&wiT zk?;d%_=sQh5`*m35SU9wXuBW$>k1OwVY#zh|a8N8F@>;7;I(c6Q5{QMHO- z$tPqGjEzw57_prcc#_ua_lt{IESUmuuxkDU7Ww&Z5n-=$J(0q@*34lV1$s<6{YoiE42@ zj&no8si(f0vEF$w_33Hh%UU?)7an0L@-8hUlHn-ksQ#B~r22`B*A^gVTEE<(Xhw|H1 z)K@bu^$7h&Zun38jc=u;j^?-jLSzRfnesU%zmdrGTNkPE&^+RY@LQKIx=|J#%5UFi5Um-PdW3!>x1!(p zR$A(4erpDIFzE!D^xJ9~24j2!BIvg(sfR#ta2$^zI0&~Rg{?e~RD6J;~hjnd6eVS2Jl+D34*&m!uq-+ZoDYA24w8VsW={PAZRba*W5CDG{kk*kL(< ziAW+c2foIZp8Pm1g@yj7$QvrEt83Q_To>V~+aVJ{5l&P3_cXjO z&TVW8zt7{V*@g4n$WwCC7Ynlo8&I>dvMoCsWx$&sneie|D#^(68CJnJ#>hk?D3*LX=y1tf}nnm}{;4+K_z`Tyu`|;h#8$BUTAC zpTf7?_Eq>N$tsxpU^t2jMYxM~9WLqM(9f*tPT&CQ2jq6g+e@LRW&d5QPNujbDbua7 zCYe4iTW-pJBxd0~Wj`+MO4(1w7RvXnvR`1)lgd9~e9katu{Ex?#96A5zPF``#ur=T zdt0Uh!Pc1F`AG^!*YH|q5}ol3=`D;ToJ9GsWnynJWWN~RuWwJ`CaKvNit*w>FTy?) zDy(&zDCK#JqdtEVt%iE2&=%C~Ec`BW0R}?aXF)oCpd_saZ(x@Pw1W)R*iNuz?%WcV6`jZWv}bOq95sG@1UU~4 zD(68hXQ5Ayy3*_#0%wU6c;&maUU0UundruHfAx&SJ<@^Zlmkn1uJehJ)uU#@q0A9u z8>2Ya@#<#haf1nY7FY_op;SmW5dOLmf31f71I1zAaYgK6^`n{9A3unQbzeou=+q&L zOLKZCIDGz*u_iQNR}b|4bE(pPOJw`TqhmLRaPK-=m7`Z#d`4;Ui&b$9Z*_p=JzK0p z1NUrG_UqhLi(~#{k;5;MQGyVL7_oF5E%uSK_4}{sf?Sa#U6Q(?rWQ%!z7In#~5-ZkK}411Ooo>JK{)w)SVez;sGxCNgMe-43}bKD<#fAVZ%K9Q>tSEl(jLWYe5Pc zdDg8R$NF;K!$hT{pfD$9@T8XmCZ4Z^9L+?0A0cgEb5UkGy z3D&SAJRr$R8{{lSI}GooaQ{=b;V-x(Lwe04neCEPpmzmon{FJ??44aWzAmx;6m31{ zkAU@a6z?JY+a<|Lt-nOk9*gytx+KH1-uV-5iItf06k}~vB&Vo=DVHhULzp5bm;ocp z<*r`C7+G{hUg44q)0D=6rp(o*%q=x#-mpyJ^fYjgobT#2d{gkz(=dCoY?IDXtXWEG zl(Rs`FV{#lIAGE+=yk1vhG-mvdo-+ql9 z{7$Y62AM^iSC}Kj0}=QEgX%$)=7UvC@W`er$LN+DnJq}&6BaH*_<_;7Rv^_j>eb8^ z>i!Ksis}V7a_%NuJR~J<5DBkp32$f#-LklraKMxBnwB85A)$-KAYmg?K9tafbPx&G zBFLrFa<}$Md~vlX)|3?E+#OrKTS;4P+kV!u zAWRFPT7@UcgS<+2eg4+lot>BxxP*iYtlZ%UhjG@&TOSs;IX+NEc7t9Td8#&&h@g=p zl#xxJP)2S>0X{<*$zoKnOBNlrknw#@2yt}O|Xk;gHP?KvJ zio?mBpx`s8ktS<$7HhH-MYvhj&a1P-ti~BiCz47FpQ4xeR%+pc!>PvKBXVa~kaaj- znpe8r<$Q=TyiBOJt8gr;_N&UVUv<9@A2^r?Mt6QDi_v|EVO6ez1j2`u`r4EYHg4jZ zQw+>kD-OdNTwgzoJE|mFq=>}5ONKP9n2Xk7 z=%n}5Jb4|&2BMeiY0}YSc?7!MlB_fkTcT)(=|dTYwz?$4n4GYbax%CIR$|Jt?v$ucT!#$bhVPD9{?W+72hqf*@;6|M zEffoU49#^ZHvs-hws1A1cwtsGkTT3ViMa@~I>ClvRtvj$GhUz<-b!P)TgVi#+m|F$ z8I@t9msb$7F2`FXM`nQ?t{&iz7q$HsdGu~0_-l_y*GhTXNWw?o&#sbw`3-ofucFlF zq`6U5>%M}i$@vu(z!*x;1Qz5@x0*3Kk%2OX^J_WBDdU4BcQZ$bF1`KGj?&n18+bm^ z$f3VU4*3i`T6jKDTj4*SsPr9A)H)AR1I!N;U)U*QmB74Hc#=mEa$v@nbY^4(FRpN4 zZdHWMQtvNIz2V}Z(l;rkUzQWIJ{do{(s61~Ka|o76am*KE zmSr#Fh{!X)>N+@qt?UY4D?5{(DQ@|_wS@@T%Bug0J`)?59Ie~9!)AP28EouVw65_{`C5s0052Hhj9&1|p;l3r9*D4sb3Vz73Mx zwPB;*28-f{w!sSkvTvgTUQFd5Z9bhRT%7%9`ka4~RQy!@7wq`6ZlUzj^>(I;vy2=dC;m z>rO7JD(-&(jAvnFC3`oht_ursL9SgGb$%SL8UtvWbm_-dhXxE>Vu zGu|JLTBi-ER>c6dmZExxuNL}UQLUE;Q7f*#TbG#b{PjYg?+tM;ldT~+_GJ!Zp+L$rcGN5&~ZQLVpZ zkJ))l9hn1-u2^yZU#`bgd@Op*(dWsQYSd@xT#r4a;9O6HoF^ynmxc0T(@@7hu6Na3 zFUi&Dp3heMI#RZi;)k9muYeKN3{J!yhdu`iL}KNK``npr1+~-cbs}1@g0qB)$RXZj z^`HRermI5uQe2G1mDF%FyfCDGM(M}Q($v`<)mJ}5Suzk3chqBoFOgMUEG@EeUBPi6 zOK#L>+Od(dMh0biO`G`}tY_gVu`@VuGCS5dxD}HE!@G zYhF&+h>6EaDtmh(yqBNr*<)a4t+Xlu8g@45N?)Xv$m%nOy6E$TCd`Hcz zMm}FRoiV`Ir@=P2A!WPuz!L}ZBl)@yJ0>M9;uw70e$2jZ(L>V5(ARMUQzh;CQ+{6? zI{x^`zV7;O`MTwpech!M{uuh&svY2KMkQXm?!<`2u<9fGy5+y+>*izjb+zt@9~WQa zg5k?>C@&a3Ak!yfT{7J&f6Bqag~H8v=LN&3W%_umTc%IOxG|D)zcsP{4a=_y6Ww6a z``t*aMlo)Qy^*z?F#ht0h%bls#Z-(pDf*rp>*#wT5 z2=8>)V7NOdt-+nZE)enCn@uv+)(CA4?hbYX&%1-t8kFm0xjS~yDe{A?`!PrG#|S%# z&)*bM2b6ycto((=dzdYh1@au5YqsWD`7g%w)xuD$DmR}?Ky5qre~Ly}Trp!d!xrO@ zxZN~MjKYOOlt82Qd4)GDk8*X@0XD3P=tHN{4k1BQ@fm8 z`5R7Cfsy;X6Pblcww-FlQdWL_-YPZTObyn*ZF_+?;_0QNzREa zU~@lPgUt{Dkx^0i6op#V=e$z(xNo zMa@arin<4E+*T!fl#br+maPixj_g}?(@MMc+uVXx%UN|@)%l4}L1!Puu(1R*a<&3J zOMD6!c4d!oeUcCtm)^iO8xDxiHcs^4zn<+HOJ6qAmyCK^@cESb#OoD);+2_>j|nbf zM%)yij728cCyUb}r*MPOzQ#aaqq9irR(b+jc+}lXE^Kolb!=C7B78Edwl*rS$)O6PLrlx7>#C2jcaWX+T$=^;rB_zBnc3E1Wv-jDVDYNVmMt;(6`a*6!bVCrTqa9_d5@_ z197slD1_CCqWBqHuAt+QDCqMs7y8l}F7zX;CRJ_-A@8zC}SWD$j1*B-N1f)3IUhX%%+yw}$RqmaD6z#o$6z%C)xU1aD0jb<;0jb<~ zyxdc#xw#VosobXlDcXz9a-o%g6qnlosdE3~;I0-? zM9+82eG-r=_dP%=_YDts^963X^?+3F!yazG2fgm){vMaURk=R_QmyWdxQja;INt^HG zZuM}xJzPc7rKkd=C{6*Sbk2CVbslc3hwJfhOFr*%Sp`VZ{?WsQXS%q104e>tJY39j zao+``H24u9#pORd-1{ExzF98CBY;%7Nndbr=K)f<9UgA4hnqj!E%)z$l(gRgQWW(m zH}@PsDt87Tm3yCud&t9W0(6G#m%jvrPLCd1KF6g!4v?bF15&vwE^%{j0i<%@2c&X; zju=D9{WTz!+uH2re*Q8y_cA~#cMl*%`}@n?T;mEiHw;Mei+j*)FL&fzH#Z7M@tXul zS@gV@yWh(_5_BwgAHjy@>f&U>MsMG5dgF)L+E(0mJn`5%Uf(jNFTu4}jqs zpornQCRYbV%!$Bo-B85vz6@6sMa)!SxMolo)L3a?DGGAQc%U2XxQ@Y`7-|N(L!&$!9 zhIGR;@Aa&+(+yL-w`tQsU3>$ddhe}qdb+9j(v&{OdzsppF0yDiCtc*w(3l2;i&w7b z0N?s_L!*(tq_rc_di}C=eJJWbh>SMdpf;# zbz6E>>y2%zJ6czz)e>&79IOSe&vdk|_LHq{?MPprS+xoaw)C>L6|MffHOp4sxMuOH z^v#RgI?{_*uWq|>MS9KRWtl*sC9B%jK +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; + + + +static void Initial_77_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5408U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(77, ng0); + +LAB4: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB65; + goto LAB1; + +LAB65: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB66; + goto LAB1; + +LAB66: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB67; + goto LAB1; + +LAB67: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB68; + goto LAB1; + +LAB68: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB69; + goto LAB1; + +LAB69: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB70; + goto LAB1; + +LAB70: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB71; + goto LAB1; + +LAB71: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB72; + goto LAB1; + +LAB72: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB73; + goto LAB1; + +LAB73: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB74; + goto LAB1; + +LAB74: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB75; + goto LAB1; + +LAB75: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB76; + goto LAB1; + +LAB76: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB77; + goto LAB1; + +LAB77: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB78; + goto LAB1; + +LAB78: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB79; + goto LAB1; + +LAB79: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB80; + goto LAB1; + +LAB80: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB81; + goto LAB1; + +LAB81: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB82; + goto LAB1; + +LAB82: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB83; + goto LAB1; + +LAB83: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB84; + goto LAB1; + +LAB84: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB85; + goto LAB1; + +LAB85: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB86; + goto LAB1; + +LAB86: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB87; + goto LAB1; + +LAB87: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB88; + goto LAB1; + +LAB88: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB89; + goto LAB1; + +LAB89: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB90; + goto LAB1; + +LAB90: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB91; + goto LAB1; + +LAB91: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB92; + goto LAB1; + +LAB92: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB93; + goto LAB1; + +LAB93: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB94; + goto LAB1; + +LAB94: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB95; + goto LAB1; + +LAB95: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB96; + goto LAB1; + +LAB96: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB97; + goto LAB1; + +LAB97: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB98; + goto LAB1; + +LAB98: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB99; + goto LAB1; + +LAB99: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB100; + goto LAB1; + +LAB100: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB101; + goto LAB1; + +LAB101: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB102; + goto LAB1; + +LAB102: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB103; + goto LAB1; + +LAB103: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB104; + goto LAB1; + +LAB104: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB105; + goto LAB1; + +LAB105: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB106; + goto LAB1; + +LAB106: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB107; + goto LAB1; + +LAB107: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB108; + goto LAB1; + +LAB108: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB109; + goto LAB1; + +LAB109: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB110; + goto LAB1; + +LAB110: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB111; + goto LAB1; + +LAB111: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB112; + goto LAB1; + +LAB112: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB113; + goto LAB1; + +LAB113: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB114; + goto LAB1; + +LAB114: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB115; + goto LAB1; + +LAB115: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB116; + goto LAB1; + +LAB116: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB117; + goto LAB1; + +LAB117: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB118; + goto LAB1; + +LAB118: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB119; + goto LAB1; + +LAB119: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB120; + goto LAB1; + +LAB120: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB121; + goto LAB1; + +LAB121: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB122; + goto LAB1; + +LAB122: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB123; + goto LAB1; + +LAB123: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB124; + goto LAB1; + +LAB124: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB125; + goto LAB1; + +LAB125: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB126; + goto LAB1; + +LAB126: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB127; + goto LAB1; + +LAB127: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB128; + goto LAB1; + +LAB128: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB129; + goto LAB1; + +LAB129: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB130; + goto LAB1; + +LAB130: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB131; + goto LAB1; + +LAB131: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB132; + goto LAB1; + +LAB132: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB133; + goto LAB1; + +LAB133: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB134; + goto LAB1; + +LAB134: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB135; + goto LAB1; + +LAB135: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB136; + goto LAB1; + +LAB136: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB137; + goto LAB1; + +LAB137: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB138; + goto LAB1; + +LAB138: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB139; + goto LAB1; + +LAB139: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB140; + goto LAB1; + +LAB140: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB141; + goto LAB1; + +LAB141: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB142; + goto LAB1; + +LAB142: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB143; + goto LAB1; + +LAB143: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB144; + goto LAB1; + +LAB144: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB145; + goto LAB1; + +LAB145: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB146; + goto LAB1; + +LAB146: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB147; + goto LAB1; + +LAB147: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB148; + goto LAB1; + +LAB148: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB149; + goto LAB1; + +LAB149: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB150; + goto LAB1; + +LAB150: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB151; + goto LAB1; + +LAB151: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB152; + goto LAB1; + +LAB152: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB153; + goto LAB1; + +LAB153: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB154; + goto LAB1; + +LAB154: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB155; + goto LAB1; + +LAB155: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB156; + goto LAB1; + +LAB156: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB157; + goto LAB1; + +LAB157: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB158; + goto LAB1; + +LAB158: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB159; + goto LAB1; + +LAB159: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB160; + goto LAB1; + +LAB160: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB161; + goto LAB1; + +LAB161: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB162; + goto LAB1; + +LAB162: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB163; + goto LAB1; + +LAB163: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB164; + goto LAB1; + +LAB164: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB165; + goto LAB1; + +LAB165: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB166; + goto LAB1; + +LAB166: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB167; + goto LAB1; + +LAB167: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB168; + goto LAB1; + +LAB168: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB169; + goto LAB1; + +LAB169: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB170; + goto LAB1; + +LAB170: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB171; + goto LAB1; + +LAB171: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB172; + goto LAB1; + +LAB172: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB173; + goto LAB1; + +LAB173: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB174; + goto LAB1; + +LAB174: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB175; + goto LAB1; + +LAB175: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB176; + goto LAB1; + +LAB176: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB177; + goto LAB1; + +LAB177: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB178; + goto LAB1; + +LAB178: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB179; + goto LAB1; + +LAB179: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB180; + goto LAB1; + +LAB180: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB181; + goto LAB1; + +LAB181: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB182; + goto LAB1; + +LAB182: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB183; + goto LAB1; + +LAB183: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB184; + goto LAB1; + +LAB184: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB185; + goto LAB1; + +LAB185: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB186; + goto LAB1; + +LAB186: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB187; + goto LAB1; + +LAB187: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB188; + goto LAB1; + +LAB188: goto LAB1; + +} + +static void Initial_126_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5656U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(126, ng0); + +LAB4: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: goto LAB1; + +} + +static void Initial_144_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5904U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(144, ng0); + +LAB4: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 130000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: goto LAB1; + +} + +static void Initial_151_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 6152U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(151, ng0); + +LAB4: xsi_set_current_line(152, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(155, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 320000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(158, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(159, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(160, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(162, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 180000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(163, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(164, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(165, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(167, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 180000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(168, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(169, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(170, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(172, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 180000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(173, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(174, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 1200000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(175, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(177, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 220000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(178, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(179, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(180, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_183_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 6400U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(183, ng0); + +LAB4: xsi_set_current_line(184, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(185, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(186, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(187, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(188, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 195000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(190, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(191, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(192, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(193, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(194, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(195, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(196, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(197, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(199, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(200, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(201, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(202, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(203, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(204, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(205, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(206, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(208, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(209, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(210, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(211, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(212, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(213, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(214, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(215, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(217, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(218, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(219, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(220, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(221, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(222, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(223, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(224, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(227, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(228, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(229, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(230, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(231, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(232, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 1300000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(233, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(234, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: goto LAB1; + +} + + +extern void work_m_00000000001759270804_2646922372_init() +{ + static char *pe[] = {(void *)Initial_77_0,(void *)Initial_126_1,(void *)Initial_144_2,(void *)Initial_151_3,(void *)Initial_183_4}; + xsi_register_didat("work_m_00000000001759270804_2646922372", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat new file mode 100644 index 0000000000000000000000000000000000000000..ad3417be22418962a6e8b1d9fab5a9704254b972 GIT binary patch literal 7072 zcmeI0X>3$g7=}+36U!xi!bm%X&I}+LS8xSW zmjsoFL@M3!M(XpkMOLS?+n?bMBdY zznObG_YGgTe%;2#BBj)x6Zmjr$uUX^oi06YIr>C6cU0 z%7caW$TdceEprcyW*^M4R~Q1_pR1J9MLM9h7?#=UR6cu&VcAx1uM|?}Yg1D|=KH&M zf3~0M5fgIGa&Da<^}Zb(*y)K*_UIy4D|`Q#TOW+icvs6Utez;q=VDhYYg<)-&jeR{ z9OaqF9eB{0mpOyIBMs=`0a$ktg27Kgx9js4QhtDQ_RuteP z_l2yd8=p;s^bw1rHP|*a6WGF>@&C2M(bYTW7s>Z_mNine*FioWV=NE3u4d)wakk|l zYir8W;~dLFuBSwv9_Lyfl4Fy3dYor@NPbP{>2bd0A-VPDJUzx*9+FpY&(mX^aNtQ;B zA809i6vd+%X3HdQoKC5mGDhx~5`FM6mwFztmAcHQLr*o7_jpfc?TwkkWS{h&NhxiK zp}fa6#YJWA%~0O!?LE@+-ZnRh$bW5l-*6SwfV`WW2DMNJ^)MY~fIL4oz}0XKTnq9% zcs<+z4$Oi^kms{zXn{D~2(w`h$aB|CFc(^(4dgkh9p=G&=ztW+vrz^Xz(QCA@~pEM zZUK46ArP|tcGV`4XlM{VI8c84e%Vi058Hz@G`s#uYtTv+X$OL-iN&jZ^7Hp1@FLSco*J- z_u&Kh5W3+b_!vHcPvJ9=T>k}p30q(*dD%+bgZSiC}<-0tQo}6j&&1~$LH?c0} zYo6EI;%lfVFA0Rh4OPB$JeBsPokV+6Tk!(bSJ6d9ptM?5M5`IyI%HHa3mVs!FL(&wkEibhJ(-r7tO6$r;s%qV1VP}O9 qPH}uuyjc3>EPdu#2FgmxgJu4RpEZ|;OUr}7P+73JCDGC-dEh_Mlmw~( literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..7b60d6d5fa9be2b93fd272ba88a1c3af66605abb GIT binary patch literal 47580 zcmchg2Y3`!7lxOPpwgt;P-zwtK)RqHC{a;BL{QYF1&H({6tRKWV$3gyy`qS{cff*O zv7?AxEFkuV9p!)Tez}*uL*mZN^N>84yg7H?`R2^o>~6BjIOg1{3G?>x!-+(*6je=R z73R(=OtIe0KP{1X5bS$~K3`ldk!YS6I3jDz$ik6n+x0TroSsPB$iB>5b^hy>7_lO2 zR6#+iQ2MTJ`X{A4CoynER=&T(6|9}j{?gxiB?k7&nfGm*oRWs7oKv#HKt61?pO{+d zC-a_d^9);#&nY^gMc167!WO-9icV{hlT$RY#lZeWV_FRB4~@tvdO4@$zC=@YRa`yi zoE3$YH?PepZrjG}yXfnskC>0eJyW|~V+xO&fHnO~TAET$N%5_95{Vc3wrF07PkS#l z#olIH5fUxUyjjRn=Z4PZpgUla=YpI^)l`CF}rE6CW*wd zNB^vBPgF^@nk?lMRsSj*=wIB@G|%xbeZrJo^X2!-EZU_uP4&BZwQ--MkZQNQ11lY% zwq9YkP90mXYupgG9NWSg=Gan$>CfqWDivr4Q_?nd0Og-tCO~62iQb#vHvZE#vAlDP zQM&0fc2gbP4pp{3aldg>PrKDTixKj~v8CM|NA;W+HRrZ6qKb)1I}b1TQT9BPceC8; zJiKTV%bk-u4=>qG%OBGB+%u#d+{&^KuDq{+tq$&Go0xe>uh>n?AJQNWE^SD!`Uh9; zSF*jK>Mn*Mz2-%gIi&U6siu7)y%9cYt1qNCZCbel(mkQRkXG5P%b(HVsbh0rNN@Sa zW^X{DXY{sB%sivjcGL1_bXOH`M(_9s7k)--yr?o~bTCnAGkPz4)K)Wk-=>9{(Fb z8_-w&k%b@7*Ite{Ae+Mt!92SvHqWk#&9kdw^X#hFJiCHM}sih|Fk50l;71YUR0S~9py*e8i*?IR<_lwZu6qb?CNvw zZqmM}Zx0{kcXg*1Rc2SS{HVJEQRUsrx9aL{FKW(!`gV?6p4IQ*N8J;M`i~RncXgi^ zHDY)fPn^w-H0=c5ABZaNK4hz|9`K^PS=oEV?m5K`+pyVE^Ifz3-n86%DH76}33Y!u ztgLTp^{s4O?pVsa7oG1l%vbFK+sOIbmwgYnRqM_e*ob?tH~y~VnseVm9^`)~WQ_EC zum6ZjI|u0pmx@XZuCaC0+nnySfkueppzQ9pikh>jmR;L&GtG+~6}hW_d3v7K)y3gY zpx>1dQ{RB6PGFf`@sJQR%J0gLDsxtCVn;>p>T&;(Cc+7f+||*3)WW#4GGc~Ved#~a zM2Pac@}tU})oHP#{8{-?W!?ho#g2;H)y@7R%_VVXWyB1#Iv{qG-<2O#=B(aGwc&nT zxh=Y~4{GV(QbfoJ-IC4WE@|s;DfmWWnE6KHKOXp+@R(HQ1E2Y+^_IsV?(omFBiv9s ze)nvbT!J4niKv6`dt|@^#xjfSHGujDy}PI#F|xzs0(99aZqWq3K6wO z>?r>h7$WLnz6{cyq|D_|L!LmkOzX;s8D@1v>?psh5K(J)s<5u6$Bv5JRV_d2thlo> zVuo2=-@rS85u*IALPT|p9Yq^yx4;llFV(NGu1@l!=Ea?r5i`u{mwH}T5u*HAg@`gg zaZS6oh!Ew^Dn!(-v7;h)b$?y2tFzhXZ0UZ)l5X-_q8JAgl@^^@N7NSi!04jKZPyh7vzsjog@2u*u1E46ou3X zb83ftam5)U_(|omcGK}mo3X z9p%p|MAU;h-U-~6T^$xXDsopJ_w%|M6n9oe%rL8h*in90A);!=j-rjU`@j%U*B%=8 z1V-+vgCBKt+*uhh!>nF7#Oo?Tl;2f|s1ssG`LhZU^;6#p>*`!TYH-|H88O4Gc8(q8 z&niUJy?wkBxGlRnBz9EfuHHY`>*|=evod0aSxt!@<#!b#s%q>g+DN+(3=wr@@3<#0 za#vY?)R4HdGGc~VJ=4qUDngXsRfwozv7=}sZB`+ozB{PGx;o2`I+jBX`Av`Cl@T+{ zs&VWne^w!)?mW;tf!ngH-m#-1cl8e60jIqXHZ<<6jF@3o6JkgCU4@8B#E$ZBfgz$U z?Gg6`M(%1KKWbRqSs5|Ite!l;>ncK&-&KgHV`4}7vkDRQRrd<(>I^^XxVW=2Vuo2Y zh#lq6Dn!(+-MkaHExYO&J1TNltGaq!9UpgAM$9m)aj~QPu0lj@>QZ4{Er=ZzxvN%w z)bO~oGGc~VE${4|zz9)(S0SPX#*U(mwC8~#qSke)u&!qNQ76Qml@T+{s!r@Ee^w!) zZtCcrz-`%8x7bmUyLxSZud5T|&dP`xW;Hr?l;2f|s6RSXSXU*nqat^;ryq4v+*uhh z!>k@@@14L1QGQn;qWZ^xg>_XFJ1TNlyZKQgf604tgE@Pqat_J$d4KqcUDHsFsnQE^iE)eD8H)^QN3bE z(MH;RV2G&IdsJ9gC;L(30ul@T+{YC!BLzpD^YUo@?-u1<*^6}hV&{isQCXJy0;vkF`=EkcyvRfwp-1=Au# z(MH;RV2G%|1=Au#MeZta!L-S7XJy0;vkF`=EkcyvRfwp-1=Au#`LhZU6}Vtpgs8|} z1umF2CGM<@m|<3d3#LVg^1BKV6}VtpgeZSjA)*2oOp6c|xvRhh)27Cql@T+{DsaKH z2vL4lA)*2oOp6fZ&niSz;DTupqS~2L*3bm<|AJW>A}Vmfv}wjnyIB5z{9&jG*%D>M z46_PcFfBrq-&KgHzy;GHL`Ci@aKW?)QIWd}Tre%4V~sT{BW9RY;DTupqWrExLwCQnYWyB1#3S2NP zLX_WCh^W8?(;`IqvkDOvxL{g@sK{LfE|@kW?yQWMVOD_)rbUSIy9yB%xL{g@D1TNV zq5>C8ix3sLtH1@*X2zYB5i`sxaKW?)QGQn;q5>C8ixB0{DnwM^f@u+=B6k(IVA`y> zvod0aSp_bb79q;-DnwM^f@u+={8@#F3S2NPLR93g0vAj>CGM<@m|<3d3#LVg^1BKV z6}VtpgeZSjA)*2oOp6c|xvRhh(`Lt=l@T+{DsaKH2vL4lA)*2oOp6fZ&niSz;DTup zq9S({xM13;ac5=346_PcFfBrq-&KgHH&Si53#LVg@@MrQQPoUD;DTupazeLcbNCTO zITuWu@7{)Fo5N2e{%EL=n|IX5d$!ZZee@w=?x@XMC4F%3^9u4NWfvy%ri_}DG@Klj zJ3cFSR&JK5&zzD!A$wA?oqKEFrBk;KUD|bR*D=|lbH~ozI&|2-ONXp6dHlcAOZRA$ zWJV>C=+ixWNI`CXLH6vClXE9z_nk6&`lQ^+g$3FD@(OdNkIEi8GJk5@!G~mzo;q<% z_OL$PI<@cEaajNC!rX$w(!61_^$!~RZW=2MLR*}bW~03%=kr%5C=tB)1YbMeVN@ zBzGz#<6O6TDI{Ylq&+_g$@l=0@e`!|C2Cru1*F=(kc{J?(kVc4OWbzXKuTNUYAYeR zJ8>@|qcx;GcZOsf4{6UMAQ`7YGB!Xmeure#s%2~0kc`(M8SC8YMo31J+BRc1NJe)^ z#z06JNl3;#S1W>KEP-S!cdIKQ8Q(+dVfJ6;j3wNXEsGj3tovcP}Jkm8-oA$;e_OZP$|z+HL}*J)55;Yr7Ii zdtMCjkNfQV`5@yRS2I88mhlH9W5>GI*cFn|8&Zb(DZGr)kc?9xbTZC|WZda$<|P6d zuR$`taI3#SG8z-04D z?_o&B`>tkQM3%7$l2Ms zLo&ud$~YO4aV{j|8c4<+kc`(|Z8aq0CrCyOHj>c@lF^+H%IF2jI02H856PGd@sHcz z5?8welJNp0<0H5FEyO>Jx@@G3Mv#mSe2|d?DPt%k<5X9h3-OP=T+0U;54hE*AsOo- zWqb?q52GfV%h-(%%4iG8802b0AsGb_|8R@k>J^ZT#~@`q4axWz;vdFFJ}9F~Gi$Vl zN^>C@he0xuZgn!GjEf;meDNAZ65UVU294G#8RF2$C_*tCkc<~0WxNK-_!^Rt*xP2*fn@COYTY0i zLm(Lw-0Eyd#&wV~Zh~a2fMmP_sgHG#jP3TZwK|ZDeIXgW-ReL{Mj@n(*^rFOAQ^W; z%2*D`_{7!LK{6`sYmLT`j8>40!y#o1gk(&FlyMd$V*w=NAy-=t$yfu)_|C0vf@Cz` z&t|lOWE>317zQb093-RI)fPZ9?t)}I<5pKeGJb>9$0kTdleX5#f|St{k}=ZN#z8XX zLCUz&t=Hcgk&5C$(Z6+&wymy2r1)MNXD~}j5Uz@ zSP#jlm2GQvAsPEYGR}6Z3n3X#LCSamlJPkt<4>qgBK7>Voi+A@ROUOZk?vRW=P`y;fVUUbmNXDs%NPYYY$*9-S8m%E^bcSRc?`k6;8K*(Y zxY(`U0Lgd`QpU@Wj4vS>|3J#vzLPcDL8ZBnjH4kLSB$(AQ}B2 zWgH2~$b)2@2`S@$P~+6??|xT%6q4~aB;#wh`X|&lW$fD3X6yyYI0%w41X9KrNXGfD z_CKgeYA?4#GFG_N*C83dK>}6{^K{DDwG7fdCLm(NaK*~51l5sU8 z<330kD{crs zY>k$XGWLaJ^n+xa2q|MCq>TBlb{Qn&en`fPZgmYL<8MeAmHJp?S4hVGkTMR2WQ=vS ziI6hRhh$vmR_}sjya_2|4J6|iNXB-3ZAKGFMh{mz7?N=kB%{!+&Vyv!0x9DzNXAQ$ zj87o-@jWD?{vo#31d`Dal5x0OJr0s_I;4zwkc{gg84p3qcovee!PUNpWYjv;8qFaY z*^rFEkTQ;gWK4&YQ4Gnr3X<`Jt33P!VMxX+ZuJ95#%4$v)pD${2PC5lq>Mu$87I5iR7e>YLNac2tM@@NRzu480Fv=L zBx8reY{o8-j9#vGC?sPPBx9CaJr9y`2c(SqAQ`VhGCqgY$IpWPqyxsWo>gJdj*WGsc0@ggMSTUYxTlCk3v)@T9A*dLNH6jH{Ckc?9xWn2WwxDJx> zw5z=c$@l`2@t0fOuD>0gy5dhh$84wL(b7d`QO4ZuKEZ#(R+Z_ym&iHzcFpQ8uGFB%`mZ9S+GD3&}Xm ztrkNv?tzr?5G3PGNXD0t`uGi!(QJUNHHT#MfMgu)R!2fI&ViIs49U0!lJPiHCt<#? zeFc*7qpSS}$*4cj8f_pMT_735A!Uq&WSkBuV<9BtMo7lO7^?M6t(6OfFz-RkF%j2cJVj2$2udqXk~f|PL-BqQI|WL$E{uj$#?)##!^VeYDmU6 zkox!wlCj${w$=iY(F>AstXs{6WE4TlxCoMQ2PESuNEvTHGB&!}UyzJOL#(kMB;x=` zMiNp+E+k_vq>RfU88<^RR=V07kc@938I_N-mT_AGD;w2EQDm-12s*hJqIb{ZAiu+uJ$h^quKG+Xa~tS2$C@xQbrym;~c1I zD&uNM#_f=d*IeywNXCzljOxR!(GZf+4N}HIkc{DwjA@WE=0GxTa<$tb8P7v9K6IRcZS)&zHnhVLufn=QIRwqHqSO6*Ga!AGl zkc^ig?eASk#wJ&*oV3Pnkc^Iyj6RTzagZ`5LCPqCWLyu)xEqqO%GKV5WNd_F)EZ%p zrjU%DkTUu}GLn#t>5wwchGg98YIj32Rzfn?y44>b84X6-jHZx`PLPZvAY~j6$vDH+ z&W23&|J=$r$Ta zr$frP5>m$Xkc{P!j5i_eZ!ILFYM!mtf@HLUWb|;WM?f;BK+2d7$yfl%xCK(i!;p;k zU2QESV-qB!{>j$Z1CntFq>LjV8RH-sr$frP5R!4Pt33?KSOv-0;8uT!Wb8b_X6ymU z=n2Uf3@Kw2B;#CHyAYCbDW$ZY~8Xch0Tu8<-kc^Yv>M4*iu7#Ab7?SZMBx5zC{e1z+ zs5#lzc7$Z?1Ig&+RtG>b3Ls^i0?D`(l5q#5jAf9Fk6rBxNJe6cH5x%OT0$}ogOo7< zk}&~N#$2dQ!hBylACmE)t1W|MyaUPj)~)^x$=H3W&1eb9=ncsj3e`zvjD=)e;A-jwwNXE-hz0{Gu3CZ{l zl2Ik!X4Hpdbau5Kkc?rFjLB~GbV$YxkTPz8WIO}Ocn?w^8z33m7uZ^TNJbVUqpw>X z49S=YDdTiV#+8tadmv>z0m=Bx)iyvfsuo(K86;zGNXC(nG6q93ra;O#2a<6KB;!$6 zdjgX2J|yEux4IdUvDb8)u{R{+5J<*wNEs(XGXCdkmq0S^g=9SMR#!tZ{)E)WW=O`) zGpx}bQbsRG#u!&S8Io}>q>O9a>K%}b*CA!BhGhH%$*3{YW;B9iba%C0kc<-`8ToE? zF4Q=6i(LXK;|@s13y_SDAocMr)Hr3-on>o{AQ>GX898osC?w-lNEvgXCaJw#3(0r@ zQpVGejPY_8l(EsR zR+(*$){rvVLNX46WF#SFOoo(kv8!DH$#@WwvC^%+2g&#cQbv_it+6{KqZ6czzL1RZ zt~MD`#s!d!MQ-&TNXA=`GTwt^{0hmad78~=49Pga)p|oRPK0D|!V)|1UnTP=Sfy0g zk=a_S%^kIY8t`AGMAq26i9CT#z5Tm6kr-FWU{w{;-W$WbRZ28ZR8DQ9&+Yr<)ZDC2 z9Xoe6pI7F;DyfAbyx)iaj8!F(oII|bDVu$18!GKh#k?6KwM_@}nb~m5et2r1Fn=qm z{g`#`?h@v&NVinZqGJBObW3Fc74w&;s$>=B&f+dA^=2BVQt4dI;NohfrPPNk{zPT*;hu|1B$j%Z|*stWxQ5e}mG`u1~9)yhLK@R+V?Q zs{FiFrGDB(Dkt{gvy6Y-nl(C+4EJZS$-K#Vg^A>0yw4jsG1hGMpLS}W+&}Hp zj{MPW+NT{lC);oNv`d#{yF@aXH)(1zIjbNqnV&l@ub?nDKdC>?Eo+6p@*O>$Kd4RD z3UUjRqo?QR^QXAUiFuQA)7NH9oHB04$o%BUf`Ytplan(>PMq$oPtBh)I=7%8IdddU F{U7M958?m- literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c new file mode 100644 index 0000000..1ffd132 --- /dev/null +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c @@ -0,0 +1,337 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; +static unsigned int ng1[] = {1U, 0U}; +static unsigned int ng2[] = {0U, 0U}; + + + +static void NetDecl_16_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + char *t16; + +LAB0: t1 = (t0 + 6960U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1960U); + t3 = *((char **)t2); + t2 = (t0 + 8648); + t4 = (t2 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t3 + 4); + t11 = *((unsigned int *)t3); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t2, 0, 0U); + t16 = (t0 + 8520); + *((int *)t16) = 1; + +LAB1: return; +} + +static void Cont_48_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7208U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 3640); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8712); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8536); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_49_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7456U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8776); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8552); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_50_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7704U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(50, ng0); + t2 = (t0 + 3960); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8840); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8568); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Initial_52_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 7952U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(52, ng0); + +LAB4: xsi_set_current_line(53, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3640); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(55, ng0); + t2 = (t0 + 7760); + xsi_process_wait(t2, 100000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(56, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3640); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_60_5(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 8200U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(60, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3800); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = (t0 + 8008); + xsi_process_wait(t2, 0LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(63, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3800); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB1; + +} + + +extern void work_m_00000000004134447467_2073120511_init() +{ + static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; + xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat new file mode 100644 index 0000000000000000000000000000000000000000..b3df1012d35fb4e3dee900696a8bb5cd20016fe9 GIT binary patch literal 5500 zcmeH~O>7%Q6vro}K%hViln>E@N~!oP{>nN@p@gKiaZKFW@;V97>&8p4^iAf_= z5C??91r>=4oT6UZV-FGHgnHnB5Jw~;PDSbg)C-4j0ulcI*_miOGX98>0Kt=9-kZ1Y z{dRWzc4l+o=iYOdFRe}X2qA9Via(Gd^t~OSUVx=u({|-1A=YmbV*l+ztllNWm(U-f z%cz}4d}X%~SD?##gt!d73f+MA-Yvvls0Td>orY4@aIKYmi=69D-rzk#7#)UxUhC(3 zh4>13P3!sla1A<*`L9Di24@fE_b%)T^f%07Cu-MVuj@H6W|VU?zOm-Mv=pwL5iE}y zV@Z@HlGlxLiRs_0)x?`wq^cU)W?~qPa(E4ot>h@yfn&~<@@Z()U&El~9PP2~TP1C| z{m9yc>{QvCn>6%!k2sXbWu>qE?QrhUwd}KrE;x7UTF&j&E;xKA z`CRO|*S0vfz-v#;`tUs>hn0c$x3fOn(#T=oEOx=+Hbf5l=$$S&_v%_~`&S8nclIS;+^^xVr}&;QW-EqG zg&eh0p!ho!#*l3|jFY9VH>HGqsLmA>|9~Ry?S{iRIqG^F9M-Y;28t4)tLhC;U2lWK z_nnjf1sqRv?6RTX?NMW&>!WrGaCShCZj;lP{@;l5xBme8XMMEQgUDH3f1`)LFFxJs zgtZ?*J7L^kM+p1xlMWdVI1JA1rw$nh90vRH*A5wvIt=#YE-Y*(>v7Ow@ch}=A>%QJ z!L#RZhm1oGgXhkW!{B~qzKH}-jF z&!2hBF?k#tv7d)AJJ05sIhy<0d4~1B{r9iHZru>vU-)iwU*fkOzti}Qm4xzt{sAcW znsFcBMjn&GsS~)M5N3yb<)@k7=H5L}>YdL##+_y(1zibUtBgOJ~DPu)S z89!rZ_@lX(?D2RpJyNbK7(Y?Y)It4BK5h{)OwW7{+9QQLNKAa6OF`HsyHp;{_ z??k1RuAHjMc)yH^)ZA=MdV?~qy(2OaddZmV6S>)-7ED%UG9kUKL4QmpJ8K2BPg}D3 zzS-g0N>@pyqLB?2gPCYe26Iz0lD?d(Oh+oql?dbK<`&LIXJl+kym+7Ic>`YmfK0>& T`r?ULG9Hhd4o*+jaHl^2kybHp literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..1ad0c1cfbbbbaae0cfe26748e4dad3463cbbc7ea GIT binary patch literal 2810 zcmbVOO>7%g5S~rkHa!4QXlVI2)RiI-Ydf(M7nS1BAZ?L_EFwgtBE2~F)>+{sW_RQI z&;wvmYORd;IU#X~kPsY;#G&aasFi|*gg6j_I927sPlb@URjB5h_txumQ@1E1`Mq!E z&CK^^-tP03&P9mj;y$8BB#MyknsrxVHB{m9HQ<50NJm-w&>#>Z{bYoegc{XMk%}Ok9G`}-iUkyc(pmChsV}>`HK;-)K-SC$8^Swly zGqI=!+!fAkLzh%nd4#?Z&z%tS&Gf&Q1-kkdl;Ez*{eD42yZ>t zytdA}Fub%R{K;s^8nEw7zaBJipl<2C#oW&ci=~a?>g0S%|C_?ubtDn9ln0EZ-;XpHxa#_N3Rzz z&!X8;Zm0M5A*3Bd#f z8);nA_7BhO&qmY}7CQIMM*RsQegwfAJryR7KDvWA3BQ1NSP>h$C0^`DJcf(nmWuf? z3W%$CXi)LcZi(mm5hotrK|CBL{t`D1h`&Yru3ByM5P#5-zXm}9S@q|C2bA9M=YyKk zpHdUm{nRZt845vtqvyUlNHxQpt%_Dm9gwoD$=Ssfpxx zBAra?B@1_>pO-_#T|k&e?=%7Te2DW*iL(=vR#u16mV|-Ae4>79PH6Z2p zePH_$NcsH@^bmd#%AtuW;uMgIC;_R=O&}HVP2l%K;P-1_8^TE`zgK`%=1+lC<}ZL$ z=HGz0z6g!ttkr51NUaut)av`e>L%+!#B#GuR7S15?^}gqSu~2$fb>y%XB1UaPbU%?)=@m62ne4i zKg}F9NMgCn#KeR0frallDI6hv`0^o6)Xh_b#&3tp!|QM2$L~$oauybTqq>&&VBvSI zYxxKkehZZ){C&bD4Qgc+ei*1L;cpYUA1#YTezLm~{w_gs5Ag2ZJVIQyXSWrR{ z_Tb;)UGT7=cMmIG^bhdhNxXQmzBg&ol(Y}>oA-Y6o0<0}Z~Cu~0oj8hz-8r?L6h!O zDnEt;9Dd;UwGhWAai~E1sn+kvPE2jY7PJqwZWwM9EGAx&ZoIl-8|Q|LGn4hWUrXzc z-JaZY6OQu!nA7Qp&i*gkiJnv|P9&?_PV};>M_-)i+fQ2PM8BiI^NY%Tdy0lQ`0!_r zrg)`Wf)mS}*;S=J!g!mO9c8zg(Qz|6ZidG>`=9Mk2Z=oo#?QFN_0(!sy=hpwtut;k zSfgnerey%+bgX7F#w^ybxS?BS&Cu($8vcV@ouL@`y}s;E1Ph}|09p!Th#9)&#A4<}65<#$ z?{duhl%ZQC`<`Qtr&*Dvj*;Xd=z3g)!sA_cS97PXDn#o++EQ4Y@J4(Q3(5O#h<%r( z$L>Ic(jBW7Tsz?jpO5g}@`*3xT7?Wo;mX9s=a|-H*pVW@({j%OAC7I;o3_ok*)Yv! WlQF}@3~2wHc_1wu)0ydZyR+SOW;U%Dx!G=qb?J6? zyF1%$(L`e;T2QH?6%j!ctO7=3FwqzdAxZ+#Xd;Pe48}x0sC=jzF(DY%-!pR#J$uOP zl!T9clXu_yzUMi=d+s@B&b@o`pDX4cIsL@we-;)N?hk^XrLPQvzg!svzq+R3JJtum zr`m!bt%#GivQR+DIPBZxJ1*v`VHcUWM)5)U!*UI8;VKgq>h@OseT%uK9V7K)sM`1C zKQwJuE4s$5x#v2k$h|N(hRUzk4cq11<8uIxE!Q>L8{}v)KD7N9s`g72pOT}KR&Jj| zm%&>33OPEq&9--{#x)+w=QZ%pmdsWYZY~DJU~UYR-zklIjZUN-{iSqHsvUD< zsQdwGJZ^N7%3JBYA_j9~sQky$c+%*kl(*8k6hP+2Q2Eo+_=C|oq@2rU&xM4xcKu#% z3|0H1I=*0ZCY86+d6&^~BRYRm$A250!{?!+pILo8Zbaw5Xe^V>&CHi|*VjiK^A(kL69n(|gUo5Y~w#!&fwX^a`2IpwW% zt`mcf8$;#e(x@4oqsm+9yhjW=ZVZ(llg1rJXI^%x&N1b!bnIE@ zMs)6z#%ZH-{5*8*S?5M{9+Sq;jLz-nq4QZWJeM0o<-d@|Ge+m*%3D3x2{GunF;xCr zX`C@SpHSXP=PohmxG_}zyfpq{biSutub`&qA#H06T#W|*aPcsHTr#!&fsb=qt?K5m$$ba+3bb~EwzgD#8w7sXVdxK%0RkUZZ*&eSO!xqE-PBD~oZ#y@>Zim_!?{o4tIV|5$VixiFt)&%wSRzfKNIj`=M6=@R*i^6TZW z+gZ6iS1;8yeQ?aOtQG9P*EJKFeCczAWD?hg~hld^S`K z_EmYi9QJZK=Cf_8!CoYYeFV!Hg83|K1-n8J`v~^!a?EF0E7;Y7*hjEy<(SX1R`jHSu5r~A?Pkeen0Ev7%XdsQ)fM4Q(`}FYVTCUd=?Jx z7W)N7`tu!%`7G-RyG`sD6=C_-#C(?Zgxw+bZbex8e+?|Z5j$Si6SiOMNkv%u|B|oE zdcu~(e#x+$RhZARMzFVt{jwsu?0?K>StHm9vG*v#vi~ukWo)qXV!xsY%l^lFma)O! zA@-|^u;iM?MDmi>?UY@2GZ zFN*!9A}nVW=Cd1BgMCHp1B$SmRhZB6%&?aUdQcITvkLQBo*DL9LEln@ZI@#{%X7eP z5%iEEEN2zwvpfgvc0u1(guOwI`7F-?J0R#gim+Scn9uSYur~{OSP_=93iDaU23r;M zh$1X!73Q;y4ffN5zN-k!I}h_&#s+&z&}l_j-g%hMGB(&p1)WfY<(;QxtX~uD{^eYO zeL~Qkrah%-85_~y-OGM|T1lrO8hle>jDZ~9_JMs?Y_}q9^r3B;$$j=WVtY+nmm=0o z_xEDAsU2PPv1aUZVn3jE*d9PxGxm(w52_uOv0%;EKa0(%9ri{*na^IV8uRd1u~|h} z#*O(bYXSRrv3W(f#Kd}Rf zu*?V6j9o5hQ0=fgbp?zvo! zQ6uNO1a_AS2NkIoWv9%rxt>utC>Yv)uBWEA+Q|Hs`#?Y=5qK zZ_miHHzr1AD>Ji++efRFLy6qf*xcbtwKkjRo2c!cJCN8nIy1ecluwLJPaaI{&-Qjk z>Gb|Wq887L9_~2$#<~|XgN-ixCnhJV#}ZMxqdU=G%E!49A#t=aGch?eo|v5(ON>t* zm=qk>rluQz>URtpe~XpTgU5qn<<`vDp`e%vs>MtxsAl<7*q0BgBm5bX7l#J3Dk`Xw zEezE|`wz zrsLY->0r1}hzmp6fj-rT`gX>Bg`I^Ut9uSLF87o(eQ`OrD9a8kYU_8i!IGO5OXWtY z0mKs;k_J(a45EHf*Ux5#%Ol0S)3cZ_p7{aROdoHDh&m0GScHW?>VlGBZY*9vG+$WB#jak5(~=dvF4 zKbQ5!>2q0XYuxE%QQYNZ$++9e(s7TIb;i9;))hyM@nk0FM~~0Osh&7$jK>tx$vA2Z z$reO3Mr8}Vanu-?DMYExIC2ITrMlzD8D5l9kH!G)EJR(JmimvlJ`HKz!%cfNE9Y7} Ww`yAIsa=uIq^4$3rHkpQSN;#rdhUP# literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..ca7f480 --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + t_ram_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 65106 + +Sat Dec 11 08:29:09 2021 + + + Elaboration Time: 0.046875 sec + + Current Memory Usage: 5140.57 Meg + + Total Signals : 45 + Total Nets : 67 + Total Signal Drivers : 30 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 40 + Total Traceable Variables : 38 + Total Scalar Nets and Variables : 189 + + Total Simulation Time: 0.078125 sec + + Current Memory Usage: 4668.71 Meg + +Sun Dec 12 07:05:22 2021 + diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll new file mode 100644 index 0000000000000000000000000000000000000000..3df10615c328487adf73c79cbaf80c09e97eba72 GIT binary patch literal 901632 zcmd?Sdwf*Y)i*wq%#Z*H6O?EaltBkgjkP0DpWvX*BojCz6NrF{A`-<5)*B`>f`Xbb z31mDRrH{6@wXODUtBfENfL%=`VWedZQGeSW{Uf4zJ@ z%-Pqq_g;Igwbx#I?VXw{m)UY{Hk$+g6A7Db1-|^}m*21c=|lFAk zjiaW`xv9ZDxBm7U>#w=hJ^Py5Zo56=zV>=|ee^c>O}Dv&lc&0Gz5TlD&nhe&!~Dt$MZS#N`iA=1NE6%vhcbpI4lCzB ztN!|1Zb!k>2oE^59f$8zhn1^D>i-k}G{f2cVxCQl+qJ~#Kl~=owjukU7Qf1qf4LU> z(2bIhprrnHP2Y#&iQ*=d-qT@^Ovnx$IH+Q=YH zw?9w%P81=#)XLqkL5olG6ounKPf0i)_P9GVJ&+&PEAvCXwt80-wJM9Wv4Nuc+%at( z&)7RQ_@`QZnaE!2+tHIftLzb{d9HMzgKf`9K?nTUhb8FwNhsar5TVlDQjs-&>DRf8XTyHa@)HcuCa{jsM~2ufJXRw|@2GLxb^v z0|T&dP-z5n67iv_wAfgCbYSc*hb@{5bONc_)4f3-I`$HW>!DP-4xDHH(*usI1jNhi ziB$0oU3N}#S3pDvN>;bDMTfM!937-G;+Hr&(p^Hn>Teokd}un%c3B~-eY-KOD3MOp zhnBZx_PD%F8|%Cgg2eTG>6FZ$H*7ecd=~R(6u-!izP&5xag)Ezf?-Z;8oilhlWNkU z|E3QcQJVB-fJ!xezxm69i9}fU=ZD8m$d8_^>3-1Zgrev$(uDpK&oUNoTFqLsI;4_m zA4v#WzBYDpJ}Fz(h-^Za`&URczd_TtYI@-h))b&BShTJvoh6~Lz83j+B0sFJLXi;4 zC+bR4rBwaPQuW=b92HRc=cV#X`{jqF{o_*btIOAh^q0|uR~7VAHh4AS^H3s@AiDgT zk`cgEt$F6P4%;&H@YU7q;n1+YKCENBZv+xkysqWjh?!b*UY)~sKhVayvDMzB>|hIO z{?hQ(3~1)7*C@CP6|d9!6gp z`}V<(0-HU0JjVGULX>xdF&9eS)#hgkJXLFSD zM?7;hy+?Qc2q2!YM@PiE?Q!Q)L^_>|@SD(#!b1<{+035b46wPDJ8wtYxP+_a2c%4+ z@P$7i>*OwmPde=>Fhxt7=BmboTu}2gS>&^o)IvV{O~enb`Mo5qPZS^gd7iDMEpjH( z9smGMM_P|cgP1K|_XjKOHI?QV)7G2wjJ?(Q=_)oJJ&E&OnkYW&ag;NP6W<$z4^qt7 zuLsyRG`E3i@zdPQF6ytTtPh^GgMy4{p6Qz2ZQinsF}*_5&$VOy0g&a==B7mP35@G+ zXgb1$D(_R_cEk_>E_2XNmbIL+=uPRCbo5ATPL<- ztNod@DfCJD|LXa%W9+H<`MjO;(}Q2m&utH25<(a|=I3>!Wz0_%x^CXPM&{?IzekT# z^D|looHajVl6ap9#9H%noQxgw6H;lMpZa9Eb5&Yuey)(lQ}goxv_KS}wJbS5@BZ?r z^KLj5UXXt422=-K)nW%t708AgOj~ z#>1X%LWkYvk_|cR!8DIQq;E2}r(&A1RLYpYS(jrY;l3)o74~T6IYLQ#S*l1CiiCBy zd2`%ubNzLf`B?{t*JsX<_%?GYzCqVtyUE-Y;BBuKU+5_XySr=j)tHO*L?b9uGf%kK zftn26cfK9XM#rTZ`Po|ODDzI8fl};pD$_2V#nzOteJ0J>9F+-!}gFR>Nxg$*0TY9OA2ThKxK03%%NyJyTu2QLbU8)dm|h1ivsN2 zaWan?*cpwI#7<4C!p>`}6m~9<_%`!ge7_1iFHt}V=mT03JJ*cuhn>dm6n2~#S$1FF zMP_r`*J9_X>r&WhzXI6V19c~)SKDIqzqGlUO~gP^(?tggdag>2xYqPwIxIYm-H2`k zRqiW=G&X9zYL9IK!ja9z5jfFhD;2&xp&lI9MCN~i`0Ab^3=4w zEToUrTC*#e>NiKIHY_u;F)@`+qioOT)3UW*?-0*SBfDu1KJFJw&ki*=2(Ld-AoGMC7zscVd^T zeL{Y$EfGCI)AO*!OVsA?icKg;L@*Y&Vy&wg_%`q#W8ax$eN zAe~7_1X9ZB79f{A!a24g=PYp8P}Gb6=dhSig%tb!d`u0-ho&Cmx|*yp1?ie@^Rd$5$3m zns_l%^vs^9Ez;t-{q~$;HgBNue-h=T8N-m8(Ue_kPCp!930ZM`PR0hJiWzB6E8xtKcX&L zq>Kcx4aC|@umeaGf9pqi5O5Q)`I?0;uCDkpY%=6qrMg#D6dLPC_iFU+8tjOA z9r!IlQFd>Aq$Gr)gne67_X6l%m2_`?`HmXj_J|{-Uol@ZCYL0NNBj^|*|H;2AjJ8K z&}*r(MnH9|0H#wWiR}LCt1s8A-5qdkZDOY9YwDQG6L%2^%x= zYxItgK2b0}DXdRQVY~!rC(_5$XmKQ@zh2|(hzw66-381i@jhM#)=}fzCQ2~JHXmb~ zh*tfNaBPVP)_Lm6Kl&t*SQaKvZox1Ei6W3@;kYMJ1{zK&DRzja7eQH0Ou=tgFM8M2 z3%cnF8$aIpYImL z^^h16#UnWYeP)Gb+*7Lg4n&UE#x~543>8V#@MGpd67@xUAkzY_@2?IU=iUm=tZsfU zGG5aSnxvB^B+Z!WPSlo!G3Q}pLXl=%j?qEKeV?=zpX-*U z`@RASrHpvIF`1u-o z+AmgwJFtU+-s}7UM_*N<#X9me-?qpwZS4H{oIiB_3XBP9(GN9WhwJ<8ph4r?m;Nr# z*1R@yjt1fg8xzsJDj;Dhkbnw1$pi5@{gE(`a1s;U(%|P0BLZ5oa8UEnVYCGO^XND@ zD%~UrMbXcmKZAaDADMm%w=?s-Z`t~r8U=)sf4cJ-6au33Es3_c^OuOghIE#3v1X3_ zA?$9Tad2k0c^05(apw{#Y}w*qPKi6CnJLM>@e9%7YOcfLVQmBsC*z-Zo&ovDegLd* zQSeNn&6rsl)?W#O@oOMzCu?AQEcOAAbbEaXeiY}2g!60ktu-QU@e6sjHu`$l_X;_` z62-ggUHBpAS3;zb^8q*H`#U(lJOPyryni=%pEMhMNX=KF1|?H=Pa6}pSo280%X%?+ zHIlvsN{5mJ7mWEWYH*b#mQsWaDj~S<6P*4!c*cD83@pWQ3)E{L!geFJsY&^+mgT}f zv`>vMa_%F@7*|`V6UY=pWQ60w!sM3 zw6Otqq}bdD^MB@0Hd0TtM2>C`8_iM~7{lU=AzHAyYN%-tpTGVd@u^70GWS`!-e>&; zgGGe?tQ~06hb7dXx|%&Z(JiWcn(l1+BS^n|wH9yo*pOSJza7&1#HO~J5`I25sYIpQ z+%m~hMCO`nRB)-sl;JtQ&7s4luRF^m6v&5#p%lSZTDJ$AfALaRjvS-uuP9irLSgOs zg;ain8n6#swn|qiN-9;TZMUPnA)GKc@*r*n$GT^Or5#u zap+&w;CN7-QjnSY!(WP4wneqJUld!k!vbaj0A&C;>HBt@)R&DiD=&CRfqeFSR`;7_ zwGLaX&kikEq6=?V_5G>?7ofD&K9x(ASd!KL-O2V@z+5_!q%$c$Q9NiFk#+TSE&daa zEOALJp8$4($oIP*#&OC6I|)`_yo;ZhiAAD2a=I2@>Uo?AAP0?0<6xO0^Fa)5nHF2T z6M6Z)L)E+)540g_zA^&krQzK$I{BLuuI6@7*UEfmzW3h{syKuJFi2JyQ#mFh-y*XHcgjG3hVUO3e;n*y!qmx$L`!5j;oZ@PTrX-9o3}{$4H=55pMBQVfxx%o? z77)kHHraeVEM&{9!Uxe7`jC^@Qq%HYWVQwld;-O!bK&??DxyQN*WxdVpyp!c!p0;Y z#ExWX%o?~tl6ebbwOhx{Z*3Ye6#UW7(kp-O<`spFr(^%*cW*?x}6k}zWMo) z5E~klZb;LiBvP#HidE$&qHYZw08NCOq$+HZz-20q0J>#069WG7Ra-Y3dA4NZEtm|l zI-$ct`vnIK)1x;DL&0>l{6jK;dbJzq*?>6EO{ku? zX@*)oYR$@s9lEU2Zo;rLX__9SZMoUg$inC~Nb^NcDL6m(`N! z-DIA+o5X;Mr^~2_psQ%Y&B79_=-DTk;y) z^10lWPq$?Bn@?IYI!L?NE*uDj^|#0DV9Rc^B4qVPGruiC-DL*Lk^)zl#cj@>0)hK8 zjuFc-s(H<==w(U-;z%_B9)h&JhqZQtuU{7G%0Ll zJ5Aw!*3U8}?J~3^$oHDR28@iV%O6aMS?N`UIgEB1wqLi2|HH*Ki>RY8> zH&XSz>|}-c=bvV_d|bNaGPE2*5fIW7_vayaONt#fntz3~(|l`SR0!!yWz7xg&7Mrz zI+`*S!Ug7x48eLHj7-ZyF||zR_Z}ns6#@s4NX_}jL$0YNzaNKwz9W+NCwot$%q{6* z+rMGTQTfH_5*fj5ap*>KxSL4EhBe>x>jG`G(1_TuV5wZwxH21^l0%O41 z4S@}8a55k{|AbWoi)zl89ad9=&=o8A7=svS8@d!4t40wiO!dD6Rjrb*wOU+NYQ7V+ zX0yWFdpD7d5oqRyWUAY2N06s>ST)H}Qw(raZ1vo1gT~?KZMt*I69qP<*moiX#s18d zMc_m8>ZNKG@^b}%GT3b%0ur$9v_YeP2t{H0=!N{CqMts|%hc{I{T?a@%B~hm|KSRL zdUKztC(y0*5(cfHY8lRA&8xdg3v!%$Fn zA)GH%BFm?}AEY+UcMr2ZS>6M*0gfeIj8#+p^EYMZlx_D3a&v#!OqXa~IJF@Y^z%g6 z;J*w@nOlq95T! zP&k4f3d6-^n%4eKZld@hDY@Exb@o2!tH;{~{IkvTIcz9@r0@^^(Qnw$MQI0xjIa!1 zt^G?tRuz_sF~nklOoLcQFZR+Mw2E26eGq4XTQx>3pU2TBqW&b{3J{*j8JV@KO>+=zq~>I zCC?LaJ_Monntl`JbdB!|%xR~&vsvbJDCCvH_i;U}DF-x|h{C-!Rkm0$Y)iTWMp>YwQQtl_wjzM4c1V?TO<5xAie%z&cM)oX$P zQwcIbNqSdY1Oi=eu3StJu-=><1HMo@3+0>(w{_HT1t_1RkIdr8p|ru!AC6z=@rU(O z0%-e7*GK^3cwg1;z<4`-AEUo(%v}Hs8dl@|;z;8S>wv;BK(rCEj=cj}m&-urY*_Mv zJ_U!7z#KWZ+f71f|HP>!x-?@#j?gSgtqz(frk-9cptm|L)|aoj#$%y{S_ctoO!AxU zU(%myJo+(7)5pwD{Fv_gs|C*PM#g#}}bBh{^F7 z0O(?TX(|JQL9zINK6TmfH<8yC2Bw)_nULhKI{mg&-(h&FeS~INU70d0w8a=S9SPm-(ETvcnr*m~Ci-(h26eh!Xq{2~1f^T2-k z30C32U^DteTO-95dRE?+(Kllpcx-Bk)i%wxXldJ>#MVTp!?LnoYEvug*d`{kVNhvp zIDQZIgKRjC@x>Pa`*{eaD1qk#j=e|$%0LNKDN3jUC8#NP^CSbu)139N{*O?6M#-f> zJBE@|V^nPm#nBu*a^rsRTV)ZxVAINykTG=y!e|dphc|E2;yGA;Kv|V2g_R}_$6YQ* zag@|&tk8UKMyf;c1}M`FTSD;#I7nE~E&RFOoL>Q*Pbn2qSR}8`*9?%T@q&;tCfN68 zbQ|@I`Q*sC)M*-t{@CienH@@>Oi6W`Nx@yUQUHuqn<#$yK5m%NKVr=AyLk?II@Chi z-(+YLF*FUGo`|7oMS)H~{DbwX=@{Ge^0rVMCzSCjj3KR)X09+t_Rou8hH-F6KCfU` z$>ND(4LuR%1uKnaOm~ykOZnra5Q8~X?DFe~4dGEtB2N<011=lRT`{a1+za8{D?R~u z7ok*3q)Z1glxrI1trU27YsN5-ni8}iQ{uIzq=HkT=?;(P3wrYDunOmEc%$}d&OU|K zQVg}N4t>(k;mf1)h!(P68|K%oDK zvU9p}aimA|e!=Dyx5wHPdbw&?l%*4m=OATKe6?Xcu-HDCXN zrl|1Dy~AwjOZ|##lyLEsbJJ zJYZ*QknSRLw)M^+HqHxU6dVml(*2o?O%1m9uhm4el_Ju%66O7So+fk)rTap?@JMTCyp=` zu}{F9ZtI<85&K0Jv7Z2w4^}FT(hj~S7^UBfbKRnblj&cN5ws)$aD4NG;wOOOt=t<` zt{VM3p?Jt^<*T4!4NMe&`z|#;i`Zvk!bA}|jNK;S<{38-+9x+8NAtL{4GqERvi? zg|eL5EH;?+XUI7`4%VxS>LH<|)@ysER%%8dp+JvGn9r$PMT?kC;i;_ox(7;MnjVu> z=qF)noWm47($OzTi(KYpnc$rzIX+PCMOa9o5Mbnxcs!%UY{j8D>CnhzkB+sJ8+eof zY^e1tg0V^wa3Z(y5TGa`Cy$n)Uo!)HxEBBi!3aB}=U8~RpnbpiIiP(smjgzy1S8D_ z=Z41SN4+owx#790P9iSJHwQy3QOURuEeVFv$NOMaSY0ZH-HwpY24cag0?iX#Ntc;A zD!%TpalvzoX=f&f!Y21)Xs`YJbU3Yv;<3m`y6A-ADU>L_k&3RYyaPgG9iHe5RA}8{ z;I)o>$mzoI=`Lib4@bAp$o`uYHGA8PNzmO7w?%G$8g%4sM7<^o2o-83M&L}5(s z{Gl-ZJW9%($VtGYKySfHU(28ARC~ZhZrYX|2jigm5M=TV%=gmiRbs$0Jcd)j*Z?+W_%Lauk3$44pG zU9H^4L_n;Q}s!NBZeef6CF)ka*Yl@ zuh;373aZ&C$~C~)%s+rndcK8P)T0RzJ8N?tT!^?R9zaLal|!-lRHHXWaj%bHpbEPM z#?6HBKFZRO7pashHe$tX)-r3IfWbZl1_S8B(t1XjGg;<0#EEZv6g!|hus#kA>fik` zq)$>90X9AXHrAK}BWg)^wbY?}z<1CUyIcXU(K{{RXg8y8o54XnAp$1>|FMD8T;K`m z_sAkj(+98=gh?!8E&XMK!Z~+@(ScY`U5i=~tR4g1465400D^s9Ko0Y_A>?XVjcmfS zrUY`vZMMMwCWsR_BJy`c2)0Xf?n+_#Iuu~$5@u4isyPCJTrJPSDo>LDxG-ZdIT%du zeHn8fSZ+5@vcS}FdmdYm0R|HVlxO4PZ&34k#}RaTqQIEuZ<+3?K7Vg?AbsDp_<-Ky zKwO+H9!Hs%qiLw>S{zHoRot=N;+cD zbSz8zYV@7l;rS+kF>*Lhv3tQ7!@(HVIoKw1y{toMdvMQ{!YkZ01h_D`7I6_mfGb!) z)^pdQN0}t~tLT)qDhkyQ0v0}84KF5&U%vy4;p-$yU^S4!zqH9Us=mgAFbLhWu!J6&qjWYWWw)f`h>_?RCYrAx0qvj?V7>IuiYN z2nzBX;_vync_1$IQWj^Zf4;5t!|)S@IoKMF(?8~;-cz}H`~L#d^E%g}KMQ8p5wnwJ z4R$V6b%Np=lm;E}QVcRhg@Q>i#eVICfhRnDMd3 z{uW%wHFgFlohv)_09aaM{cN3NiJliQ(-{k%wI9!hcOwoAzac!S?^k0dC0H0hG7ldV^RK;+1aKa+q1PI9!b5Q%YJZOR;W#m#YZP zB>uF?7RRu%!$0hhYdRQw4#>Gj4xfy>KxYRc;jl5fUhD}C^SN)cT>pN%N{)cCJaw-F zCBrI$m9U7;uTwxWklCYeV;``R^+jKR$0XW#(epTI6K*X};7gA_iNj%xacZvHK@S-x zqh46ED(K!Tc2H}*PWiVR&WmwWL0bqM!l5B_>z^iy|8NtMVhc(k(ih}&S4^+u&1M_C zDh^kr{Chg(&OAJfZh?UtR0I?Y0YMi#%)XqokJJijf``GxS3#P_pHiMVbWh)B{>vm$ zV%S|c6Vr#lx(_)7JN>n^@&|qIV?A7L?n84hdk=@1en`OgVLiMUs!EkY&OkHJQT`Ey zKq-H`Tp>Xd73SE}U@$?7pFbL#pBrWd?{Uo4fXGG*k#3M`$~p(efHzr!2V-z*ik_&^ zVLg3`1fo&J=~l^B8a(1|z?}=nr(5HTt**^7NaM8hhis0FN^FE_m1>8c2cO)w$XQ{1 z3e+U6v<8Bu1V0qP7mHx2hcIZ%zGCot2p&;r?_x-2UP=&{WcLgbLok{g!%ZTb zG8ktI@J8auvc*Sw|Byid+&?%MkWH{Ph_z!odD@NQwlT3JQ9RrVmXh+Qd8HW{A#gtT z3p#f5_D=Ds2~*3BhcF75+2R4=>$+Afn8rkyKfeY?Gj>lFIIfmYb zPdF}Zp*@KIfbXqIW5&5}srKRv zQm&?yN^T~ptFa7bT*_e9rA!ISWbYcnCR@|T5Rx94V{Uj+5SE*E2j`Bm zAHr%dQdZ^2NmS^T+ZLIMZsl{8I3FjR>GRFYuo7%EJu4x!^s8ZSom6Tb?}qi177MXF z>;s3;JOZ1+RxN%jsOeVV<5p1At?rN^>-YkI#~^8#;|7Wm}UsY;Y|PIL9^C=bYKtKPSmw+4g43UgfPW2Vv|EK>7@- z(LW67AHvUb7U!W4yy0qAYWOy-?4OW3cJqVl2FU)9JHT!@;U>lCW|5Q1-jcdcC+osAjk;!{co_>C_u5mH%M!&Asl1JqPf6B{WY)w91MYjF zRHvg1-8}c*i{Y}+@)0~Re@E`u4{193T6`v#1XuOJnwF2SK%9kG$W{F&oN@yzxFba5 zbF*|R)Fz{N6f))g3v7hCWp`u#6^Lmr2qwPG_PO;%VKTX z5DMAm6~C>+)(hZY9n~7n`{;wC9^+6eg%(?tA6Q{?U@zZs{Q&H0AI(QtGaej)PcZ(N z#PnE!BtFDMExtrzdTbbCffbDy6w(+m8vz1!f1*nBqw>ug3EQ>&(GgBSZGDU%*Yem& z__QwJFCr&Pguj}uyXAumju zACyOi8a-D{;=+6hm<{S`+=i~Opm3tAaT|{)EGV4lGVml81SRI(oE$?}Sa>7@3)c{~ zYq<>H8f36laN=4nXlNCbAR@>Rl+ePIITHQB4BW(HDsoh15iCgj#P;V$N5;R$c?-sy zia0$Mo}kLdR+r-S7JqDiZe&nwzavugJUzt%@IRP07;%`5Vtu)H=3+6(f&d{Af!~xu zH}9hQ38^3Pp?$rH&8E|JX+Irr{hlI<$lh^@}YRc7a4=_SHy$YJARyVle$Wb?cq;|Y~*p~FscJ*$L3&H=gR;P@@4 zwdr1{yaCs8|Dlj1ePC}596~&BsI}=nD=X0&NJP7n(z*O)NUY<31?!Sg>Bo6H;583= zW;?nYTLqY=y|sDhiI_1-fBNqjRP)duC*yxWImCyj;wt}9#G}iTEu^MS0&*Vij;$mW zU{T)}of%t63bfrht-KA+q|fJb&Y*pCHBs0|EO#Bb)N9VI;dCY`p7!^^3KrnS=XA12 z2+9SLaiFP>(rn(bAaoI4VC-tW&3wNOOnI2_Ko70`vz#SwSA8G=kbBrDzCA_>y)F~~ zKyFST(H2Uy2Y}a5VpY&54b}fEIWAC+aE0nCq>ywsEz`&-X&)xc##UHcscT`|QnuE$ zyr^-1V|{Mp2aSP>VfJVMjcJKCFrj1NyO>yqT5QLaM~l--?>KLRV_e0&V!Yrrt|B@l zGb7TQ{iYY%yXLFf`_56?J148XF%buPaHJQ*C~wTuL3%h2J`o+s8`tPs-d3L*Yio@4 z)kO1fcuF||N5LbC3z1jmzp<0E>JP}QU;T~hYv9b9yTSYAtHoe}C#%fw3&iMUa5TOa z7TEHa1>5a6EORZ-Z`?EzLz66z#6cp zJ%yI+4Uv#2e&sTX5az+5zJd<*_N#@4#j!D&_R&l$Ey;Djzl{H`e&dJQhpE2-jlkM} z6%3?F6!b$t^vu-Y-_II+^w{iymy9cq3?tQAy_=ovN%_kmeO*o2I*6F*}?5W<`S zMJ2vC-gZ^Dw@!6}>+Z4$#obz!mngn`Y90tS=otky?J631m65QuY9LcjX{{Q>@2RM_ z74=%H1~cj*p`m^OBcp?@GxA%j3K>4FwF;s*=sCT$%9SWSFeM9iYt>NZ0p>CMo{D-! ztyRY|>}jnU#_#CXD#&<&a~!{bbA0M{&F5DL{mwn2J>zgEB*=t)=1xK zqY7%#W^(~z+U#z=CKiI}Y_oYk9+9#PkeLUS789iJztz!5;-$?ExPLQ}nyu*}-4xwg zZYP6n$x!C!=K*T0uf)~z67);9lLLDfXs^(`Ndm6sl`4Z8iu0vgvGSI6Q9h^T2X3O@ zfifu>g7t7hhH$8=S7?9lZmLSR>kol+GVl?rJ#~`N*r!ZR~9f8#k6f zRM$dET%N(aTB5k&60{6UCS~$N;0ewyl7mRbeKc1KAC!g6a~lEkf`8wK7MJ`CDL9vr zYowdZ!5Ap>;Tz#<2_UQSz!=w}3JH|EmV5^Rb3%wH=wnXHXXqg`#-H4breKTmBmB4( z79--ljS)}aHT+ag!%x+V_zAv+pX$%?GyWy-Rh|hLNW}rf#_qz;`3v#mI|V=Emf+`t zUi@76HhwOG*~a!f3`fL%qP8Nek4#D}UUufoAybR85~1QU(T&RfJ^1;WZlFZP2>g6q zH+ptSADlfr9}pX)?)=CK%aA!1?5Qy1Dfp?n6+gkB;-~ue_!-Z%33LXja3VDJPxv{1 z0DgQ0_!;*X{9G^`KNo(0pNsG)L*D=AF~0%`U)K*5sdx-O-=H6Yc0Vwz(CS4fNJ^>E zR}1YB*R@DY_BW6?wx{EAM?#hm=b8#Sc8n|Oj$5l z-dWgWt^y&JxAi=!AUFiV4h7+FJl{78yYVp}&4kd&l(9(&jRK+f7Ffy52*N8sySCn$ zU}O6|E+)=mA}Oj@qiter<2^Q8q#XVI0StVB72T?}fvUA*2>aWatran4{Uocu$4F}w zaMP*n9DAtBtP!NHH(xqOhyZU1+RUv`#~^iT zpj6hXZ5KqF*Bs=hp9YQsQfU?Do{LyClXDPDOABm+AwjSS%SfZJNYuJD9c$F)#1wxr zfUKl@*pOnpE0(|fnV-xo*M zu$8_x5yRFmgNOEI*w^6-Me&0amKcaXQCu{MnGj~kEeaU$QUV%ZDCct4)q_hUCO*9p0L;#WjhZwLyI@j8fd_H z*VN9C+RXp*0)*1LcHSBdjQH7$RtcyU95D7R=R%iHl{ zkEF7voYz2OagFrTz&J5a_IBFdy|5LHDStUIrrn#X z8IH14%9vbll|5uQMj$0*1W#fumJE)8PRJz3$q3qmP|#h=3y?8pVlL7HW4c=>=BlEA zS%tlsV`Lg=mBU-*MAC31$;D2jked;Dp={@ zSw+{8^Py34OmjI~^C?|zLF0NL^;RG?3Z&ixq}Dj$Ha!4OD7j+AM4(^~sH-XatfuTh zP1*VyAO<*@%iZXziAKSR=s-BPoh^IqVP8kXpepFpC+3Z@V>@m*zJ{YG!Tx>W-G56T)+$wMI#2n;F&Fs7!=H(*q?8EEjGS7j$v4`h5nCC>^z|6dY zF;!#2CpxRV;S(|7j)vnnq_&KX4q&ysFhuy=P;6B`k+R4sNQ7=ee@QqyY@4gO21#@Z_0k{IANU*oat5gXCTBl;T8$l-xT zJAfA;L$Nja+TJe$de?Z@@)4&5T5I-|zg#_L=6)nrx6a&8gXk$Bv34xYYXhy-`%sVY z_Ml`R03=W@vrIS2^aaMOWpiJk%wCi^)UOQTklK-TkZrft?8yYN4%vGw5T7CQ3zYj3 z@Y>m>F{`S_thY*6w^o0Sj<#+zAS(b? z;Eh4Fl}Uyl&RLxe!(Ge6J|~KWePGK}nE@yR0_#*@90JBEl$I*uL=kYfA41mxlPh2x z8DJbJ1Hs@wlq!=WWgtLOaB@*57iA8n%Gjk0gioqW4$9=9%$F=P0+SoAI3R`W8HMa9 z1a98cz>T>tFW?Qb5O=STHOX?4ieqZmqRqf2rHXQ0KAiYT{~+$vdD&#_LS;p>n-BYN zL067|WTA}9iB#7TnzF$XC{+XvOw^vu<`X@9>XmDo&E$)&)i#ro&a#smf39-393$ry1)z0y9qWPd&ZPj61`FZS> zGM=l&7N%&W!iky&1AFHZo~_tAh z{7n30AVy&-4DV09JCL}<5AD!g0{0|^AVaqhlsw<~&*106tEnVr;pfj13rb676png?zmYgOLy%Yj59~pW7YKw}pH=8uBG6C%0Q~#|9Gjk=o5; z051M5I*4i8LgkBsl!6NhUS<=rpJ%>!h6J~D z^?geDPpqXJ_pQHk9OkX>a+$Y)nzxJb39R@X=k39>W!^NTh=VWeDjnt-7v|88(t`;q zPt@?b=MDtbG?CH0HM!kc@?B0~ui&xl*}&$*Zl2{rJGjCg;Aa1wW1!_W3_;G)Q<>BL zc0ume05o~uDwTSgN*#byL&N;6YZy$tOX1wDT5L@&HV;_w+s&fZ>Vx{Kb~C@V`cUho ziOyugxxAl_A z+Ji0sadHg%b*g{Tr`uBIrT35CqjRDY1UkFh z?pnS@y4!9nV}DXdJ|5PW^Oe@Ya=Ietm^f#}u8pTEy}3XdSKF1R(3G)MAs=Rkl>tl@ zj#6ZLnpSiA(pS`y^BI|V_>Q^zw}ZAI0o_i(&&HVP9WXD6ww zr~}D^#_Z@Ffd6;|jfi+=lwBSuOQYgxze0tKtUoG*$dmZE?&723l68;H>-m-BOu9lx7 z6uckY^i{Q@ccY`=wgP|BW1(W$*y!~E>0W?`yzj7Q^^QQJ*$6f&j~+vC^c94|LJ1re z#`YqmuOfPOIz2j?Gdy9O9O2-?H3nq44&WvC_2x%FD|j~N}v809%Plb2CMRWozyb`=A;Xk>UMQHO0 z4SQNBI&QR`IxDAuO0|zCO=2rp)v<6;S7Qps>{bS0w$*NGqa`_%+X2_5xGt&vU#rgHP3@lLZ+lHQ zF9c^{Ntir?1ID$qZgc6|WG8#%yFl9wxTI=KIIeL4+#lduG|}0(kM0lq$`vhL^H0ni zJs@&B#4j5AH7FO;&7KhiT6iLWhV=mBAEhxx$KrmB+4&ENL6dUN1{ z3?JLraGc|cO}UQB4~bjm*V%e+8?VJ!7aq(}1PP3q_i4jZbZ#GX{=M@Q+GWjHS0X zZ~Y*l1{)-tZsP9*3Kxx_^&k8?zt>3{^L#9BI{S-nj6>2NDgyWDx;QCQJ7?ii*-)5| zzlpjsT2M*P&7j{{;!8eVQTQ(&D;dR;)u^V+sP5#b?inpRg3Hu^%#&p(JzlALK&n2e zs{Tq<{U52ifK@A1)hDg0?_zI(ZNwKaLB$dWqu)WRb5+%$wSvnN@xf^`tLCYyZf1Z~ zpHNkwM^#XYA`(S?;D3E}n2#>u=;AWD96KkZ@5XjX-lgHWhL~+X6grfLPEEWjx06%w zIHtG!qs&tboNTNxW**dH2@u-ds1nlGnls+8+%#56z}0*WVPTf)cMzMK!p1oR%o`ZS zndESXHjjrS5a+*R4W@;JbyzrtxH~M6T2*?V@}{I7!YumnG6nc#nlzK?}znH<tnbSx zyY_cYy7nj4wHKvpZ?J23oFbTdo*AIZW6-0P9hxf$!?dQa>Dfxk?mHkbexP9FDatMq z%Kisod|Sad&Z6v1XJx}M1cu^{DGEk|g0WCwyiFKAqof~;EExCqgAq!yiOfrqPm*8Z z7tNhK>dST}@Mmmar$ZEN$7&bWKQNES9dfL%<$IDV?11<)vVhK(g${zX=B7Pd=xTC5 zOD}Xf-sL-lYxyfUfl2$Orhg(QmJ`5-G;+xCu1x1rPa`JBd{V9fZc``Gy!o+zD3-6> z16uAEn(xn^AEHdd5UuRsB%|Oof!zBPOT9V_YddG&df+vdaiw0pk)Paf`u81FSlc(_|zFIR6X33-J8(*>X7V*_&beNCgL$z!7($R?J_dST8Knj6z~S6~hKymu z!8W}hN0^Ja&zvsn3@%Y-*77LaYH^vxdC@eL>Yp-ka_==ffF=e?wrsc?d{~9mU=RXA4=9K6x|1E&c>BDPvP@?Remed4I&+k-!VhGQViB<*$> z--Eyv_)S!-)cDRA!bIy&fZR&qWi)#lF@d6^5(7Vk+Xj)n**ux!JCGCgr|!4N%$w(J zA;|*;>5-m#BuJA0iQ|NIccZyg5ZjqOI_|xS$KPW*>?umrQSntER z3Rm_9*nP8pKhM=x#T24ab^%3@6u2fZ}l`rIyqR^FZN|k#Xv- zL;?%aARr{_>WKpXT}wD~K#eUxp|*tci=9?{3Fo_aB=*L`(?xn+vA#rfP&$E1$1JEk zmOMGKbSAw+KKHZH@QH>{zPW?*j#pOAmsOy|T+4u=3pM5fHb_&R3H8qC8P=Kg>`79c zgPI*G(pOdc98C=l$hrB1h=k-+pJ11{Fx)b zaXr+oQp?w>Z>lW@z5##XC2?V~-NIr|z9lU7fDG!NgXFK2zWL$_muDozrt<@gZld^3j5LEBU9KN(2|AS@Hc1D?B>I^k?eN5f}Q51DeJS@ z?OKRq?Bem{RR+5if+hN~+Xt`rV>ehXj7e_uQxLcoU#!^eP2XAMv+?=yap14<*?hK* zEoJc86JYe*z7(IWTz4cs>r1T3;l7+3@TX>-N$3EJ>ua2L|~9+N!X=wz>t69gE4Jv_o$q`GoQ32?D-hvHIh1witlB zUF`Sdf8Q|Owdiq#63~+Zdp`vqJXBu{UJBq;asXnnhCCd;(Oy{X%dIbfb>DvHZ>oJ& zj{3&~#uWJGt~GxFagVth7%(bfhC@jTMBMX-!{kD2bo6}z{qHv{gN>AB9Hdp@<@ezO~L*tscn!Cn5@g+>epVySX+#b}OYj$D@ zR_h@Y$jMIp;c5LbmZ1!<)Q9~LWqzJ6V}2VYG~*F6yJlc*BJeu3%f1$qXl*5?Aq_0C z45ns^{c=xbGdrn^{mn zNrbM&FR>R?@?6VLfDRga*B(gV{xB|B8y9@%#svOsi24KP*7^%WEr_)m<_tZQRH~Nn`IwiO-&!?L2Zm7zr#u<2qpuJB&R4C%3#x#$m3fE{amf3 z4Dzp0`I%Ios=h%kNMp8wt_d&|wwLtuo|@$!4kp0UF6p{i<>4W7IxqI0!j%ge^6l7{ z<2oa}7I1YngzKs}UvxC_K6y{lc@ED41l;j}Cke5$>0_cX8Qsv?^dX|wAL~Ik@h3=9 zdF(Y-!p^3TSOnL%>(b*$hEn->?c+%K>OPwKy8zQ+znFGm%6{P$qx^2D8klSGWZkWm znQC>WJNGkKregbWC8H04#=o)?n3M5v?!JyMnQ^NP$)&lPX>-B(Obg74_>=M|vp%fv zuQBQd;Ql-?67=l9*ckRL^0wQH|B`e7?2O1LiF}FBDH1w}(5VtSgpfx<34}%?Iuc*LCTJ4zK!)QJrvzmzj$jI{eqqWmG~6RS`xzMp*^KZ3hEHKrjD5-2scf9FFBtPMw1=V548hBs z-9`xZUv!%xytEyp)m?SfwdhW$n>3eN2LQ7-27DhkctT|dgJoZ^dw4%H<p=5Z z(sPVYB>+AJk(ZW+U%H_d!M1Mw?StgKN{SZj>0zpqMTIA0{3{fJ%dxy_#z`Bm#S@OS zP(6YPz|?_Vnq!S%?$)MSkP1@#_H@!ER#HwnX^NFZL1a~&Y9%?+Nz<$(n9-A^F13B@WMQ5pK!iut^$mNL@3tyw6(32&~V<+T750mI@ z6@_wY%b@>2d}WXs@s%1rYSci76ArWDKYjT4+1l5RUtm)HC&%x__$yXYhQRV3a#e4v z)Pn=h35;zD16;I(u`>f_H?bbWUWD19!1FjwvAqDZ8@qTWQ{pSV_-HVc8t2}IcUg^_ z9o5F&35(thT+7hk(zjqz-jM|ih-vc=DoO{MmrB71=4*Y9 z-l4AtI;>@oP!?xHNdQXMS3~K}F{%!IO%aDtb?|G701bkriT z4*Z3jRSr5iYO#;7Xf!}ip-8L1gz*j!KI8C7QP`<)-+_R5>gq%S`0Nya9Bn;E_@i6f}jh0#0UX^)Myn~>I!;XYPnh-z#ae-AbGs3;1BC>fJJv%{7lY; zxj!GCFi72Pr3wSLHhpSE$-v0vy=a1xUbQxTZbe0Kv^ITVMMZG5Htn&ZA~;%`_A;uZ z9X^yI8Tc>iC-2y~B>wUD*jMSBk1XyIU2t>_!D}*>@Yk=XY1!jy=Bmp+QtBmTZlHAl zlPK`gN#m(GiLlE^%V8QNUPf9j)7asRG#rsC*_V;#WEurvMw+xsDVUL#M{N{_Y#d;w zcaE6~7}q!G6u4E!mos4zq0?+14CnBiPP)zpd}{-)$^0A8!8qQG?+ed{W#rx~MSj9P zd8858GH&2J$Nk;C#~Fdg#yRIX8{2=^JIDz94dL9z_O;;eAH{as%UAipr#aC9m8}IO zy{^hOPl*HZ%KUEQ;XoY9e1tz(4pCd-UH({S{KxUNR=n2aUc61(J%V^_BHM z+mc!fHS?qLl$r^h<|+B}=(I3y14Zz{G2YVva2%jvo^}QGcM`?#7EvA)-Xd+jf%jby z4`WC43~LD91ApV<7}Bl#iix!W-)7)_bNNT&*s&%&dn?d>wT4G0y(xNzYj8oyH<*BS zH34O-qK;NqFW#ldYuo7<1iikibpoC-Y6~RVYkaE~KEp0?Ba|eC1FG#bDM*)8S1x5& zD*h8aX#3yv!2O@-LH9T8!7Sn+<9^To?Y?Mw?%!zVwGQoZ_=CSG4iSb6ozpxOSZyQ$ z%#a(oiWU^m84#*;LT9* z7E0QA7CfNri14nJQbqmXO_S991v1{@3f`HL_Ag1pJq7sl5?`lC>K3ME$fIGB`aDzd zjQ_|+Ogr!k|M7}oL22|P7$EU>(!u=tUO-UeegI_yiE3MK5t6n_^#ziIJsu8+xvyD& zEUoN6@jMP-*xzI<1M>IX`iZ-Y^C>P0CEVpeIN`EZa$WfJY5mh`93=1E3Q46U)`n-p zTz>Dh$^GUxTn|>I#E~=5IEAqaI=al zL(+tSMF}R6LsYin_O8G%KZY5M2MX{XI0>AI>kY21K&h4NMzSZ1-n#txDi5{%Q0>|Z zurs`?r>m(CfSA)Invx1p0aBq6sZePubWSQneN2@Lr$W&PA~-S zKR5*Gt1{Q5dxK}~sITBdg-G?ytrDmxA)QKJm#Au1I^iLmYF$?o`)#GeSAyv!lFm_B z>28(ok#vs9N~fNR^4y$siAHFpQyoM)wahNr?RagHz+DH@HAzp79tpK7om*O%xVoBB z=!lCRg%WkhN-q3de{mjhi>D;H^~T!I75L^eQ!B8aPYCP$o6)5s&^EW3{9>DTFX#eK z$e%tH27m71`Qzb_mp|uJ>uaEYRO?sHt%k=ht|zYVx3PMdTcUuzr8#(lJD{(jW$*)7 z2H&P-&;?Q7yp#p`{wl-o2zCPOoQoP-XF{v9+k73CWrn=w28O8c{Hv=fU(HNe zFpe#yz6NKN-2dAX-LbwA4JW`NjsAiWo29Qbjy4Ai+_-nx_TG`uf=sW%2`mJ_5z1qe zhTaSz48dH!N_n^gF3&qw&H=%g-XRclXdXT#-4Jx8J#XXqS8V>72L#r8kNS31=|G{X z1jBl_`3x$A_3mCgcDh#QlVY!gjL?|@JWi=s6@<#RB2=JP;khVI03ts20nJoqzC_>}5+KRMQpU6*N(2R_$>gZkouK77862fmEH1Fu!uN3q}G z2QgX@5aS0i+P)CuN004dMDf2Kll_pA0(y+H3OW4fG1)Mw-JBk?0W;P_^q8h1{OGZ;itwYy zC~yFPA3ZirMflNU(^Z5YJ$99f@T14-RD>TrcAbjwLz6N#3rXvs^?qn=`3@l`$f`N` zUuXVmup%q?G()qgP-A_dQ2!Z->wEa;hoi%0?1MV6`GvMyQ+4@oN@U8`fa6ds9aJ{}X-F}`8_h2^M zpYhB-J#U%#9c}?3+|x4vmRn(t{vw3YUM)VrwRIC-*vD;FCA*x(8h^2Blhi`0T9P%U zAgVxMiinacST;??*IHHGruoT{sQ3dbpP=tWIDsBxS30`gJlZiZElJ-rd(49Ig41>_%DB?vi+ za28|_`;0$3frUReNjQlj?4lk*$wcwF37lC&t_E?AHK)=ThV6FYACVK}9~CNLCbqf! zYa*hb9@)R}l6T-=D0_G8q`c;Zd0GC2Zw|l`ab*9(M(MbH&nkPwY2NBUIdux2_99I0 z+LG^AJ14RdpSrW^oom(0TCI5AntiH_C%D69?V0;;zD^uy4d(N4&fTz;CN*)@CN%G! z4+f{ybDrPc@6RyPN&J{}9f=RkjAysszd!H{E72083-@K6TBpa`IMx;!+-To}P9hln zB6$v-EMevIZ&n;J-!F63c-JvN)^1lb|0YA@T}8OA@TK^LtA&3|*WbF}81w&7_vP_X6df7*TLRK%u|qId$*t z+gZ@}{l0%bf0Dj+Z!M=zopb8csZ*!Un3u?S+`|pCSD!@iZ^ZllxiT|Ey_YgAkszbq zk^0-?_P0OibixgU8v@CLbYx^=)cfcCU>k_OGrsK3%Kpg$h*N@}z>@QzzN#m2tO7eQ zPvMP(gjus}EPHzH0Xmo=zT%5N$k1kymIp??PceygB-|k&!tf7!`dD8EmL_1wa%sYm zSmB!u1Kx~!hyO^_&7!+JE|K)q!&w^x4knBAZ7Bf|Fw36^fG&|9fP~hAzX91erWty; zcs*`@Qzy(NI&_$Ipd>Sa;CnYqA#~GV+Cs@-{p}I^+vz%elT--T;u5&^qlj0EAaDox*Q?nh>{ z^pU!Fr(m^)xy=pBE+xHS5egFn@HH0t-WRwGwKW%90PpUd!QMj0I1T~|{+$62P!RD% ztUcX)3S7dS1v3!$Zg}|AKduAn!}`P83qf#`b-`g;dRdH;Cya8MTw7#w=>Z;*b93p! z?}3C4xfG`54!Dy1l!n8kHUo(Ki21Wi$Q6AOmV8bArYjSc&`p6O(D^rmhpV;NBotz+ z1hq1dH;4n%FsPzbUU22znS1*ic6=Y#k#AtQlDMwI$wxV!O!xMsKGOAZ2U9T;Td2WQ zu-hY=`~b=iq2mWMtC0mG1rwnBVq}gP&a)>NkTt50K#A+qpChRf$~|<;2ao(qUf@tT zebmAy&;c3)h0y{CKw11LVZo0zMliALqs~z-0|PsSzE5g~99!(Jng!UH_<32<&9($m z6MjKwR(BOxU2=3aNfy0yB&$6%T7FW#6FV@X#a*K6Gt41R{{lruPAx~g+^@nQ;KE_Z zuc-HZe3J7*7?w?Z#(hOPN7UQEVhmRm^%m z`;ATu)C|r}2=)P!=i-%wKL$r$sk7(U+0T-6#v0Tf_1;DigE36Ec=Q&ep?=8Rc`bJm zg4uqGAcJJ;RB(@59z$Yxgb2j_c4?hF7Va(>Jjb~h>F2wodnC9a|Pg+N; zVBvQ(woXA`a2tbU6i;{fm}lXP3A9O)9lk*djK&^}xGlo>y_=d8p}cKY3-+U3kW9>; zAYMlQhu3Us_zS{zFqtNAHg?Y_jggd`dnS**yIy;vXf2<6Fv zZfc%Y+bGnA<1yWCO-xWb@CTU8A0I>I|Hb&6YxjR|+y1wK7n{Et-sylBU?S8d04A($ zMtrnY<3CU%V7{;(Fz0EQ4L8jHyYXLakN+XyrKJ=Y%4sRz?+3tP zJ2?z76dnedF^sA}@bLV!U`A7s4^F9E_rU%&4+k^sXQwhekl#=Y_Ik=2pBzc|@v^rG zUr7|at>xhp!&jx1hc5@$T%LsqA)QeWx(2{2iLKbgTsbe`gQs(Kqy*Cjlnx$bkVO>F z4K+fO_-}A`oXC8AZIlkEy4DYQFs6Yvv2RL&taxT zo7qY}nBAh@Es~3Ego}jL{bYQD{emD6Rosyyb|2Y4bPdkvv99T><-;cyhc9UY;B47*)Y_3=R0g*m+3^dhD5>10g}zJdh|?)LJn>?dGPo4yw)9Y>c8gA$-%R+d0$hQ5NahnO)!`?7}ydw-x7TBrgmzJ zeOkI8VwI(*P^|@LjbGteoD3ny`%PY36ZJkO^@Wz;?cm;_(TG(WJgjDBbS!*zy0(_0 zm8Pz}A@xB1G#kU@dD&kGm~;^amL40{RUJ5&<2AAS+2= zRm9w6i@+vk=ZWMFywa1@w0bp#x6obLPdgyQ0q`1?xtl^92S9cWXz;uQ^|9z1%A}2D z<~g_>fm3RQ+^P4|X7%|lW<`wDO@^t|1z5DBC)oPjpzZ*f;fo3jxvf`o@Ed44*T;w% z+_mAo6l9egPu*hxCC=aE_2z)*^_9`a!Zoumn-r*B*;8OHH@_+Ky#5Bl;J2A{ssMxl zJE?HRO`xFzSde;NYR1`i?GIy^DM#@pNduvMak=2$&^J85wd*^U!eZizo#>tC^=2+I z!YLa7^rYwtH5Usitb6M|Ao~GUPyH1@Rk7#wR>1Z~AaY@1;pW*B1CjFM5-sQsqLQ`k zpP~0`QSzDo`yXV+y1PcsM*Qvm}fIh-Qzd_rD-fKwAbc zeAQd`29fxQ_H*Gm2-GsP4~WTPxXF4o1OltnoQMUiP|XIlLq>d~dLO@(5pUzy8m!hA z+Yz8`ukj)8))1l{i}-{RLL*l<%$GW?MgxTu%O7&%Rx}h{m0llHo?`K3mxuMKc1Bi0 zD5Rxou!UTYNf7Lb1JCA%`B}k}o%01T1y5W!zcnu?Fu%EHq{JHP6&MxIX4m0 zwL0$`_T?yJ0;Jv=MimH`qDT&kl$+zBTA<>gO)1ABk8oV6OaiW`0C0hSB!G)rY*|m=L(ue3@T)u@eghPYxh{IAe05FBaA z;GlM30`coW41QPS7H+`4h_k3%_(!}S4U(m4)O+@qM3TacO|f+wr$o3h!MHV9eYqkz zVS#^fB0Wg(J^@HO9Xq&jpY8LCO+a3fw4bKf`k!tn$6Un%X z3ZcAs=!Eaj(eD@N_o4B1+dX$9PyzFv{zx*iuq&Sq)LpUK{p`Q&O6V_u)$h5xNdt56 zVLSITR&Ml-7<|NQs|rK_j{|1d!oRpB3|$D(Tdo8BRaw6As?&*WO#5`wfd?M5fY z>P{GuF%_>fpx%I;_WUC^u|qG{`=Qv=3g^OW2tpA~K)lTUxdH4x4}lTi(D+#~Bvd6Z zpEthc0Zh{_tcd)KH@2b3zNPcfrh4@&h&@cvey|ixcx%+FVoI0eo4A|}_j;t6r9Hz% zJ zONqiN{1T0rWlD+4YWz;eub@;q#jbQnFmb^E(9iJs>BaK`u?;L-7R$qrEia&JE;Klg zSlYv3snLgH(g3z)J%Dt+@j;i*Y6*5y9uhL{{AJS|q9V4R7Cygc9Nd;ZYTK_U&#jeb zdE|27Ww(renfd;Jo!0tS#vg;x;rN>$6pTxsc088Nmb_6fBYFkYLTJyJwDuN`OP}&} z!JpHo4L3iK`pV4oY&rtq7F#Sd)1hwRDThxcZk|D?l+k$zV#NhIVh3U&Vdd~gSAphu zY_Rap=~I?j^Iqp>ItPc#6XNmNasPP)k84oG3kYUciOmuR7HIb^frE4Ao(w+}Z*)cB)+tXy$zlKf zdKv?#Vc2Nh$AqSR+FCXvyM+Pkenq+6Y|huD4eYqyob32Ig7mkVWj$$ZG+qtKuF3DJB6Np_;q{#Fg~l(VErK7bEaOBK+)t%Dq_nnA4%CYyEXQgU10g8!Oxt$V=wPx;tAz zn;m^K{4ZMUylZOLG6ahy2{skpkUr%xQr@Y+Fzh80181HPII}cGD3T|g^UpJn%O`z7 zOKsApv}<8i13}WhK+JgRPZol_mPg_y5~kdKWIN&dk`-Vm!_N#y->}X1yG*B)1m)HX_xBK9ruDI}H z(k&X`9+0{QwFjariwmzzpYkAI?7$0@hr$e+?aPD}-%Y%G+fpp|1e_piTI(n!1yD*+ z>ktpiQrxAuKw>px2Ow_x)b?nZE84~X+KrfRF8`c=02FupFGAL_e@^vjqCl$Z*P_u_ zT&|TLvtMFN6eEvbtjPQ&yH2=A#N4Nj1E_meB=S0$jp`05BHM?SS42#o%mMt2cMTFKq6q-Rooa(Jp<|3 z7@4WZxB1=!=|+`=^MbKlu?o%9Zw|!Q_aV}_1EbUYueHhll;ltDBtQEf^)B9yUV=N& zLpUR4ZjCu3#l|!0z2C~kvxjx^j2+R>wy-FyR9n>k7)98_8|#Sm1ciL}8C_!tL33xK zDkonD`gaAEux78;UoZl=#pa^O$V@fxBhua$hbzuPwf{!7Fv>?prYSE!gJYZ^=l9ZD zV!{%Tfwo$cxrGfQJs&p8|3=bkJnJVpsp{!3Y9__3ym5B`HH zV&#`JQy4Li<0_EykLmK6hb(`KF3(IZ0Hpktx;$ZXpDkbyV(kyr<=b>X#xvepffdfR zRHC5CLZcJsi54^UsGqx&W9qf(C*y~e_)kI}2T{0Yn($;iQvDqP2{Ul_$Q&O{eDlSI7yu139!K%1#~DBgPX z>eOufa&_IBZ`FGgKj4b1OOCffQBGFNH^R;k@xBK@b)NHIOeW;(PzZMw>l#+c*NhGLQFGP`0nZ@UT=`f#nM^$j?Nn)s|( zQ@ry8bxxgxNF^XwiBXylVuU`7 z6%xXfl5-1cXudAIx=_q8FS!!SdweZ*0aMgm*Ayu6(V`o8|GKbJw ziT6VEy9=gbvDTX5bZE{qBnS(bAR1~3P~_NeM&XScFGGR&+Kq`0cvjubcL-WA)`mWx z6tBTxJZy!V=hk2jG&mF#OjtxF^t{9T7);?ZF=A$*lVqCZsXfYY@N0Qa?p zcTyVwnhp|xP;Cn%>r18B|9zXXP>C$$CXYs(-Q#S6+z|Xd-$FIts0Of&z$?gzQ;82- z)E>jo4{QN$vN)~wKFKk#XC+Js#BaVbq;*dnof@4!6yc>)`MZK&7X}}}SHU35r&;AU zqivR#LFfazks%RY7{uBvWPSoV*2p|6o3hF((0{gzqEn{|N=G_hi~r&x=EvyHX?OhW zwy$x-?Evqb~o2Eb}rHZo`DMyY@YYWqj%ppbI(gmU>7YF90bv%Sh>3 z4f=fDQVHsEXaBry_7{-BVwoB?-VXuP4u8|U(;Y8|&;qtaH$#a1Eh9xYn$st+} z5DPJ`Vb?6VA|Sn{^LM~CIqC>$-BZW;!f7A_FG-nF`65BF<{GK)I()UbCP%%wUt{o1 zv>7{}z?uk!`Yd7*nw0zznH_AVov7JH^8I|HW*bSIaej<#E4&|C5_-d}NzrxfXSxeA0|#8w|jNjhb!xNOWMh7=k-|svh}bl>6R3wk@*# z4Ll0lfCH#cwB;G-lQ=p`6k}?ctf!WR*_krnsiogSkspBAzIEBVfHSPsR_($Ys+FhE zfJ5m9Kj;lz*jj3sM2J;F1^w@!dx;UF2#ZGO+)3 z4qplB31W}zk&v*!-krm5Ho63P zoh`dOzBkm`%>=r3NF{3uVeoQ+7+X73Ldam zBmPCry0UA-Akf+&=>k>V^(40fq<{H_b@!uAiXA#{7l91>eNk_BRt`9-)%6HBhaIZZ z@U1-Ds~IIU+()xYv)3}~MUG0t3lM;e$&NRK801;uS(bA1HTl3^a}&myB3uY;&Pozq zyoL*XC+?s=FzYBhoFyQ|>2M4;rDFKkswYz^!4=2FPYJ$LQ@*8?{c{iaK<(opK{#0b z2W<~GKUdvk@w!WQ=6wyjt^2H3t$Sm9D^u&TpM;sLS#%bvq!6{W-Q%P|*Ua6D42VKZ z4wiX(7}EZ_sHg64ppQvFlLcEXE5f`a_C22?d)_{lR7S1mmT5$@=s(wp)G2Ywc5MU1 zeQjZ$)CP#A9|efe95KCd_B{@4!tHqS^6^6RLuR1qrM&d%`$s+X*9m{e`uVnC!PU=) z(1i3xdU*vJaHA!)Ekti4gBvXaHAJ4eE_#Bq?XONBC$L7~2dF`~OjPb|ImSlKl_487 zL#`Io7*4UTe~1TUR$I7-wE^TmD1h+^-RjJ8z|O(74tDD7SAXXm7^Ty>h?W#!V)%hoFv^4)pNaCBB*xZelETW zGQno*tM4rW+lc04Ba%GVnh}JEy{s<|n=c+dRF$QRgb?aDE=+66cT;2%2T56&oVdrkG_2I!PG%)$ zrf$C5>&YnNJ?uFxz;WKTk>lw-$*cLvr{+E^In=Xuj>X8qeIo(?D*bhx^YwmRes@p1 ze5uayxRYa=lz&^7KNVkjLy=T=91;ek8uZgiwp1e$2BIVGZm$%i*z@?k`0DQVo?X)I z`W_&xmai$kSY_0EZWCvmU6gA2&L1IE8K?`0sfOU0q}@EKrhjA$!n0smBGb_ta&*=% ze$V0$v}wU+*E}^#w_GRwKT`3Hc;i%>5eN21_++Vkd1|OtvO=US`&`4493^W?SoBZO z2}Y{w8IFVXGPgknYxZR`DDnfa?k5LJ>7Xe0wrMJ^WAlsI^Wk% zyV`sS`MAGh!*C_?$Ou|>UyQV2T8U*7WgN?#-1}_WvT9O7a=o`y{vL`|7Ee$whCq^>YpE!9k=j$pCu4VnQqzbIu z(9*-z@Rr^;9IA@A-dF#yBs zqoLDK>l4g@!7!BPcPS1D_|&tu+GwaI*d|~*K$}-snSI#75ihl1Mx-K14{NpfqT$NH zYk5xQ+U$Jon6_ES*}F`Bs4op(nVPVG87lavl7FiBXEOg(^Uw6+dH>C>0ngLnuosSJVfu>SQJ_x5Y#FZtI2^IG-C>5&fPY=Awb4YN+_64y?PV>wwYpzk{HLw|npCc!2Wj76fX za8>XG+n=@eTM)CAH%AT8pF;}qOmUpMT4Lrx`zeWi;+jLKpx<6aIsd zyb00zM;VQuCJWiW4(_daMc_@~<+(Bh8`PG+Cnvy}qxCe9_b-gO3GyypqR9)7Tk#oR zl8(?{)*%VGLdRj)>rXXT?D900rqwn?L%ry0i&KUbX?Qh0y>C`>>j+ z1R*4-K{vrjjszM&_ux*nQ6;fkrflZI4Zz;`GE5vAg_m+TNsA`#AIa(SNb1of_%Ibo zxik1`cygg!n++u@eJE|8N3iaT+5p_Ozb~qiH2{*cms>m8*ko>2rz6Gf2xvaCIh}__ zVu$Uee%YV4psHon@F#-1&Q=?=jju6u#cJ8LZdThHSz!ltQLM6mydDg$VeqK}_l(MP zQB&^bP6;aNy?%KI2tX~Ky61sq!U)ck4VE#$$hEW~A?Eu&(zzkFQ-1aHi=nP~gq#L$ zphHe26NOE|W8wd-U)I6}iJ8?9{V4>oj$IzTe}i+t18}Q`8*X#Gk^9aeKwsRbG2hTY z)DSch0OKqG5%KXF%sb`@&CDUkgDg1sEx&^vq$ee7|1gqztgr{;c^KwU^cOT_4#ixA zV;AO7K#y=^Lvir}U`7?|z#}sGeEtdm3Bw0fwuoH&miCkf3rZtdlGT>wv|J40us_Lf z2j>sb1WtOD<*3tyl4R*HER9wVzgj;MbMm3ubM`~!eBrsj1|IMgh~ogH)7+Cf(lKXE zK#a5<3R2)V13q_ldp|ak+RXU75+CJ%g3o(iw(yDlbXLGaZgGyqz~}YyLF2P4cq0y< z&Ug;{U$yUzwSQh`?eF%)#&a$RFs^-Y4H*CY9N3>i1F+lq3uClKycsML$v7TAfhFI9 z64hP*rLN~ajvvf5px^wKXO>an3S{xlta1@zur>j~;?oE7AmWd4_~U$Tg#QtL>wTK% z&I0r_8KU0z|E*1hd61fUX>4%Os{j=+3`N$}sd@Oz|4>VFTp ztomoQtKVSpCPftN-Iy0Dm-f zCaaHR%;JxR{a;I6u(M?yUj>v>O5~FUd9XPV+65-?KxTQkEQ^dB$vBIZnEPSBKowTU z9(qC}t^$aw5X4=n8&1*yZt%b#kBPzp!DrERQ@4{W4FD0GYb{>2_k2I`OB= zV=wfCq?(W|=2KU_)KN7opE}~VllHGi91U0d8|<3&eV%{ny!~emi?x5QT?+Fl)@@7v z_wA3cYtrrS#3**we^>iW$mZ&QyY?;mjHJ$FA<7arh2G8xrjcG!O4`%Tqihjl7^W zxpqyO9ivL*J}T3|3ARmJ@(#|Ik@KrK_fWENXs2FJb-kT{G6SbW z)iMKljP)8A2=QzN@)6_J3=F2q74U}x$C&|!XEp;+!m`Z(M0ifDRh$p04D4x038M7r z1%b3+%5!vYc@yv8-hwg(f$R|KFE0q>1XFNQDye@1PR@0Ke?-#vD`NH7h-&+$Z906u zKW+j8gmG!R|L0ebSaAP98aLT4dWO>Zf-1bYMqZTQg?T}xlO7~#m}4?#%J-8beLB*n zq`gQNBhamR0T!JZ_sP3N{SNh($ z3bwwjmy%vR&`HaF=OT_F2UDA9tV&WSCSw&1%-TE z!b214jd$48D+FAaDT?KX_W1L7bTHCug~-vdI6cWTND=Pn^b5;`S_{}o(QN{=L%hGwP>V<^>ZdNxl!xyBP6)E8h(#?vr z@CBJ>MSA#xo~DtBfE=dLGd%1#)1QU&W&yM4xG>@kBlK{%2xJJ%A}FDSJN~q(pXalz z`cIeo^Q8WPQh&bGKUnJbOZ~;VenZz^-f{hNzK$Oc(%Ic&T~V_UL}>xm*Je@W<0-=B z#o+PBlXwNunluj7e94IYtj9qpn3j3V?9CXlg{iI)yI7A{HQr&wHg}N`+a^C8vHR)b z5$ScfOd}XE_^m!h@@&9}taNhqMoe4Ru-(Owv6xIaZ1*j)hpqebmObVf43_%#8XjhZ ze>yuDhgJu};?F6&5I#+etP#ugY97<$<<5mOGCg&?m&Q7uhmpqW4E{)*Y%$Vl;gP4C zMoM^O9`+x?BL|vBdU&La#7G&5kunmcdL&BqNR*bF7gs`FLdcY!UQh}l^DG6)S_)4b zwKEDzDPu~@3rb@$W^E`1=JP89W-_i?#(1WLao)}>N(+}};wL>^+7mySIJbNLf?W?McjZ&+i`+MX@~g4k*EE}HPOjNGH=Va5cnNutN;HHcxeoQ4VfJyaQao8 znux6ScoO>*&pglhdI5-vQn1pNf;40XXobdc?#2pr2gYGR_%aCf;Z^DdCaj@buNFg9 z(zqKg#5Y`sZ@3WOa3Q|oLVUx8_)0YKm1yECDJKn;Xc{WfG*qH#s6^9HiKd|vO+zJ` zhDtOIm4JpWguJ6U*#~!FN(YLIHSCPM{pxkRHO$znJoOWNngw_tv*f8S|H?Uhixed_ z$jdjM7HmS|ZsH5SYV=4&NTL5JQ>FiWfAnPQF-P^VAAKs>e#}!@|B&Y93sCB-E3NE? zivC?{6el*Z8qZnDL2w;cw(MVJ6)=2Nn1Npq1_GB#e3ep|6zW+X@u#S|r&Bp8brn&X zvqA!IxR;<}f6=xs&Q-Qd@$bLS zLf?{5<5rlCXp{%h*TudFD?}!BkR_`|e7%zY8@X5p|MZm{9)*!Pm4PxFTVP~fhf7C( zY8!at%l}dpzrcs^RM=DDc`>7KIS(DLQ+EQVhRHYKnLfcVPcM|4m*SqxVVS`b-RGwa z#L=m3oLt7!so|o%%n)kTvPo7LZN-w-KhMu>3Y5^o19`qK*hAflqeH9)snB=UQ0jiW z@+10TG2qf=y!Lt9OFJ!U;S2HPh%Y}`+Zq1~&M8%LGTMj*6^M>Rsk>_ZY4E%f z$%vcPU&7qNU3^oMNk0%oS~S$hCJ2i_;K*qai2t_Ltr`52#y`pUqb;G3)m5Mrw-dYW zAB~Z`g~&*2@&hlxiPOJps19|A8r?s!566{9Fh<}BbsP@*QiZ=#9(Jg3MNG#PdZo=5 zrcuN<6iPGC_lsRP=n%t0v0(EGsGje7HFO#lH!D70}5(|hbc&_yMxgX&6e z3yfhNPRqiSkF6lM68cdi&QpE=sI2?yUqcgoo0wlz?5T&@9-q^{iF&vHT}(q+lKEt0 z)=* z!?n)Gj}$+S=3C1PbvWN(pRb1SK>xp&ilp`J^~YrifIj>MB-QG}w(=%qqYV#PoA1$C z`AXf5tZxix;GPvn1$$OF>3j|RV5W*64E>}nrQX?}ikA=2tM(Zt_eB_qv~YZ85M$A- z#(``Xv|@v47SE;dQ!Qwid0MwE&1B2_(Xw@NtdgSS#Byw5MZE7og2;wKPjW*fb-3Uo zdBED%R0?329e^yXjPlD0w*-$Gj)F&&nL5UO88*Q|>-dh-sMyn6%UvWV7=bww>iJ6` z>jkmdhfqXzmAe4M`3o&F~KvT3F zg3iTyioTtHx7PM6RIkT?cIHj2nO&R^8r*a)h5T$lKMpM2%sH=z`WsxGv_RX4fMs|k z0%4-Or9i+O*mQ0&%AvJKAF_jeRgw{_$cXX1<9yI^7N&Kplaf9PbZV!8*fcBNb+3`D zIR9q&@x$#FF!x*P8hH2_g)4$si(}8H@U0-s^DD}8mj?kgyboSj2wa%GyewJU3VP^u zm^^m2;1+t4sHL&_h6}jVYb40G%z5etz`KBRYTMC-7SU!|g!AQ?A`F0VCyskj6)o(w z08a@2429(|Ba8#Py>8$q%)o%|T6tV);M5FU4K9*0aDAouHFsS(^tfumH5oDpa&;f# z8OfBi4@ax{h+~yG7{HsEJA$K#UxXCXMSKqTD&1cirODHU%gF5H(dm_tVJLnJlIK$gPLv?N>1 z49tFsCM{;Jw8UuL0zHhCs^TG=ndu4;gaAVyQ0NHV8^m20+W|vr`p0^TyUUKoC1Pa; z<$gF3S{(jSFbsLRQ6uC!p1Ey6dq4=#>Y(IlO<{Q`=tfBuNFd0fouH%&C>bqPcYu;Y zeqcYoLgj5bKld+o=P!6r$QNTyK>@kg>qsdF&xDLbJgI@HhZGw(-H*PSy*_L}V_Iyy z#YeXLCMN0yMq~sC<2`vaM~GAhBim9Ph#m(;bbIhbt!W~<0>~k@6u|_b(=ZX3BYf%; zppI3hfvEGwkGr&G4}_Yg>`ul5yZotJ_qpgeGOSXagHDr7syG5z39M1yNYL(GB*yP8 zVuZd`xVtk-yg`;xN5+vMK!grV-qpalt!Y|+a_LT%1+o%6RSgwns~m_l=BW$evyO^p zW|l)6Xn-J*?Q(Ge!*Tvn`T-TL4qa!Mmgmo)A#}nEjx!itw6G{Ec$xucA{OMYI3uBt zJ*a&6+0j7|X$y?nqRh#yr+`0l5X>B_1wk+Ih6v`unHpn(v~Smy5yUSJ0Y;)%CiM34;s||IFFzUOb`Q>KhCHb1fZQi4(Hc4 z+|K%BX$2Jut1HACOA80m%%SPr0~nggJ%FJ-xd$*5`?PSoIF5S&;(TEa&E_7!&>ZXm zm_xC1aJo4ZI|q5@Q0yEGG>2m6Am1E{orA&VQ0yG|&7s&iC^m;0;Q$tJ*f}UitVr}1 z)|$`Qd)P_Cr7+mgGC#6PZ4`{e^?_8~$^O?JU&QZ!EwXl!to<(}JK2BQ@}n@8`uGEP zJc}RxPWiTiV$<4tBNf==jhO`e4l0F!)=ZCRA>f)A(|5DenVikUL;zg@BaazToP*qI zVXeInufK1$vYf8{hwR0NVfznZO<}K_jBDP++&l=931owK6u^(Q-%gDfKx{J#HwSxh zCIW-n5=-LtbGamoBqI!`GA9)yc08414P)Y})3s1XWHE!wP7zo$!6--yUT+i(O$$!K z-^}2p_?s21z=fz7Q6E~n`2*e+mkTiW!m6zjgW2-;2w1oiLw&3o`Y}|f_1s54r?G1V zhXvtb*Z4h-H4n|=pG^Kq!(gj7*OoDskb;mZ zVE2z+`cU46UDnJtw!mZ$xUjCTvA)O1TKJb)B0a zWArWCT~Y7M`?@4J0cHGuBhQM=vq>9vm9h@y-F|Bs=f?~I`{)Ih@KyUqL2g>hL_e1| z9}#MULKz-yj=mW$kFnN61VJ6)ommXBYRu9HFH%_W8>1k&)tcb;+Wx5Z(b?JTR1W1y zy1w2;T?G5|0wcQ7hQnxmk3eA5>^Oz?tjrivSr@d?-(+&w%~~sd6%Hu4v!Ml3F?9j( zjvRijZNlPBFPOpE8!;rZVN8|9Zod7WSoOY-xX4{qZbNpCo*kpeSG8?8itS0k} z$aE#~yCbE~P^SV_K*#;2f>dE{RG;Gk5hN!Y5kzY&ToJgbiQG|1Eq!fQ>pLv3C6S)?F@s+jMVR zek(WMFT;MVbq11*S54JSY;0xWOS2GBuiShSCzIe*msXzJ&3M_F+{_ge%yGCwRcU3B zqMR})al_4Pa>@$dG3+((V5llwB`%rv$5Z%!l2N#K+918y#rk&p&G7V(3|oTpy$fWa z_7!YnTNY{# z*caFS^sQZWu)ur+@!l3uI-|cn{KiyK1_OJOu_4uPQP8(gsa=E|WjJLYI>k|SA$Zdz z+}#>AT8>c*^DUIDt!ECuX6NMcWYw}^l)7y^+%YdLvXHUO&Wi?KoNECyZ zJh1f-ki^Rg7H;(1R$U3>hq1bd(nMwhk(m{sq4U-T?+n&74M_ zF)+e&ws5b=`@-EEH60fWev~gF>7G8czCg0{LD2j}s~*&(xH`;jxJOKC!QwB32M7F5 z?`j8r%>GazI3^5anaPxY)ncCetH1{nf>AImD})(9EF;NAVWOv=$_7^JQSayADs0@r zHVhLnNKJ>(j|8IS$;+u!df#A%Nb2AJlY|RO3T~I95eO&7l^=u>7EJ|3Qh76%ZrzQ- zwBS)N?^d#d5${OpCu+G}YLP8NsY2Z(maT|^@dxV9DM1sLrW@Ut+}j65lLk zc5o?JPw~DYedoZX@Oh#dWcRtxy25pyyBlnFvh~~8`G?)L8$ssl>v2)dc5@TmMGnV? z$xUAqA@8aW?!iTCM?b<*LLS~ss@5c%gt>(+*F%Y~M3WTu}s&nrF}BubiWPl>vrz%yf?>j$Pz zFLT_K#Ds{ZYaw_o01v@wK%CFJx0Yi%_E_NhEj_hGlVhWMlh$RskvQWW&o`!6!Y ztlKrj=*rRVuD6wMNGG=WzR%rthdyG$^AmjUSa<>l9pqnnsQlVqk?|sr-(m)jdRKss zFe`-ya#Ep8Q1?Yh6k9OzxK|4SKVToXa)VS5M~MZ93giVD#G_l&7eeHUPk;{$2A~K?IGge-H!!vxfF(E%vDmPO+V=~$+R)ko)tciN>A)k@pno=+`c0hD7eHU#- zy&q7{VnS{XW7}?vmZKTCCk#)B$no?DimjzcNSy`w?PaR0KdPu3Sf}(E$Hv@D-Z#|E z2n@DB%=>6VR8RcDVr*8n59jg%U_8*%be;Dh?Rzsedph>((PB7X=ICNPLbd~@{&)gR z#|lg*AyJ#3U|oYSU7b`c%Ud>j_KgV%w+XsATUWJ_|I&XdFM@FB%Ho*05BtzyiDiq0 z%r~05qTbv&^euQ5Z?QgF4OvT6EEc{YG!Nqm&_ssw^?gWaXb_I+hJLtsQ5<3l@4j#(%!M2I^qM`xks-8>t> zT5~C*24tM^d6xur$L*L)d+E8fr_80uIu==h?=Azj^ZHBNG@>t>n66d~buheTdgmC9 z$FGm83UfE~%v#RpKu9<%>a9jXkLfr(0gI*O#Nzo#M7_iCS0ANj)-=Ck%Iq2bu% z;D|1DKcR$21VmSgZuxNBlc!t0hAo4co`Oo&f(EJOM!~4MC9TD%78WDyYuFT%u2FC8<5iWj^fye|3NU?f82f_uOdw zsRK4s5LFfDIHYs&-Uj610Quoo9`&99q*xO%=3t*}?%~R#HzzGX{KypCh=d#Nj^cfF zw!X()a%0^r)?;Qo`51uU+``-IqTX?ks#e$RU-N`&uGJ90;R%XH)y9ERXB>^3c zD|n~(Qc1)Ye2E(@n@iY^a(Pr@sPf{|7dkd30kGAMJ(_1l!|=zp+o#?}+;f!{C( zz557<0xeyJDhMbjBgq0VcCxkU764jo_Iif7u{Fau7wjl(mVM{{3sFPrx6eMi+Kqio?C~1lrN>0Ep2aXt+~_#cdZsar7iyVCI;J zv8P7)6?{~XPXNkD5LolWHZ^Vq(OQz`6iS+>(QS4#Eo_hyAG9)R;e&g$k$rVh8r<)+ zq{TTEbvwMMz$Hg4J(*&@syOz^QoLZZxlv|@pf~ERz!OAKfh*e*62PST;_Q=ANd_x9 z*7a3{QU~W@I*>;{T=m=KQPy3KJo>;bj~WUbdGrpZhYsZt_yeAoR3oXeX%<x-^9+abzPMz)jvY-C?u zDtNzbMN2ALQb&CwXg^$|y=5q2ZD|Geh;``I(DPDmv}ed|5P-n?3Y}{eaxF>lYownO z1Vu=csT}ptMbdfi^V10WFx^lc$*%AJk=vY zOUeed80cjQbd0~#`Kx#31&}Whg3H_jd)E{mn_7b@6Z^+>1KqQgq=xb*S&-(b?Z0Q0 zO(HX6+hC5&kolJPZB)ojirgde$hbtk&)y&;bumR@74#n@LDw@EX}UJ^qu#k7I=Aj} z*=%cmgI(UzUCOQOQSTp-IXn~Oj@lRm$R!F!quxGF#xvX0+O>VHd;V!NS2{97N>P*; zI90(*cfD{$j!?axs-SxzX1`p&B=aP z1#CHGVP-NuqX(B;KoK*40vWqyZP87{jN7I#z{)K)g>#YJ#*L`8ju338kJ;-TL+zgU zt0Zm3O9s&x>WJHgs$o}{pIrlVsl#FWw-zjtes-Wk-c1Pe*r7NSeOA2oF~q*U8@L(JS~5mj2{=;43NyO0r3Tn{p!6N+tS)M1H4#6!;pSABH*kjq63dcM7eaJpvY}?RV+=w@ zMl$|B5r&W@GvKaRGo;U??zn{F=%&V@qqOIVf;A#e;NttkE{Qc(*IW{u>aGg z|0hZNziUc8jt@M%+pPK?vT=+-8+v!l4u-m=>QLk^XZzgZ=Akh30uXyz)ceezC>q4_ zWD)zhc@D8(I;k^JBNQR)lNdd4x-zJv%OVBqK^)@Y8NvE9Ss^Z=Gb?_9`Ci_gIFd8p zW%##VzGI<=Sg;0e{_E{od?fZ8LaF|<_XjgPi}&jSd4EO$9Nj7WaLR|2YJQfSdA0?r zUoGgz3dJXa06>c1d`6pdd2R6Lm`;nw9}0W%rqjvuL{d=Y=!JNUBBPneMEjzD8S11f zI>A~S`js7O%RcsOx!_3bMiuHINdZxnY7Kmeh=B_A8lLpJRz7&3rZ~w8m4GDkRjNr4 zUAn|rKFLb6w(%E6w5fnw+%IM#4p1I04pl|df8mo1Bkj}}@!7d>n1iJmFSOA0;9^Hm{db z1R{9!-3?fiT_7CjeV-qJkZLsr(>auCn&=!&bGh^N;alKCj1?&^-GiQK8oxx>)(pl@ zS~_;4q<9~krKd%s3}Srlz4mN#Pc^F77L8MA(YPkqX5NM0S4O3i{m;m5tz}v-qWl^D ziL7MO^-AW1-$TpCWyo?45->--Lqt??A#fy~u#EX-5V9QWtYFRvrR!zm4!%#u^?w@L zA9Lvvy^66$t8f|%{?OOJA9^ZIWwr5%?u^gxyzViN%q)d0fc z&-%+d<4>+9YoO0_ipxQ1IgWNeUzn+-e*vELdXlO?jD`KTt8G|R76Z*V zL;BNtJ>WjIxrXfN{mOaa1B(;6d1O!@?mwG^tnLNfQFtK$`_v1k$$~Bk)M?I_g$EZ} z=S=1BF3cAA=By;#_Cm`&Uw5$H8hy!c$LI7~2cL24b01)`@Hq&RPlpOA{I^PQ%O_9R zTPH#Usc_wbh669g-S+;Q6=@XLT$*dK?FQ9{jw=Us{Lv_1O)iUE;Dh&8R-kYVHsv;cWBa9nVKRh_cf;mUU zZs@iptNxAI|E!x^=6<4PgK0D&fv?{qYszB1b*^ zkv_kpx+5|$#8Dci+Lp6f7@u)p20zP20{7?es!C0{i@|Bp^GcoWeV>(ve-OXlDQQ^3 zk*ZXq?@fWOzL$x~YBdrPa;V<^{Zr&m%Phy zok|AliO3{>NE4gUAfNKom8f6FgvlzE76-|?dt8tVu^_3RMoyM$PLskJCfZa7ztpoM znD1q1hOj^$5%m^K7Tond6K6d7M$qT?yfe9$5qQ6_RKGdzoAC1ku@;$B}AALiREnsIXvmj@eF00ldEloa3@p zkW@hd&nK!XIMtE{R%segzW3Q1(89OSGU-kJxX{8?y17wkj=<-r`*AJ-%GgE~#R&(S z7I@JyKTlUP_}QX`>3mhKCf(s4`)c(&jR}iZs@1KM*0eBL8FxD);8QOnA++!y5W)K% zNFb9q24)V1J`I8G5g^{ChBNVM-)jBJq6XYJw_cTOXV=!Nosh^7wrRM-%+grgG%{H| z2|(CHo+?7Sq!FL)!44$R=S{l(M?u>bwffZ27KHUx7L80+U8HcvR6wG(eXeO_x@y>F zJw?5dKgwWY9_$Vojlulk49s`k09Go<1vCRiRxo$1<|5*CceYJ4b5W&5Gwu?TmqqqT+nE%ge*Fe5uMGK!O_26zTbb7Bi|zsD~>+v2W$eSp)SO9 z(V=|rtogHYIk zz>dgVdFQQjK5e)*IiWZ*A_?E7%1ev>rmK~hE6J-g6-IG13{8+ag}-vt7gwWMG?oWt zFB|jGKxW8uGE}Qo%s_!tjX>u~p}d+I&;?KPyoNw-4+^v6FiymqYypD&MVi*CTj3yT zm6~>1O~KgENhmrtbVBXHq?@qYLgpUR+d?z$2f||u7KRBb1z|P7XxJ}b*mF zDO9MTX+F_`rU8%6WkG#b68{c9gQp5U>z{!@XvIL+p%M)5pK?WL>#AyERfX)w>FR1c zT2pTaY}JOIJ&R-Zyc5s=Rg!iuu!r7=?4HFjd(!zfZCi`%PkdHS4YlW@PRRQWng89Z z5AG9M7lDiZ2|K8#?p5G3|7cI$iyXS}J0jJs-H1z1W7RD?@Ws+lt5vDq=p9nDf@?xrkXFwuxjDDB4-XU?c3&D@jo<;~^I@cRAUgKz3(ChSIXb zjZ{mmYBRpE_5a9U9bCu%U^4u1gc+x+%iQaWOmxPQmnU7=$$-Z6Id?3YYiW(8(bGEd zn%eg*pa6mZSnRuY9uPy};GaiF0meo6P)|SZeck3!_P*|YS2+8+=8!rT#`VdOi@}RH zk=78LViZ*RrgX#b7^Xk76}PVYv+y1vy9+l4FEk3r_@-bNYD`XfWMo!(q%;%f*TJ+b z*+WMIrMBxO(?>`8%O4dA@P&J%Mva8JTbQ&eqIx@i`)19&-pffZkXu8&qNk>0Lv@ zJr3WXj)p-0*bDE16gG=Ml6laukj2$={V4LnW#6>@m0tKvbZFX-#(?N8oykz?=#zBh zQ1&u+eOuLEaijLrJM{A+#CM1E;QP| zLk=C#78|wJcPF-kL-u`C|qP^ zHdKU}X;G3_@JC`jG;5;E*CXDr-TfEW$GQ*qom(#;@6nWr{(rE9%CqBf$ePrTN6Apl z*N4Nt)G5;XFT>fO0J`52OnQZHBAv5*4nILfMU=kO{n+-$V}AnkiqqM`Zes$@uotg_F9%>V z=V#}!J{T519|x6d&dMrQi}QGwIf5~!k81y3i05i&Qizr~l=-WZLLWMO(%E3Tc#)~Q z5cvz#g(xT+)miRYVwu9nB6g1^cy25J)e0|;?E$e%*iO&+SLg6?S%&x>aGiM z2As}vgK<9Xa$2*(UFB8>_0?F^ibIe!Yy}x`tB-fdS`H@a|ELm&;F1lTvxlD%9}b|6 zWwUkF&#)vzGg?*aC_z7<2%-cHdP6|6%RGuQOJUzhP&c9!B9XGGKVV2jMZ%vvKIQX| z-%`#GD+_lI%u6l{Uz0W*EQ5bpc=mY~qq)GmqMX*`a`OY+b6SL|!+i%4s7UJZH+GlX zmt*|FfJ|KH@xtob20wn`TQd1${8RY13;*^S(Po@)j%57!0{zX8ywIM7cshNJ8YV`p zkQW(NN`~)5lu8dIGT3R7A(+a|j;`2gUWU;i>W^si{@>R7{aQ*N#pCBkAY;_)znBA( z8omjGmxZOnmh%w6=b`Nh2}_Rz%A($RXz>mD;6Q*^V-~A$$sO$fz`{U@6SyeQZYK!7 z$veu!RSS4xxBC78JJH=m@Wk|>C4@UfyKkCz@6{Ha(2OAK~c;pUbXg=D9#! z7l}fMIVZ2>eUb?R+fnSITtZpy5AYY#k-BtfXCtD&+A*PxS_JR%6ya1S=wfi<@WQ>G zxi6t4ZW{~sJ1>%Q0MF>XJ|ApEbif+uL6?AlOx)`DO7(bg~xcLF%R}kW|aB^8>YFb(D*GA)kWJ;RDagEhZHiWPdQSV-`&9KO| z2Y9HZcu8!fwQ~xQZhbCf24gacRQK?jm!muBpn`L9-NJ1{k^75 z1D|?MC?>ewquvwFC&Ig{rDIYPqKLz^BCT-91XM8V6Er(YY6AS>K_mGHzpBGrfnCgu zQ~5SL=(G+$4%8o0@uMz5^{QD;r1whadvRYELzA(2*V6g<6hD5ZPeHXG?(3}AUsRB8$@O)O3lOW?oK7&$eEE(}W zP(%vSJf$WACI&97NYqgr2VSgYFQjN%7{gqd#;A1VrgspkFEf{yndiaDe{JyS1x5kZ z%UDb_Vn}5%U53T<+K?(kFx@UjVWn^CAWbSTD(0hQ09Pk;XPqt83DG;subI; z*kbcjSf+@DG@avMLt83*ZKiO&*JWN z7#Yvv%{BYRdWz+qRaCixJQZEh`my9!FCeSvo%pl~pB8A7toFXGhVX%n7n_5Uc!w0Mm_Rv*M-NH?_6iM83Dbp*!OWWcv7zLq zgnn>7M>@1imJ6?eA<;2RdhqIqdPkzMB@lnnmM77HfcbvyXGy^vYC;F#UxJKKM>eVx z5%2^^$+QX`gF?V>>rEwfJA*xIe@+T{x(~WZuA9PwWY%zWm$ehJDx;uSfI`~x2u8%% zGm(W}s%7}a4itB$&f%*xb;|Dm1^ez9@MdvjXc8yUx5}-(Xu$hVa1=eX#Gw;5TxyKZ zkA~tAS(sge9_Vf>Ciy6o1ZR#S|4Wngb3szdt+|?Xtp>#wWyfh0?D4)B~?*dEd*@mLH$QLDl zoNSkbcdua;ghGeqjAiZ;S0u!p9p|Xhf)vrGUG@N(-ypv#IJZ>d^a~~}ECgG4-&j{% ztO!?mfVfWW=$?cX*X-YG*y={<4jJrFCRd7pX?HeZ((yFS_t>MSVLm~`pw{y#1%`G_ zf;x=*T(L8~UiF077MzDt1~jzh4Opvs_oQxg`Y=ps(QrO-hov+2x|>y6SyDplVeELb znnk}h-AzBOdKDeJT{#5M(fR?s+`@B!>3Zsa zK(#Ip>7%vR%Qv{f!FF@z<^udwsfG?AratX0!+{a}=(Ko4yUYW@r85JV!PgC_iaqTX;ZPeVjQLn9T* zbPNPmPZo~f%dxGyT!v|l>aQIwz>WBx!tvdxX7>}5>ztLWa6GJL;s0hY!XqK}b6bZB zN_qI<>>B(6uSLLXM27{EEI3DkR|9qiUO^)eVb~PFP9%k-zr;i|w2joZF-2o%iRCvA z<+$t;pQ64DWJ$WihB>KlOys3H1XEJjiM^5f5_KggY1Eaf zIjci|%;JUD(3MiJvUH`o>}qDwm(Ehgtig~$$b0H=*EpD6AKQ79_bl;zTz!eKdsl2- zD}3kZjlwI@S_Y8Ff!$r8iKzE^FqgdU&*O-Aor!j$-i3TkR!Cs8SVJ;5X-m=tcW2WU z0=32Z0L=wA5Mce#UVWLL?X#+2&8$Mws3OO%LO!61BPK0qS003QCc&yeW}N#Iu%I?* zaOx~@>TGcIhnhmFiZex3oGGee?Fy)hb|p(7lc5+5(%Ry9Xp5&oTSPd3y6h^nVk?YZ zDvX&_80~^jqo7t7PkUWd#}km$Y9mfz*}1~V1+``6G{6@}wL0BFh2; z-OwnhvrtlJqeOq`v>UpT-4Lv?Zj5nv!{13abU}rJx*OTpaBdW}ceQ8nrWkxpl-X7n zKCoY_MJI9mgzR-k)CTA@A|lEC76KHPM7SOsQzmMJoQis{gakx)%c;BGg{tLwI?fxh znMkT}xdfG>NV7spjj{Y0ycz#y4lonqm$0BG2NtPGo|{E`<{xNfK8gzVtQ=%ofnNv4 z`ymO`**@V;=r_IPVS~zfNpHYF^%@Qh2hiB#vd0kM?2-J^PG+K3_+i;e%{gn4#7?;u ze|8No*m(#@)cc5?)HU2|Cv^>Tk?h~lT88QIhpY937xgBAPu-K_7DG>t&dJVA$iB+S zz8$!YZM%SBtBC<9*rUoU1siE7*uDO7$TgWm_lak4^oIGfyTJWQbK5 zF0^&dTA?yFtJwm_;{kibzW(}$uwzl{cyloHq_<%IG51EjtB?r1#z_?RvU(35Ce=12 zO{zWEW%l|32(7nXeGdm1KEW`!MSXz;4kmc&Kj&NomI3x*S?K2{HG@~gs&pb!>2Y&kArp%pS1|S4>2U`)w|aiPZ%cx+FPpu!+xl`YAGpj0Mx-`tNe*B^bkGuQj@#h&Xi#jhh3anf(ZgE|^+ zn{*9(fp5(X1EQ*{ya{GdPurlbqB+yt8`I*kUO*I6EmJ&oD@kVDz1a|mR3xbft9F?&1&KSp(jj+Am-RHrC0Yez#Lwoy7CDPYW+T)eUM}i zB98P1^;gu$D(=89JY6a6y5IztUZ3|QOSqN-Uln1K85&T2cslk?Gd{pkCuX!anRou7 zjkFU?LE23$*3ynR?OfVf`d-KMbv=B+Fzgn6OC4`VcGja}1fZ@c{?+C>(qG)qa)pA%E_hL)LR86mg5?QD-6$2*cPm) zjx>El*gIU(E5Sg!)f51-8?YpTyb>^g-*RnliMN3n+WHdpj>gneZua`|9Pa(#y!S|; z>w+u@EKJ#IJTMK;Nxk_$9LcVRS(}B|MtH9Ln6*BglAp+3IZQ?V!k?)36;ueG6*~*# zb>vx_$v`(9KB6C66cZbN4H3Kt5Fito!iY2CxD5qr5rqDCcs&4G9pVVXwblJCDU`_% zAT;;eKv_wHaJ1_hFZDBL~|hvgh8G$AP^v~g9deb z(p;j`oTpuLpABi-+*{-AnE<-k7Z_#BB4n`)r;84ngWlAw{O*7I!5Fb7P zCjE|HP~cN&MHn#{F6N;XszO%}hI`$fCW~FiBBgh#I^tQZ=l>6F-vS?1as8jyVt|AV z!eUg=Rij4H8pTIKM0X*9yRy;v#`;R7Ka2HIlwFMq8cb4Iu3M>M)oNSnt6HsZ5qvcP zBw&E>s1L;YeoTy7!7AW`{eQn_=H9)#31IvC{rRxDubDGv&YU@O=FFKh&hoFb4a4jT z;IGHwyRGBzMsW7-NJxk;{VivZixH*2^=9edy%T{I zue{Ra)}eu$(4&8z`KA%l;N*-~(UEPD<}G>HkE09LSuuCcUs)B~KO;aBpyA zWZT%L=_RRi;zKf!Xgapyu-I9>Ud4+|`3mgTvq#2v|NNmC+<@i^e2Y|nedQij)wP~z z$xy^UB-mPi&Bs=1Y4?p{8EBtn z$Z-I0IM_-aE~i#G9wOZ_5wNEneWWo80Ea7fhyzSsIOPNSi=*UV9Y9VRsBqS=&jcA) zsehmZx>Oh!WR!7>!2OPHAyAAcr)0UALc=-i{y(JWmtX=9J=fgdi=GcuvbA?aPx6PU zO44`p15CbEl!ZI%rQ^yOFVMVpe#Q&cv!w67`v5`@S{UI{fil6Mixt-L7z$1h@0|A3 z9{wAQRaSC5 z2KMoia}}lBAVvxQc{J(Ua6i8W*6_lm!zq$lSY?%ZSX*eUJ|l!5hm@iUgKtLT9>8X4 zU`-CNJ@Gn%HO-O;)-+K#rrtdTjD_!%e!9*u(aG@X(ZmL&Bc(cYN2RwRrBnI~xJT&& zG_X7e*dO@1>SEDm_Czn?_8N3KHb`8soYF9nr?5$KU$Wn)O7S-H^BVN zqd2q)yE>YvSAKCmp{|9mA)ISIyjLq&cC*IjM!DF{!nKJTHS@YB<7UEiaN$+U6L1a{ zWFC))^Dv+W9?bgv2&P9OBX|r@BcGDKTY;b%(38I3-Ghh3Ozr)c5Vst7V`!-hY8eOL zsTgtH{15-x+U zCvKb~9B?B=H}H87usUvR!~=vLSOs2hzDESd8+DC&l$x1VSTno%uKQ{HA<3YL7Q}qr zwKP~IeSh3rYmA~UCh}mHf!QH8w)gpz(85DFHY)@_iBZxCCI(Z11#-(C{3z}?7pxcs zhN9QGD`Abk*?e^n3ASSYi00|b(dysCz~SUs`wWQiE;Sf^4+q;hA{?t4T>|#2ED=t^ zT#s>c0=Q>F5cj3&>h55^fPrJZ`WtK|XpLcL>}UcVRI_nHGqtVRIH8#u1~+rgGZe)B z*31slQ4XI>`i`DQ-e}g;#))?cJCn!E$lfgNCWCu3+m7RVb0cm*`VRyIRw;ZNy})GX z_e?lE{W0l#j4AE)TAfJx9{&@u*glu5o8bbPo_F4QID31RZsCmY?qLUNbmsV;%?oc* z@2H0z0+kR0|E9x<1zd>`E+oj4X>rc=z(}HyJ6R$KO~{!Iq zX?$Pw`qCZ;-Z>6D*c4G92?Cv3cC&R_2(_CEj6IA5nGm!R=jJS}EzIpX3;H7Hgx8`L zR9V4k$63{znsyjdN17W;b7GxH?@n_)Mb>~;=P+Lt^1)}lo6}J2VvQeylhhGn zC^u3S3i_K5l^%@wa7KaqF=GxhCVtL!?EQ$PU5DQ=tNP?%*ni6snloyws(Q)~A_B7n zv3HT~ZUyFjQ9;*FwV@rm??9SV;PZp~(K_Ov+m65S$*da+iU78jvuA)n^cO~1Zs0YxAcvhxWq zWoxe<(p!J|JsOU~zwXg4enFZ4Ainzko)fm3%6*`-cLJ0#KMn~M(ZM0%8G#f%WNL(+ z<4Y_dvuYrjUWJHY?{p$JVvj|I!st4%EDb=DQi|u@hJgkHgrtYvCz5C_6+T9~L~9VY zkz}%*Z{RA^B;4?ea-H>8ztdSG9jz7;@E>kD*W$m04fvxTk}8Nyf~$O6>_`WUxNwco zkR}GjoQSxa<~hXYeNurR4n#>Jkg)WfOtKVp5Gow8<(@}5@9s?Gxz=!W6bgV1=io<> z(MiTf|XJ+LaoznTi~PX`{RgBJybjOZ1qz#q`d zFgBex2A)1`FvJ9-IA5X;{d@wQcD^M1WMJNn9ID{QkqV4JdU5s%in9+6)soJ&m<7RN zOz%3bm!h;~YIpRIa%2}Trge%;>bD!o!BRkDxG%Ypv}E40C_kkS=OHMtdvB6S}XLXc;-LW7+O zal^zMIHR#l^xy%o(8qkwzX=Z*X=J--!>MH_6liHb$1zw0v!mB*{}{_ z{{X5X^))pVcR)f_V^D9j)BI{w3Q19^cic+7K_JP%bIrO^Rw{7SetlL-S_#4Sqlnye zEVxQqX&P&1&o!j)RQFO*M`Z-HnM;T=D>FM>fWPT~w1?Syc6#_LEyXu>-F3fqZ@r)yL{I!c*>ySf#|NOC7;lZ)=iwb9j3+%C5U~4K>w>> zJ~Fa1n0w$lw-``ohdeAqdf;7CBS^X{bVaF$wyXa67SIzD!mY!0LQmvpy7&4@w*&ZL z1OLvx^11kG{0M($U+L2Nie>L^f7^5|>Vfvq%SPVznD$%U9@YQoyFJFCgE)nHt{L#d z_A>ihRRsuA&IqTRq0px3Sw52K1|Aua%aq$UdZD;LY?(F7u=+ce+8 zJ_&ILb8om1ilvY#U53>Gg-Y{M`lVB1-{lQ8 zibem*s5Hwj<@pcj3-CA*hX(r!Y;C^0FgB5kDwQ;}qh{k#GaJqiXjY7JmvFIVLSZVt zyG3_`u2g*?o{J>zjebV2Kq4+LRnm@T$j%v9(7Q#=j1y#u0m8+xWPMAH* z;_Tr2rjYv00JoytoK7yD+#uno;fA@`FfmX>AklyKt-S;6|W=ra)$Gy(J zte>lI==CwmY-!qcZxQCHC34REQvCqS_DQ8`p?(PB!CT@zc-^~1(_YEINE;Rv5^lXJ zU!TVfS+Tv~;j{5?k z?6*8B#v)g#$Jgf3aYv?-?00pDkKljFkMk)9bGJ_HKzX{P{UO1O4-N ztop=9+rGh`8(}XQ>&2^cH{!L0lNSdsa4PURO_zL9i8{gDm8y`r3#y~dUAa1hcfbo4 zoa=xWqDphIBzaPyy5LO@!=0`lG{af?K^RU|^Ffmugrku@gVegeQgi?D9rnQio(_BQ z=MHO0i)HnXlL2@q9rj=V@O0SkhV{{5U;9_D4*S(-8RZ&wapyyH!G6<`UutQFP0dNm zt0N!(f2H5?`XCUjm#!Aaq>B%jRYHnzij5vVhlT?2B=1rmf&z2I*q{upe! z@=n!3V=FnQHdYim3rf7#2JWtYZI$%w1}V;rbrdY;sOjK0o}U%}3C7r|_{E&DkZ4U+ zhd@|6Z*&gwuf2t-lM_r=kKud} zB|*jlDblMT=1U(Q$$|g(**VDx4YTlU z3`Iv4a&v8N?3Z%9y$bIta!Vh9ji#n^+2KVHZ0I$NZzTRnW|&JY$4HkSFPN3z1MA?a zd0~bp5TnH>J$cwt$;Q+HOQ)!h&^yRNe;Eq+Xo4vez>kC6#9A{Mu|2Mn$(Ht9xiA+C zUh1jAt`wc3&zh%I@XtUw6P2dvyKg%uR=Big_tZJF3ZR0?9)zIkQqr_~dxZ*T6^HMy z@H@~dAzDIfM27dYF2~nsS)o3^%p>(}F7G#>emUx5l;KoD#>c?nc^r2-RW61r+2S9Y zmX6=#AUHZ~pL{A!RR=ZGossgHE@$fFc8If@sb-%p8&^m<&@OWu+`vlS&|sqBhTfh81&E5pbrqxGUq_N zc2Y$XA7{?qb49YbGJS=Nd`uLjbK0{M{G?f>iPpBPXqPA?sW*qrZlzqBz{8$IVcg;{rVz7xM=FA`&iyXQNdlYPEZJvlV{ ze5bos8+=3R@s|l?$LBjqa2Z)xGUsKmKLOuKRNxyp3{HXjYlI8m81mu&g5?vgxj*fY zC8+vCtzffa7SW|{LPtlCH%>3YHCQPw2NN7ZQlpW~+QPzJopxHKKJPNvR;WB;i!{=j zK{ccwqsBvp(B&P8UQ3|k`T{B#UEqRUseYFR`|y$surDAc0nSW#Xa)rlx-^Kq5OyRS zI~0!!Xz=eL2G-o?<2`Z$dWGg+ilQ79zO>G2=H)PcOF~^_Kr**_dUY+?23I4rKxi;DaYoDE;S*S zjmq((4$Uz~^Ngh`@Y=aA!#ya+8d}V<#E`D)LjBJ<&k-c7$L};I#E{mdY)DmaMq6i$ zOPnlAMHfLrQ=XToC*B1?GA2V13t9E2f(fweDJvVlPjxkp7amuqqrb!DqY~T~0tukx zaipmsmxK(?ovH~FUPr(^4dE$GX{qJyPD5lDj`C@S>b?p?r4doGssf`MRw2XR`)|v# zwlF>BZZO8}cY-5PTki6P(<9 zU9TQ`$MeP>Y)u|Z5#yJgRk1>ppuk*0uX=SZ5TMWtQQq}s&w;lWnr3Vvk-?@^`-Bp< zPaFoT6H4U{o6JuT7K`UUo(mhBBPdP(3~{b4gK`6B+j>xj6sVxdCy1@SkQWl13QE|) z1wN>^&o}fZM|G-NJYqPrUVQkk`d_4ZMGA^;;mp*hph5gvEd0;I4ZJzT$qK_ZBZu%6 zJ)Pif!`GDa6>51tL64Dq<7}PCg3s*c_h_bU@9{zHzzpz?emwPk!vb23Bbz^n_(KS) zv`jsZ+`uF`{OtA*3c9aGK|rHuW-1>8tG~4${=)uLHKy&rfjRMWk|$NDe*<+Bg?B9Y z3snWv!BWUSP)B6C3(mD{9fh|Vp{PAkc&`om=eLy20S-7ekmiO6Re~7 zy8{O1sE_v{;n1md>?t)&)H^3f`3q2VH6{{Gw4(Z3|4lJaMbrAsJW^|wn&`u|8PQeY z!s41J9D5QLE7p^z{J(w-DEVK)dg*##%K%z`l$r%jh#LcMr<#DFnR>x6*j;wz03!z8 zjp*xqdy&;VrUqE&#QiEsFNEeXNAVF`5jj@{CA3ZiWeJBJ^Ik|BN6vQ1`k~PCqwv_6KnX+b+3zZkK<5AT-L?DNM z)AVvd=`)}NJ1qRc3(C-9qvL}aNeto$6f+*h2qAIV{+LXo()+2W>CI#N&NVM~l@n|- zlVd0bN8xlz1S-)U+R%F~9(M4Hy4KIJ+>kuATn{7M33V$*SyJzCNV9VP{AocxkDqpBPagm+jgEL05)De8aWD*Mm&}`xSN2^D-e4+aM0$8f+!cfXM1n zKcgy(Xoqlc(=Y1rf+01!)EQ79ToWNM+KGKV=%{%(A{s26Ua&E1HH}d5q{v7AjUtnQ zQ8@SA4A>}aJxzkiz%_VD#S3q)!U&zs&)C2DqJE2I`rYiY-!s9ksbx*amW+m8D3g)H zHnT=uWRdf`pQK+IOy&Bi0CqYr9i4m8mySW-G%uX~mub)awa}y2;u!K|wujmJOh)6- ziT1z@il$R%fKqp(C_X?Y0@YP&g=|DJYWVdCiKi95Rce$LLY#ZO@vI&NF_f%QcQJ!! z{UhY`3TKDDQ!{S`Z4 zx0Px=Z+Tz@X08~qIa~$luNR_gAM=qimW$YI2{>bdbwG_#>!IvMxe^0g5Uh9GwH{3@ zRo89Qvklyill9ZnXr=B{ui;=q_LXFy=2wt24h@diJ;dL_Z9^C;TK|r#9uJ%(Z(;U} zp5t%551)|#j?0*zD!?i0r0NDyd3F73P^=e{RY5OE1^{9G)G<&x#ka1JRmC6X-xroa zgyOvvs#znZrZ~6&gqhA6jijn~b3X*>2c8mz4n$b3-oQX*^sUdI){KQLrmXM2CW~n8 zkK?T%@BngjzXnuXFv-B$OQBxZVHp-h*W-6uI@f8?`ko>jU|Uc64Uw-xF=+;Wy8Gg$D=Z?)+)T2`r+I;leHd3|fB^*85(rS5S)FkqXSi>IC= zT_=UFVeoQrP%8aUsy3t_^!g?{=Tzz`y?iezVJv$IJykq0AnHAym^uao3`&S6@TH7Q z-H4%IBM0BTqUL~NI#Op%;y!6uD|tFNBCn=u%J;ED84Qe+uVDP$0K`fm5#Hfb$&fG=yJ? z^>UKEf*JO747C-io`s!-RH?v=o1ia$iD|Z$k2TO{D$wQ9XCqch%F!ty$7+@yRFACX6K*TjOevAoB*0rU06qg2_LMe0 zM z%IDmct8X6zsS&SawQwKeYO%zSX)z| z{5I^Nwc)|)0SRs8J4wHYO*U$8?5A@=E#JgPr`1iVV#`iu$1yFbebH|X| zfi%S_yh4pZ;Y}~dv=IT~neaOu5}FHo9X!sxeuv>w`jDTZ?C6~bI9CBNbV|Wn?}{1; z;mH^}K`U8*hdSjQAqSYCHhEcYP1V%z{Vl(jL7n~S$j3k+JqDGlPrfGdf#IYIXjZP4 zuF5m#UmURkI+Lgpt|k6X$j=)44eHm|f-Cl_fhrqAn4y~lA%0% zHKuAO2?w-nhzG-|&eSX6repJ=enHpj8Gs+2(06<&&3aX-L`EWno*Ri2)(;fs|9v&1) zPAmmCsNch4$`u9Z_~&Dlm7~g3>ZqIEBo$zGV|8F6Xn+n@k-goiul0tE_L3MQoR7L* z0<#|y?tqs-lesHZSDL#Lb&0uye;DqF$2ngXn6tBv+qj*^?)a+)S)yJvcctnna~D(( znY(iJC*EZ>_MQ^tRg52fHFh8NcXZl%bsyIwb>FU6$9$sc1}5!PuVCyG?ypyWdJykH zHIIXX(N{CAuH1kG@ibqFin<>06);leEDb%FyEHT}$La{tP)gAO!5gFwt$dh;qj!o~ zUhKTFgV=LJM4)@U>A9G~h&{1#xsZp`$YNrovx!mIlkNTNY`N)dulKBHQ$s;SbEy~>z-Uk~;Eu8nkVZp*(RMR;rK;H6m8g7kSE#l= zz{+*1uRiAy^bXNJkhhHFl4&4y1eLkE_6?bKNJDbX4L#^hEMH^PY576q0Ucesri0*XA8-ki39nLILgs*8}q z0Mc!o2DI(tLK2m(vU@ZgZ(NW&)ma}Bp2Qp-ggj6;gdM4FbgG+h z7OLw%WM*nO6T_vF^qsi8TjB_z{HCw>KU#InzU2Y1pRrL`}v!fIU8CYg$6f;0u;kFN9yyTORy1v0Q5hk z2|s=qWCk9-e#Nxn6m`vg=C)k@%DD}ypX1hyK{PqL`@LPldihVgOL`~1;6TWeE!y}8 zzsX0+nn)&CWA8#e(R=tvjtkAYgiV5}8~>n5E|FCqId&##7z@-3z-|wDvzltcLyro= z#&-4myjq!d*(u(oHEgnQ|I%cGDQq z1?v!?qXk^3oaK6Xi3J<^>pe3teR9?mZQ`3$2-l1n`d92i8_yQue+7*N|**z!;-&>U9*Bp{e2IiP*jSsVg-b z=<+R6bE<{iFO-`9Mm;FJO4O(3u2j8i?t@t_|j6ZBNdz>YO5~({>ovPhxuEZP*L*n zp|F&9Ps0N`w-Hw>RV9tBdTb1-J-Hl=y~aP)T`8nqL057zNgkrr6D)?r|0QUm!!59AB9Do3WhDzp4ao>bG* z<)4gHQmv30&s$+74t$MldhpPO*l{xOmFt8U`1Ue)rD~|TD^UZ?U7^as9Yy1}ACnV1 zIOXk-c_a4;w}IZ+-ra=D4vt@x{Ujyg(=6)@-z>_Djj6H2Ti}w={%R`qTnWK|=PT4t z5R*pM*82m=Eea+zwBI<0D12lsD$!lxxvXX*TRQsdd4ij0p(a6w_|W>Nj1BJELOr`J zhky!}4K`><1y+8njR_cPrr;dZAcr0qe=1@_{PX>@3MFI_H#STpdAKHwu%L|Xq%~ky z29wlK$*2^G9#IsS`}RsY6Y?sR0l$pI|?|JjsH&KO##oG(S-e#|L#8GO~E)M@uuz}r6t~! zj(VGpH+2q&^{jYPCo$gCPiE_IQ{l>-=}x$*!$b#o!`gnhsbU8l4MTl~n_BlF$uR*f zY{E@V!kCo`e2CYmOcB^DIAe?(Zt5(O7dCMzBSIesbsp%*2hGmB&9$X0V z;M(HKs$L%(Lk9D!G!CHI1&s( zl%v;F4)sT{SBQo$X&2wgv;u1ZZV6lT)XeP=D`Zild;Y{+v-<7b>&^PfR!VFOiDA2X zUMwH}N$^tQ2lJ2LcjfnDelI*?Il|k`ZCm_AuD&|S+^aR&ms>Bm2#gftQiEcGQMCdr__3 zNsms)UKg2+*jzTDRGU^(Y4n|nH{yUrf1hTArI?K~s8LEyqPSELFKV)V18^5k)XXld zopsLaqHsse>=Jka=7g8l%r3~SO$?vSoL;iJ1VGVS-d88JJUL6~ui_mAP=CL&Z~zBGqlvd$93m zvAxL6<069_loOV60v7-X(Xde&6jg*-ovu|zzAXC;&%(-4fp=Wh8ipsHkEP=aTXbIQ*BjrR$+Wd zxM}*Vg53B(@W-s8+;HNwSta3)0*0|a(s^>DwP_QJ0(+5lb`3+*^AICX5sMVZ!|6?;mXry6~z1VQWW;rtgTH9 zo#o+;|7-am{1UW%Dm4J(&3bz^4CuZMc)+@lPdzF!t}j0uhaO^|hCVc=SpZoKD^#fv^+;yKzQQrlPwxcFeX?BX;U4F(N*cUKA;WrBv?X(h%imONAy zp>Cg#z%iqd(FLCg|4&G3z!#;#=k@~s@|&!s-I*AZ%!d9Jcs>oC;~6z(%N{XKQUdWO zkpg{=^!rOkHvKL(keB-QLwbbnK-2=@{A(Agw1;PO1dS(w-aq^R%E%ZnW{E*0go)b8ND#xzXpDSNYL{E5Iv2>A}2%wUkGLo44f0ig>C84$ee|at!`*Npp;(-_4{S z#`MUP7b`M~fTT9cKvqr-!>!M{?@WEh0wGhMV_w$vNq3a*Ue}zw)b|w9=faN?snPDw zV7yxhD~?;OL)K(e%SD*@BK`dvz;u*%XyVIRGMZR)0%tsJ8gnvU^YbbE48{vGO;B=Q-?${ZIgTazra5M>HqD{FMM0^+w`h7CI^sdEWH~Q4!R0-Zb z!?zv2Cz+JB%u3MVo8x=|?*u)RmiD;{S}okYZqse((t~s!C`(k>#_;>iw zx;%aq2J}5o>W9Yp11m|cq(4AFT;8geCyFmaI=wZdd@)SciQ;qd0z;znt~UW774|_I zSAoFuU_4{NG+Xo5c_m7k=NqP>89=kY1770Kry!j}3!zUKFLp>D-Tt<(lt?17BFK9_Jy%$ebqSVSA@h}oX6)Kcey=ml>e+QGf z(@`3@7H2Ej5UNYmZ9bILEx zDE|Q*5RUq1mH*{8p8DfHv;L-hQ-3^}`Xk|wsXvpY>o4Wsu_CMfZuz?Y4hp*dYx}6b z0Cnn*1Wv8fSMYCtq;eYu&s)C+D*uQ5;~@>tEh1ew?oeKW-?!I4oc6hdi5&g(d^|uu zJtKJntd203sv}W1S_C06U{=B0W`D3QB-aCoc?%tHH>8*NzDTP#5L1GQp|!*J&A*Kp zgQh8x41!@h%u0Mkp8gYga=E>z_yyec7IgPGFf(K=EAG_iKITie_X*^;2Vco-@98YX z^tY+F&)~Q48c%6Zi;Qy#;KT3j7VMYzFyLUd$fkhppxylRIL| zSHua%!FR}bu$)#Pf-a2U0J~j+aHRH_er;c;0HGkkS)Ijo5mu~gd1l4#MH;Et;11vI zxM#(BCQ>OIkD0_p0%4l�SECD1X*-}XZG2zgc)kw56DYRQGv`wH@%RO&Fhp!pZA zdVcr*OxOFL(;h=5yCeU2PBQv`7TTX}O1H==tpS`1LB?9#eE4#7ph`@7tqVfH>{(A~bx&n_ZUK9z&4EX^&lSpV=PkHxhME zdo%zY(;hy9e0qkre5G!Whb0%<;}&u?Mv1KUxQ*#(4`zH2^eT_TknDr7s9LBWZj{w) z30bAuRus??ZpgIV=EXw3 zI2RQ1lLn%epZ5+E|+SIJJa1|LB6nkpM2z2HwSN; z#=QC&bP0d!WZu#OC##ungg*v2F8~GyQ4O!s*K~6u%v`g z1np_aL1~Nf%Z=6L=4bSfQLfHj1}Vz4xlLmx>B3(@H5TR(L9Vl_+dB%F9VJBJfs>Ry zD|RV}xa-0JARAYNK%G`l8>IXVE-C@n0(6zA-3hzt9b=8TUF{2E$^u7Fz1{@h-Dj~F za%^6_@WOUgcx+zm40Rw%(xn`jF6EI0Eag63%JI4si>2)6E#*zOloyt`rCg3u<_HN+ zTEcU4;f4hlt6hxozl-zqo61Ocw&8~$1efW9e4e?4E!LO0a7X@`MtCSzgKATa?yBd4 zJP*`>JdhqQ{Q)Q`C00?t8oRV0Co!QAzRK~VEqia!^rdH6+csU>^%S1T;zhWqqnwZ`QS=qC1yBeaasRi>(=KG_iG11$p3>woDy^VFIAr=sctCrxui;J~t#f@*pj| zzP(NhuYbP`$WWa!y9D|_wsmC411zzXx-aB=D46wJk@Z~ZKKEHah}L=$Q5zRh4N#|p z(B39Fit=0n!@)t}uh*kZ?1?3blS=RoPQwV%c?P!RgI7LBz|zJnjcX=SB+SE`NzLi+1nKt{j& zZ9jcisBWB*m&4u1GnZhzkQz@W@zJdH9KHrw_UXcYu#lT}?dNqU!!UHocHEKSj}6@_ zXxWD|?Cx1ndpvaFGeDLTA!caw#+;#2T?L%*3CVEsUGl_I^=njtY1HSyQQlzDoHvDP z!*sI8D)s7Owh3}`>=fg>k;s}3b=ytk-H=wF6-%@{>7}i~EWU*66;ax5axy-`x zOP}omVd(m|VBn_jqlSC17p~JEUN6dkDTBS~58VS%9~WDrkM>!{R@KHOUiB$9pjozG z)hu#*f3@!YZBKc6{|1OO^!_EdsAHb+^!{7;=2b)(glu!%i#W<_goIupYXMbo{M(yn z*H*8-@@P=#EPxmJ8WziGDiC~8#*)D_WF`Z9Jf;VQpq#Lz!axtFC$2?w?~QPjsmH|knx2W|MehVZKYN@tudwNQ8xZmR;7_$Dt)ey)BXm-v}6<> z*u(>cK+6V$*M-n}zPS#}$U}<2ZAWU%YSWm32{FH^vkxhY*sl_^yFkydUF$(C(!9jw zlLlbf^RVF)!79r?Wjp=BqKlvI6l_{HFwSE{3-5zEZ?WuA9A2b7pTAPK=QA(r_5=f= zDSI_w3$%IC2*R6yK`5@k^;S^?ACJ$EiH9hi#YUXik$YI}-@!##LZWu5&s9D~vNoCQ zty0Is`)H2qV?`gHsZky`cqXPheswv0j}qf?&$zTEq~P}JFFMIaPt^ll@7LAuR7>Kh zwiY#mOl{9dv+M65sZDJO##2$!wUmq*l%PY#cM@!Xh%Rw#fyA-pm#|00Kq>G$0=tnJ zDxAJn85#KoWgcnJy5YJ#t&y9soQ^4Nm)aHTvz3DJx1$iBu2&JjKwaOls<*K-b*jNc z*>rOwAz4fWDgn~T$S#L;hD^a}dHLhp=nTgrQ%*U19|xV0 zG8owx30mid&pA&>0vdrMK~0M!K^?$UE?xEkU5val)G6cEung*4s)_RhSlYwRZ*Asi zze;`loFfzeNtuXZ*q)(CVusKPyGoa&@o`5dnIA_IrBo$wi9jxyn4$Mo~+TiV&?< zS01Hx0*tkz9v%Swa^iwiAom#=gEztcMwOr*AHR7(55)CqU({y>!|aScAzARbs0SFC zpcq1f#ret4A&>oT6!ol#w11wjOGJW792IHnAWJ<1Ms0}NACMg@qlx><=26AKLy$qO z+VD^ZlGuaP0MVDW&?dknk>+i=@dNq9G?ZRN5j|^pZ<-8hVAsS8lCe3w3d;4`}Y za^vSzy#slg#f_imhtEq74&a;Z${*Q3<(^LP%oU)OJ+4F!mo<}~pP~QEaVI^C^&H%6 z^0;^i4V~iJN`$kSO!-7c_u5q>tV$QA9Psp$|pgIA-$ ziXX-*!HOTT5vd6}thnC-icXxg_j9u1XJAE^NLF+iaTi9@r@S(J3sjEfXy z&4UP6U?q;T66Y0yBAso`CvD=;aZ+t}t3vXG0cL|* z!myjKfVpl?q#4a!*mDRBUFEsby1Awm=E=21@7uOl>nZjuVSPxU2k})Md>JR#bx?th zg%3*W#hAHd&(3cb`~+$lBak!GNOb4@o@wIKNA|-EsrV1LsFHipz%;zkNOUpZJc?j*vYQF~ zpraZ@FzN&&)tG(6qLv9LC?c5Z;Sn0Q0|Yk+PSBSE7M4db3pIffH8|t628_imQk$*B zD3Uh#BS?$WIBCatQ8X%N!q9aIb-gaN{HX$vxE8*=$Myq>m%+<~M?)6#Pa$~*UojSF zW&{h@yJGLWYz4k<@-nzeURMfDU*H2tgM8ibkIK^S`zfVtu1R~ z`!sz!AU3?|+v3=6v(L=6u)A$-{4-99IaPsLcK+g~<8xwPaOQ^52cqkwTy^x5>^qRZ z9In7SSWo;LMH#XzEx^Vtv@+UKEGHgICG(3KQ$kGiej3=+Mtu%#COSD(ASk^VuDYbK zC|-^FhAKzKMnPyGJ_uy2|D}OA;vLmq+yl3;0o?!kPV<)Hc!8BXA9lMz*5s25sxCRA zCq`enI#P5W`o?f1?&rew8+UJAvX1#b4skTC=`>J7#*$wSE@GjUG?wX1Zjf43aObv__wvR z#lg-i)nqWHWrNsXq%q%%mP{;40sTB+ckF;z(Xqv`!j?9F>i`JMsI7^>Ezu;3ESVWP zLA4U%!U%u<6M#H(390V}(DXKr;UBQJGwIQhCue>pl(&7qTaj)swgs$ul=SM;M*%o_ zXx9<_au9?^w;S|RHXUdstrtH&nmqI|Bw$ZOk3dbV$JitMx<_>Ml$ajz1A7F--wz~$ z({}6;o+q5fy+Un5siWGuR{;eK1}$uUA)J$kA(2tcnn&SQ`Xxx#FVwWHm{SwHT!NQ9 zYcYS{IlufCNr~Ea`K1vF$S+)@&g7Sf{XMvYMSx#$f>@egu*j{R{u{+I>=i09a>)+) z<%jL@3&}7Fy(MaYWadvED#c55{UO#uv(p3^C~p_zZOj>w9!Y z1i0i;sR|5&peh(wccd`dvIj3OoRe0#Yx1_#oaZEZR&uNy0TWU+Cu~cpz5lcg z6jGS>nMJRasrt%RoTdRq0pN-^{{&DmS7{_FcJUVTI2DgeTjcF6O;49yjmO7vy@s!G zy%yK&aGj3p#kkJE^)6hm!*wRE*W>yj@)OKvJYSA$4A(1gorvo+T;IVphU<5@#&LZV z*DG;-AJ?mJo!>1{acy5raMH+tIC1mnLGd7DA&Pt9N)-F!N)#91N)%V(N)%_|N)%7U zl_;KNP&@zC5peql_=hcD^V=Ql_*-c5=C_XS}fK{jR;&QFaBWPOWsF3) z6!$^=%JHkfuM)oyeinWxzSjmhXT5Yi&Usb4Kg^IWeuPIA^wT*B-En;zbW`l#jnvy%q0Kx zQ8g(lqkdHyokCTiZ%WjG`leLvrEh{Npl`}mk-n)=`TC|(eJkxfF{C!?8%rsDQ?K69 zHGN=YIbCoS6ZG`5Nm@UrK^Ot3GSpwpUoz(p zw4NHfBWk#D;T)8pe19pxZq08vv_L(zq6x(Cm|BQm34W#c1@S{jkEwhQX8 z9=}QWHQ+Y|zp3~&MiY-W0V-#dUmzILE9G!)NglcZ7b`iU<{*sX9(`H#kyW+!HZ>N* zKkW+hkk_*NL4auT9}e;#%V8hdG^RDp_h$_ILq&;0Vh;PeU}Qaq<-n*fO^3WOOf=I^ zam?XXsZYM5L8X?~#&|8Gw*8OYP zJutYQ`aAeV2JZhec!rRO@DFaGUWC`)*C)cFbrRvlEZl=)Cc^&KVN%{Ib%aJ5#(NMc zS6=o5d2eNQRF))|o<*xO`!3q0)uf#iJq0u%y`J49^eT~}PnDM}x;(q+eNHc+UYluJ=pA2dmU*T{!n^`&%B;%z?reGrPCmVX+US8Re%tDZhD#<;P(pS*2cL zoX$jTCChFFFMyGYuh}03Cj%S6$Y7Swa0xGfvty3>*>9=c!D^HYe2lj}laRPhCq7Om zK1mXP!o)}F#3e`^Vd9ZU9Mp;Ll%7)jS6spi-euxlbYd)f2ObgjUZ(E*1FUZh9}Uyg z$947>wa`Xde+A`*X#WKy<8+7=E0TAo%>z_Zgf6d56=ufG3_|^Bo0^@HzU07~0R)_s9BXD0+xr@JLC~gqRyJdN7_e#HO zUs;T4EgB;JQTM-rA@2MwJ-DH1=n+KJ1w|VKx7YMnh=nn%y1m%nvKX&+1n^!5;O`B< zvo*je0y?z0nsI6y{Md5iFBms$w( zDXQEk06)M>4e*x^z}0xQqbk$RD>VFz0XRhiq%F_ia*GSF$^m%23vgIpjnZ=gpvBH* zYwV>VS%4IaYP1GwD6uhmey0)Z8xDe?VKWxty!M$?v7Q*Ut^iMfn@`!79VB2=NFC?KyzUL2cGd-RU#cN??MVfJrmymh$tS{OhG zB01tlR*_sg1+TpH>boTC^=EbMQ2SARv7YaD808(`Ph9>_w50-#YwK0#KDB*EpcQz~MNp2eJ#amQcGn*;r7@OF9`EjN$>VFh>S*N|B?KL@w`_Ve zg6;KQOI8GH_;|)qx%u$?aUdk41M<~tzvm1K6i&1@A-mVU)kc%3MT_W{mi;2X>PhOR zNBz(G#s|U60Cizv0ytE@(zS?4k6MoC16lUc?CfXunH}e`G5du(&;KjrXVKkds5E5T zo+CuIJwl0?EPfG}@PhNe4mF8qNE!7VNUv9fp1`-B%aKJ5mn{8tmOUg(RA*s3sb%;J zAv>7q$8ZvU`Q0=Gu7u{GF2pTB+^<1gDT1~5MqI)R@&N*xg-Yn`H{d0B3_P<;9dMhY zeOPcA0GqB<>m;Q4O11J%_^isT;xTCuMUjiS0;djz_?6)IznW680*~J%6;Kc2YT3hZ zL>T626__X>z}txTP!}kOr^w~d$+(DebPM%o&XmLp&pjd$6G(UUX)zMUHn>&FF;)8Z z4wkmGXG!+t8_#|EpJMu1kI9uB!2wCP+}}bA-@WP3|bC7SZh zJ=l16pz+Y=D|Lgujf-mkHD1x4vI>6uZ{dv?5!Fx8mU9Rg=6M5_UowAMS~de8z$oNLJ}ar5)2Y@L$h|INN>R#|2vpREZa8; zsX;>Hw*1-$ra8>D8`e z+tSnZ33?&83aY!1R^}}@m6mpz1`3Ze{zd+MgItaAXv|}P6iqfYv3&5&6!BSuzVvs& zE(gitW8t+2Mr)QdTqayZ<_gksg7_JO+01yO=uK%sOk%tS6?5;~^>b9GtZkdZVW7=FTHZHi$Wjs-04+zUjSZy+l z|8ijU4^Be;pjRIt*1~Q7&Q&$kFshD;^a?X>6A%py5=M z3Cqz%0EZd`5sG#m@GvAlwTUFxCn~Dpw`!_Sh5PzV+Fiu1qxVK&IT3$@3j+(J4$IUn zuphz#&He-Oe3*VhPNq>$JeQM$1=E%#s5O*5vtH_BiH5j^*KuE>|T z)G7wTJ>yZ0cLTZ_grzoL%q&@O7RgHkCy)i_W$(vERD*;zwOS9nGir6m0#B_5>RPpq zqJ(sa{Qw#SgfWFFq{kB}l+?F|-2M}Yr$|K8hMyxN7rhy81xCNiS_b5({!i*93`n6; zygP;OzJ9`a=Wp2)L$6FxcI6lhR~wWRQse$8-gq=O%kwBqBZ0(e@N<^uW;_drokq`0 z<_I%|xQDIgiwc#4`JUiiul^?@+`=!I|Y@j(809Z>q_pGx5$Q@(IQ@o66B z38JaO&C5{j5|@EK`z07?92n?NLp%&L|03Nmpq=5ur;*NW(AR;d7s!p0+_d&+e$1%W z9Y8#TfzCvaa=XPVcwlJaBMbwPNa`8(IhRyS-xJWNqj?QV;VGs~D%8>m<~FFFcWy&! z^$dhHKx}o?I2p+I)~_G3GV;CK^C+ta52ma>AI*s5AMPq*_G?_!mg(fHWe@>_07MJw zxi(DGTw^uT4Y_!GA1?f-M+@Yz1Wvl?rByyT5z(02Vi&-Fh-c)@Lk6-3zqxhJznN9C z4QG4}*U2*0|4Q=z(doa znSGZ4?p)dAmJJSUeXh?q{!>=+iBj+#X1U4VDBzO{RNsUO*uGpCB%5)HX93(xqHC}X zr9D>fj<^i9sjfzbGEsQP{6)y zPdE%MusX2wk0Z>xzs*kt{)asxaXvIlc-wm$TIM^R`3s!! zz}xkN&+(x?6?k8=g5RUbP+=W-6^?%cBMB6OJq8J!$J)V)Qj_OSOBU+Aw@C50^-kJ=;cNT3-BpA_7bK>^>*|)0I7-S(-PAW+SjzX_N-$&I!E`%HPl=stg zc?j76bSJRmNB*7OJt_{g>*9AX?{;bbA7mJ@G33b;Mqy zE)~6Dpat?`=c0XL33lUbMZ0zv@`UC=@CQre(TSgXSpH2stkigERB%`k5M#I+Y`w9> z|1y#&!mcj8uf$pA6?$gxA7s@!!kR3iVKldcrfY5_O*_VylUyC+E2yO`{5kGZfkCs- zhE#9sq4=JNv>%bkV4Mb~B_B3mBZ2wWEpTL$R7JKp7JdP#;m>Cdegd7lL1#FKzYCYu zRA4f4N9-fZ5I8lOoP_15RW+5vV!Ju?L;pS*{ky#;f35D{bucA_VKCkNm1Pe%9o$~b zxXshFKe_4O+;Db8)PC(h>)!{s{X1C)R``c{ft!wOr@>OSi1-XOJ-vU8Q%LO2IzM|8H2HBm=KHN*M!Qxg0!R4_ac}KE4$k7fGI;OE(@U7IT;oQm8x? zI7B{SCt}1NUWQKYZ_%S?tgM{k*_Dq`#Ab=u>!3*1R_4bBVN2~I|4RdE^4np|{VHtJ zt7!Ar*oZHBGWNW+#U?}{@+s|)tApIHirAfXBiFg`K$QMm-yB>s@)erg&H5(9Js`#g zf*tB)1dlJoc=Cl+-BLCSNXLsH6QJkuzNZpZ_yVU5AV4tsZ*w;WoLmZqt=7nGMkK%) z$g&4xqc$Ppc30fSzpkr(H|7VEr2@ybu)m?M5O)RJ2v0_>A(RKDuuJfX@&G9u`m}C> zDB)@AmaUJSi6n+%+p)%vJc*Pb`CHBGYF3%>GCYKPsg6d%@FBe5gA zeM`R8ta6!RwR~RlmH)g&{=?q50=p5zNR4} ziulI?shmu(#5e_vQ9Aas`wwfsa@be?bJ}q;t=L)!!th@c+@Fx`kY!dr3@JIFo6u>s z`hnsAJ=wJpYI~x#=B;XG*Ac5#P%JQihaVzz&d^)PpBT~qFPZT%zka}DQggIGZ7(hU zC%$ptf;Z}Dhi`E@hhr^;8Sf#yb+Xf}cPI1yAI9g4Xn1ZBj_F17gY7$r-?tZ^Z&9ZC zbw&2Gm0P?O`(0e%)XYu>?>ZjWu`ZyCg@dppX zLq`0;r)QEgwon{lGzUxF506!F#sP1VGsgVuz8%CLT!oMPN1-g72j0wJ!AyK5{@~w` zN5>zWi@V;8bd3YEIos^+)$c}}$;^;roVVD(80gcYTyd$M5v9Wb|NDAclyk@IB^jWc zIZ7U^c2B}dH;rNj{LS~K;g3wiUwuh7{J8@EpfN8=hD`XUWWs0t$9#SToU#0^H;`<} z;--NNYWGVxZ`@ zo*SCD=vPQ3 zYKwPz;hnE&I-`?{@Q9SCYrs{Q<&<)J=(Q#4V*Q8$uPs&Q>4$PW1l7rWNL-5o9KLpi zg{OX~6<{Nt29_)UeYk&czVfBGoOJ!fFk!&=IvgA!1jaWXu9aFxZenTdJ~tHnR>PiDdw%vK!vlNjRO zXFO8uTXpewPuC+R6jJ(E@E}Uxrb3pOUz3;&+N?|kPJuJMCJoe?{q;ks z)iEw8La}3wsRtnhyQ)* z@J2LSChL>_j(uvYY2P<7@rQK)?DH8jfPHWp(=K&3+&389Mw59LO~%FU#IcXT0Qd<# zVN@DfXd#Y8R%Q9!V_))?Un%8ZV#)`f`O&yh8vzD{Vbd(N6F%E9{G$KQ@E^HK({E1) z|1fCyA5~z-_(%Wu@S#81Fsl?#`@JZR@gjB69@;+&s-pCkCFNGCAxLJ!KmU9t9&Zx+ zx{pO-rb^A5m6w*9IxYVS?A_et#cuLBeI^IL5dOz^YGi!fZ|&~Dr$ZjXqUpGr>ALTVv=qzdcHr?)2N$uvPhptjXWp?^SZu$j% zr4Q-!!cMoFq`vMXk_x*vSYCL=ag(B0U5pgXSfR_TV7*SQ`3Lluo1hfF^K+TNAheDKG<6>HacQH6S4YElnSlaac)GX(>?WMI64!YSC;ybkkO z%KV^im;KNF1=KaW_((V7!Pyx(oBZfv%vjR=GS$W3%%?F&DBgIRuJj=FNdO07A=hIj z7Yfx=q=rT}qq&jxeb(gkb^i@HrG4i$fe_h=@r-g3ZtCmZ)U*0dE$Pp4)1Ty~rzNuw z=q`WkZ}JqWJ&`Bfxi!(|v6Xaov9C!GxF~$GKvaq+ikA=OI^ALYP%no;JTY)tC}OWo z&1nN1;Zzrw3{aIC)EzFU-#DNu*?9>EySGqm9qh9ddjPpWgLERYrV%>DglSjVQ-~oQg7V3_vO~WbL%-F%=m${5 zcUKowp%)aERt&xxOOR7Q5z8!CBmnDQqw9Z*u0PhMmRSFfwrhcps<`@j!U72!mDQ*q zt413^G#b=kM0d#s?#iO^jrBoVEDgSjvQhXz4Q^<&URTlLt50gRYJJu!54D#4md_zvPpyG^=k zqo7WpMo*;M{8jLO>Vki^z;7;VLTMAdc;4li|~Kyf`9fd!{^;3J@qap{&_C=U*kyXUBka_7vbM~vy(sb1-@JU zE&bgit0rbv<&b|D{Ew%BG!_zuhk1lC6A8&*eeyIdsHx6Kxf4hb_N%>(Y|`|R6GA9JOAQd z@{h9fA8qGv?InLdJAV?cO*LET>?Qwtw3nI;uD0{9=_UU|cK$!w`PcQ5f2N&Z?H?z9 zHuRD|Z0A44hHvzkU&({dEK_6*K$(p8-E0?E^1}(x#$Q|J#UFFl~Ykn69ML)~`)Gm)3)*bcR+7Wt@F0%3-${%8v$2Jp3`SkiL zGRlW!0=#jqO@Co#`JlemtiLL~ygA>x*Dj9@b*g?&-FlmE1pvyW?M2_4@Xoa1X}!S% zd+hm}mI+V%9nSbnwWwm;_!D@FF{26469Xqrr*SN##`d;ziN<~Lg()@GdXL=K0yp^p zXbM*p2_kq;tQWU`Du~4)aaQ^DOZ=F17~dhQS|2<}mRQU+LImi`GGV^GSfur^DCqQ8 z@6T8QgdO4ZJwa^eX!b)mi9+C%tgZ~H9~0lZC7aU2Oo zV2;I4oSVKcS?WbC2rrJ{m~Hn0qQ+3GI`TMu6{DB^ovhEimr0dCviH?Xd=s1 z;L;#1j!l{~u;9yhb%#XZ5nRX~u(zgj8_#g)X4wk(b!{1Z@ZH+EMT* zUxo67mJPO-$jzJ&W)&svC=+v%)|-m)h{s< z!_|V*H18*bgccmg zWSr}SJo5fNzw5=1t89y<=t@Z&@>`YGOrEglYwCTzRrJr2tvITZualy;O4^WmmA02v z^ltTjzE!kAvK5a~$z!DG*^)NoFqQTP!>sipNq$Q(_>j_)@Lek3I?<02PpYyf*O>GS zR8T(nvE%C^qRwu#^MA&XVEtE_CB)%)M9Y^{>5_%@aN8zd)vk4V~(>r~o8 z3(u$3`!!b4xst8;T$MaYie9DC#;COMl6H|wJ4&UUAZcNhc7RIz3Xz!D7a(b~%ly(+ z+6&*k4uNmz&E=MhFN?koa9k*57Z~zmN~9kkU4@#{|!(BNEnh8qO}CX@ITjmNfi+w z0H3@c=ewOH@q%Ng0=b0m^jcLhwl{NS=Ni_|O)Uo_diV|CCZ*~)+|}W{UWx~_-!9&l z1c(^iQ%6ff@ol5#g-%#yJQiaQJj=uFxx@G2MJm{RVw3}M+}ndx1O|bEFB|t$yHq3? z2i={!7O-G52?vWnAFYb$?MC!`s+)p?*luPHoPwSiM+SXRidIQ96y|i`oN)Zt9xO$( zA0oZ`1N^|#I20qz8gs#R&kIL0!3d}4e#qf`&;)xj_!-n3_qWT ztj4#P_{`(M%Y5UBN#H4VWv!NzO3geA-(w4RQZ|9CuRn%A#@25h$dx9IGh!$6tj4U;+wOnH1DdaZrG0 zdy4{vq8+*|O{nQFW@*8RuzwoR4W^+^*mS6D!~g=to)T=U)fO1Fs$T5xLcOm@z1ZU- zEVC;_wL^JVD+oGHVe8Gr)+&WfR~DMM^^F~Y?Cs#m!{jRtEycdL%W&-UbU#iWPO%yI zYuKd4JsCXL9Gv7f9VLkRw#s*SxZ#pGYFMe=-ZRO6s6TwkKN(b%3*zJ}i<=t|qM4@K!bCQr1Um zy7o3puLB;&HBjF3oHZYZBNFEEz5)W*^a?QiHK%>u-K85V4;Q)wc!sStzg?4qGn{rx6e#ZaQ zdn^^{`b~W=aiv=?sqdv$lIu71-S0}bUQ*x7tt8iP>bn_m;`*xQ_bN}Qtif7{6)yof z><=c-q%H37|B^pKZy*hldQH`*?c}>1QsT;&DxZoWab`$;uXiTf&#CVXcAE16Ef3i; zcMb7^DYaJ4HPt4xF=(z3H#?~>=hHNc57WSh%q33?9t*Q>hkKrD!!8iFOC~oIt9*;Q z{4U@#W4G|hPSovEtrH^)pLBY9C@*919+a2Ce-FwpAlvq!{DXT~{)s&-zjzPJx9)0r zE*)jaV`qA4(Ff8$n)qpF^1Nmb%X4gWwcc*lzhMu{8+%xO^B$Jpx`*X+XgoNjAN;fQ z^8yb(IyIkqPJJ)g$@kKoeE09*RZOd&#(eg0jp0v)*BsJs(zfnI?7_&%RN1S=G@F zyDZI*-=qD9DS4gk%^LleV84DkP~LCkB<=&SP%OxN+u1`GaaDfnMirsKb8tibmR{N~+(Kh1*wZ5{K^dYTWSS=n0A2w;+S3M&l0h=Oc5HMs$$Kt=`5PBsyIoi z_(^Y7IOS(a6UP%1{wkC*Su`yJ{zwJ?NP(Zb%kbwp;9r)4Uy=d;^^mX#rZiaer(iEE za^Wx5po#y06#OTSN#{>g!LJhd!Ci*Gz=8k6C}r}eE(87`1s{ts!2iR*F5>Ty{}k}A za9JAX=PCWLs0PddWNS;Gcm!i`7h(%+BkFQ!2aM-V{gaY^WV7Ty1O9n7eDNPDkE03S z*DL&#{HNeoX29Pv#=^fG{Di<+NALjur%&kxf4BUns#tS$I*aDpRh*Pj#hI=uocwXi zKeAc!p84%4r&658N_=9ZtBQxNy9o-Xu9P*z6{?&+dfHCeFW$aI!oqTo}XyWk(*JA9`+|273bkO99y z!KXfV!Edkb6@RBZSEt}_7@m&*eJ2ZlsLx&Sr}PfrDbMd9tI40H4EP}hpZeSdzfTtU zXP4oKZk!Ki?Sv@jIV*vr@7NPy>N-~1RI|YshSS>M{xjWg+`p74e)HABoUVgkP9|M# z%NMntJta1Z9Wi>)Jny0bIN#uS!M$WktQvPI;X>d%JmoZgM1vHH+v&llZ}M_EkA{m@ zXb>!`&Bj531((6Kofv%uDqeyW7(n0r)nB+0Rnb^UV9^mT_>rvYJ6-B)ERo7jRWJEd z;?;J|uyO%Z>Q1|0mi1#B_hFBWDnx96z073O3I7qd(O>Zz&u!QQ(3lHgYi=gv%o134 z?5coMJ$cwLK(xWw4~q{4){bh}Kd@Ml^*l2i?-ta%g5HH&^|zD0PpS%WF6ckOh(L2P zS{D1RAUY`aU18K4`>v0QFnR(f3U!Z`WvAaGbN8{#)1P??k*D!cD|TUrihsaSN4Yq% zEH?YJ9sL{oObNv=Ic*1z5}g>tIiA=o_FW$DB7LRS8+s|}I~xG;f;BE9AHqkeLu2t0 zSy>&V&w93ar*TM@gFJKpU~w&aOVT$9f5D(q{y_4;qzQPmdBcaBf&pjoZ1EiW%A{{Q zg_j%Guvp$Df~>+aa4~9Ab(wj^fOqcwphLxfe5bA~NFe0n?xxwL$ujR;v0f+RWXAEB zTD=Lkd(yX~l7icKRnzRDxhFPW>U};kG!NHr4$VvYJ{l=9y_fg-)8QRQ-nEj@_&NF@ z&VMj*K{MszuJ}x(>y9mDcq_13v+Kgx8k0;qY zZdWlEGJUYOvWTfxvnJ>`=M2lNRUvfVijck<_ZZ;NvS%3A6oD#36it-t4QEXwpV3|F z*^Zb|&V~yf;Y#XwuC9eAVx(~V_XFrz|GJx={d=V6=-sCWr~2(WF6b=N z__?0iXA)X+ciV@7iMv74zG)==fc6>nn!9~wKHC#Lw}SHBr3YTE-Jxe}mAi?406kOJ zy6Ji7nV#r*0hI49Jq5c*&ow9PF+KOZ>ZWHsf_bv*gU>-Z>3I@|D`CdFOZotDjl0u6 ze>r}S=~=PHO;5ib=^6S1=s{%b?$Gn*aeGY9w$*NWMlJ5CeNIP9?ykJi)Y%Pv&?h@R zmi;C-eFo3r0E8205w$fVqIW@9g8CO>-7u~MDI92;P^lg%!~AWH@iv-kf_@Idv~h)x z2o-~MB4yJi=ojIXDdyrZL+cnBtRaRbBQ_Xc;u>P=Xpv3iI8z_dFTr5Lapt0~Ge@S{ z!0hk4i0|{SWa2w)ckxC1`EDS4e`N~U)cCQB_zuj1@Be}4yT@M+5xax(m&c}1-aY07azPql^sDgI~-y4s1^B1On zD&AEVwY&=vr;16|ox^M=O7#KhPMYMA0E29Smg!Kqb{MPaS$_72w3(?g(%HY=^+DgR zx9U4QRo|-p)9br6RUekR((4ch90wzwtd+B+; zuc=&-^fewX^Gsv)h=QE%E5q~OeS`r_dtJmBW-u>K8>z`o^7DR?;+Us0ysJ2ZrgJ7U`lyv=QZ zjHg0x^CI=s$J_iUp5SS^7R*cfJ_FyvdePV3MJ2e!8}Z|Zf{$p!eVJ(d>Qmf-xoASp z6r5o<*Mo9k=+_$VtH$k(i{J&5`zJj(cRCN}4Sa?;<>rsTnM6%8RJ~c#N%f zhJq!-(6^rKkJqH{0TH-W#!N^pB;2?Pzg-#H?&h+W?e2nB2B7 zM5XF`OK5+b(2e>YM+bsj8U4)qa`D^!<5Y<(G?iwh=}uJSr0HA$HfeedLdiPr9|nvk z^Ky}7dobCqRe$BZxdU;nbR5*vzN-_I2BBmGN&X0|y>gm-6JhODP{^I`Rki-$(vJYL zo`DFU>)OL?^*Gp3SqqTmn(VfeR2Bs?d`=IoS zt~D!auL-~r$C^wPT$QDg?C>8$PSaU_RtEh2Qt-iH2mEyqdKdC|K|D4NQRU(IK~hmq zojXzKTn3dRpgcYU$18Q!a)yXo83OAdb^xpH(SbL)@gQ{K6-(HO%h_qo?J1QjjM(9j z8eh_Pusiv3Bv-bEaYh;X6Rx8$g(-0c-^04)tWB=Se^nB;+tMZp+!n9^C}gHSXs0GZ z{SQG$TTI`Sw&laHH_(b+W<(ET_t^}O?C}TSyk*}E>2cV^aA8@1st&HL**KP+#yjRL z^w?o=fxxMYm}s}M3f_pNzheA)6J>O@@p}NBM?s!1(Ay&kxV{kn?nmf5CNQ(0{6A6` zbY}5pzVs3nAO-f=TlY=aSzugKVW#^h+LmPZa+WeAnVn zl{FzdDVSj#iHnLg3=IYWB#INvFt;_}y`w$Q@>E$XQjL4Mi23|7wj_4pAlJ3X6#-+s zwJSLgH3XW!Y4jSm@giZQBBj22VA3~JIX_aEWILAdV1$ z*iWTs@kiA4Z`h829XB`@cZZ*nVi0s)H}0S;E|mP69LW=q8CwXD`BkPO=S1x1NECmx zn4|A$uau;1&jZPyQ%WmBsW?@2Swir z=`p3_)kC3~U)8p3w4~&;Lm?%J;u}zp3`J+6stMe8xkjV?yw$kpW7YEA(%>LyRr2;0 zj4TlV9)5>&8X5aEhaDV6fFV zz^wjqNA<0C^|(SXCs3tYI{MQPWOf6a8M09k(O=fz;*{DR)r}q4p#UOl=l-)18;tWw z%Dj0ttfw#$084YRu7D@&``z~U`RaQgluh`SKMj82p7e#-r?Kg8B022iV1;h8A?ad+ z$riJttNt9qRmfg$TiF||!n%Up%R~7q!jo5|_vm{MF34$mmc5c~6`jJ8mB8W^V3G9Q zeNY;z(1RimOOc;BidgOakeT~C2u9a`P*v=?vQAdncm?aL zLg8zqaJdv-ooX#I8uf`?XZ+Lz^Ay26MvN21r%AcZLx^Xpoa85-N1H|Fph)-itSo>+ zM@XS(QAnB*VM*61YXMrsrQS~i1^(`ek6YLHNYz+~qH){f z3?Nhj4Oym2s7MoNs4HU_Za9M=Q@!Z<$$3xMz(2-t08|Tc>tVuIrT~A2AEOF@QL=k5 zz7~iufBd9WyP97QP+!x8ndZqvmpIcZl&igEn1bMjb&>a!eD@^qgLhrv|8|f|;Guez z03HVh!A?A|2Z8_B1|H%1;fGyiZ&!4Ln@X_r8dYX_a96&g`5p18}@8*^BWo9Rn3|^91bL18lIF z5Jd?WP#(zKKo(wr2>~St+o@RwL|yg{|G2*eQW7CSpLJ zBfkT8mhc<8S42AB}Zx(eQmCQsOK^^Ll0?`Xi}J>f`y**F9*FtUvU$~%E_-_FIHR7N5cX>Ha z{x&bC{vP#@@9DNI-?hY?Cjf|BeRUk$4a=l#)EWNSn6$PbbiSm!0Dntqwsu9TTcdji ztLyv`Zv^+IwMGkr?SZnK^mvIqgqNEJFZOL=^wik5eWJAy1sUU97$@{|vU@o0uN+ke zWMxVi5M%>DwzaV1uRbUn*XM96u?gk{+XC~Jpd17WVI`epRhcskn)gc+- z@9D`Jl1q$l4@4ip%^UdV(Goi4jPonCN3rWkh<>I-n)_YNTPDP%W$wD3h^bYBpEJfp z;b~8(cEL?12zkLBdA!%KA4DVR%iouuaMehncpW+%?w8mH2@;gk5_^y+BpxOv2*ZFL z!74nIEC0YLhOj5uS&D|z;01{~2a=SAc{o5Fqt4zjq;a$hFj#b1vFmQ<{rg zEd2OahJWSwcM|>`iGLONHxmD<)WuPE>`!H|RZAS_yIPRp9gM*B1|M-fp*ug!YsqJ6 zD)-OL+#pG1o9NC~r?LgovmN8kHc@5UKRsK2ceZ+!?aNYyqFw1ngIu^ZsBEj!vpwg| zHce%_FFo5G?rbwuw(HWfUFpj9EJa=AJS#nC$epvv&Ur+7&I8;z+3^(2FT! z%_03)<$NPOXS+M+0>FHs4u9ga21D*V55o+4?F4no_Ro>9<*!Is$^^FhpS*=R)g9OG zhY#=b!$a1G7JT5yKOKD#^SEuO1kJ&_YG-%=97DvN`N}ym!}#gG@V>A*<~$lX5uQ~x z10LGhIOZ7zc%(zQhQVq$tT;lzaF>(iGj?&yXZ&RQ{27X|H0;c5pI#{|Kl+kbu>_{O)S_} z{RKT170Ump>F1Tw9f3#+w8o^8XUc(__@KUIzrbyuxY`tFVo3O!buf=(Op^0P{90_L zADOpB&tX+nntl}qq;H~7BvS5NRI%E)N~*ZnssinFt5oq-S{2kpH$cPScnrC2>4s8q zaH)Yx;wK$@#m)O&1?vO?0CE0@^k(g80S{jL}y;S9Ol41VMygVO{dzk9eJ^e0W7>cF#c^$PkmE1DhubFn;r`6u6{_=&UuY?Z`mo(!Z?t$zQ z;9MWX|H(5X4*d1Rug9HM_ecr_K0X%Q$R3BN;(x`@+t29Qhsccg7#q|>sqq#bYH{;% zTu%0)+`GKfFTE5z8(vn2{DWNqil@eo2V5|#4AbMcS?&b=aETxMG7b}>*Qo&>J>n=X zCi%LGsor7zV@c{gd9Lxqr$biYfPPuMgN$Wz2A^s?R-;;wlUH;fckC*=joDvJ{-MEx zr4fDa3Ho|QW=s>qLJyXRk)a1YLFMg*Vunpg&4eqjxo1%3G(3D@hNcfRmJ0f(d5k6M zq11Q+4`35SH4>Kt*w~&BEalY?OzIj}l2U<=-u%)_snBi)QDefkcL-*Egy)^@#alHS z*fb`3uy9}zMSy3RjSgoBj*sUUj04_`5rPZau1r0Y8V6cPtumX>X!D|d5mVx5!#%E_ z<2CDlbT3nO?o$t?#&7W;OtI?^{txO`Ry^pp>MyhEKQN>Iwt@eB`WIOAqlKmK+@~Hu zKOWNP{~y%5 z#lQgT1&92G+TUy3$41sq_ZYub52eQKco0Uc#t}-9_@q*!3Wyl5vH*6APjZMO`okXM z!`TDyx&0o6&maq*0Tw=a8TdR{1bl+o@v*jE{J{R%YPL^;ZBO0WtR6~@S$J^E$H71& zt$(V9dApXwbRQU8xT-F?ZxDA}MoaLwZ?r%D=6UCKvliNwyvpu4TZ{01$Mm~5r~)0W zC;gXu_6cB6$==a~Rf1O4D0E>S0 z0Q&KeM*shy{tXuWR{dvN^^eb}{|nE5pMJxlpKUAcuO2`@9@6N~R{yT+FD{X0(WhI1 z0rc0}`AUDCE%ig6j#m$*#uy6`Tb~+zp}*$bkwu@H%doqo-+RDkv%+VLiO-8{bn5qK z)kCTABp!q@Ha-)H&lfC!j_T6yd)z;Apaf}ejF#HbKS!#EQe(J99rKF3RrSckw?;?hx z*jUO^mGW!OU&SmAdnV{>c+fA^BCO&NtsKUu)b%p6>Z`%D@RMKE^xD+R8U?Rvf)L1!l`86H)ATt1^pYf(yv1V0#idFIP z=@lFA7D%IKPNjw3A6EZDv;IyB6qUjY>Y>zFhzA$p#)bcb`tP*px9UH|s{iO0t~uQa2+=aV==*x_jWA@x0I*7pos zlJxyeJ(L;`;=xVdY5#@#?l$W?)v7OO)mN2K-}^iEoW2&bzPH&nr0-SrP-=AI!A;+l z|AqQynDt$1)%O#tzKI$2?bz<7&tXqx)o1*kO`lzYI-$?5xJl`c&)H1WXa7Mo_JOx?xerZ($m1a7x=SI?Q=VJ4-!dd_q% zGb!EGbEd0q11l}v^*-f{w7;PqN{yHB;HLfR@7%Ogh0A(<+92Xo^jIAJ$$a{G;b6^n zHZ%&y>2Pm9y^{$}&~s&i7|_+7!q z*5UYVRS%`cB0LCFdUE`p_HDks_C>^cSx?Bt>clf14r{WW@EAZl?uVGbD&>9%EH*fn z3&60FUkgLOS(+OyG~U}r9D7_)(BgYV;`Fj)V?OXp=ckNCW_xmGE4Q1-vVWCCRm+ih zSR||f-Uzx`!!g$**1%RS8b; zOj7tGIxe@zdC3Xi7bFWX)*}M1d*4+0$7XsP(vj_b^YxI|a&scse$v9K7}Kowml)rg z*Z_Dy)_0FV)v`<#;_?A7e#Q9L+FTX%ya~-UkLbLQ2d&3uBmWQ$DlIs)3=oIp3bSzFszqXODGLb*R zLVlQq{J}QzxK+i0JlZ!rxiyj~Xw@b!jpPT*gEtj84>L*s?%&Dx^4gZ7Udv~GXV6mD zwW-h&dEbN+Vo*2#llSwA?f0(l5Dcw8rM@ppeV6<{!ey3b9(ljZ@eX6qBeP7$x$Nr= z-+er3JW+VZ?d&@FOEk2OamyC8Im85UG+3p@J`Zr*7@ub!7Foi6<*=PtrPTL;qdw9% zuEdSc7F;&#!Veb?JKx9o-0wffrR2`<=k4o$ABWg8=l2sz-S0m-!2RBl_Wtt&-QV{c zoO&m}Xi2!&^w3cl*E8id(KMPqvxFTN7f2z>4h-V&CI6AGBWGopAw)y}>}orrEaO8) zdsMD9V)v>R$(Jh37Wp19*&+yGO0~!mw3WJu3sFRA&7t6D!=KBMuJb$hhPs6zzx5d~ zFUJU=?H$_ogKtnV&+i-!s;AA%q`FMpP^*nAzgAQmmB2r>ta~1kO)U)8rH=0u{f}u1 z*6)d=pKOiq)e)rH&*=!_(oX)2q_XG;iq>4hPe%N!{2X~==#(*wZ`fl2-qe&DS2*6v zjY)ii{PCOLc$Ro1Mw~o>s&p&4s6v+R-wZVpKN@UInwe)+8Mg@1lIu`WHaDnT2z?5e z#6Su0%%GTDCL)g=H33v+5nG`?nZk)5$NDnXjSz@dXvEm4`glYj@U2n3m8-X(t2e)T zJ72w3@y!`Cr;mrmt*MfF(>__~07JLpGf_hPS}3mhu}6s4Hkg|;&CNp7s3J5`|gA_L(seE9E{>cU*nwEPaq*ccR$&&`1EV}{Ag}jJQi8G zWsw2kJ#JYnMkc*kqWF`Y)rPnEd(^GAEQa+rWCJ7im!cN#7}|huvTu=_7O`~^P=_`l z4f_$ZZeJuF2q!d#051~@D}UDglZ1sh9_%FKu0OR4!x*`lg1FAyTc|!`*<(`8s1OjJEmCk(WYG=XRH6I&v&K% zLwc!S_97M``r~ExR8;mORMtH!9bMzpU8-rZv1^ppv$BNmKZuxcOrJ|bSoHJu=u~J{7m}Qi+Gd%6qg6|rzUwof4UYAR)6|5 z={su^P&fP2r^e6LIQx^5=7LXq?N2(`kQl4B0N;RTXIJ_Z7WFVx0Qf&gH~JI-B33ub z)_ge)h0pW<&sZw$a3nZx7vAe?Y?Viz1niz*-jeoh5O z5CF!bPBRCWKI$>rhL_I|Vffx6zrXOQ{r}b_hTM$34IuUwakrP@ce-Zp&V>ZxsD!7HCuNM`N&FAA zKOtz*GQVkr&cs}DeR%R_G>$g;6SR-gB|MLP*_(=gsq>Pep2o4a0@&lqmbL=Oo*m5Xnam*JB)u?Sxx@GV6WMa%IBbs8e1 z(_tkS^b5yB{kG!84>>RJ1Y1I$E#_Oulgn4@W5|=oS1h_p-jFAsub70HuLznCdA_q> z3;FuJ{o04G+wIrBeBFUP1*|qy!g@=R$z|bKn*p1@``cKvKqr1tkMy^Zs=r|zk^WX>_P27gzop*I{^m@O z{^m@O{^m@O{^m@O{)PnUZ{_V~e{&{Ce{&|VzZLb|-|qQf5BeJ$Z0oUhgSq16{Y%{QF%AaSi8Fwc@vyjIlG%#UOyc+d#b;cyZRg7 z9Q{qb+Wk$v+Wk$v+Wk$v+Wk$v+Wk$v+Wk#lv-CGuGpfI#UC`h5eLvOTzI=oI?RC}P z&S{eVHU&S%J*%z$_H({I^Iq@$%{&V#tHQ)UHUndAME^-3k{D5SOd&Q9Votzw7%f8@ z8xj1#Dy{wg@v_x^@eOwSCG{=v%3Ob#rho3RpU1;ZTyzA^XGgcCiMQNSJ>4^VlWcJ~ z{5={emsLrv)Zx~!3SRsb-_mLwXVn9pz???YB0Cr;I`t)ZS1}5^7-dMuMER6*Z+J6yR~11dS3QgKPu+t>qSuR zz)x`Tmk=I<=L6!yulWI5+6}X7_B(Eu^zP9gQ1kxBM7p?@IU7sjPHzYmz@_dx&KdqTh2{y^TMe`N;! z@9k3igACCBZ(wh%kO6dO^t1gjIr`^%i~d)I{!0}7jra*JK0@fn8H}KRIzMD-|Mh!9 zzuEpk-lBg+2L12uQu~7p(0{SeFGoe}jDEI1>0f8jzeebPoo5Cnia*9raB)cJUn%r| z#1C2MUzbV0tVcNJgMni)wWAV1w{IK>=pO)@Vwz3mWM;wwrkE54K8`hoab^S!{a$qAD;2!p?{82s2QAl&vo z5XN)~wmcF34JtAje2R&%tWOAo^9A8^m6kbD)5n&W{_=D_mr#j{20gbNKAMv-O$F>f zys3ylO_;9+Zjx&PoMx*%XQh5r^3faqeTaXqg}-9>+o;3D|AuzO@D%&ftQ)hI#y zOLq(Z_uuFVe++J2YpuZzRA^k*;54L%cn7S$xxOKOq3bv6fSmascLK(8Jgz*}H~y;c zTYLSkJJ!f$XQAUgqUT|YPFVM7qx$1aPHWm=SK<18N9Ol*{F8-${T_wZ12i2`9ITAXjtp2l<}FT7s(JPhy1!H;Ym4^m$@Ev5_-`}ue;azH zg2)-~5&-Bx$VlG_x+fC%sD)wB(pNQG3aD#auN(g!;m__JKAL@3;LCctANtgRzbsxF zgH8Bo2QOLxvG;5W7t6KY+rinsned-`Q}O3O3w{th+N}zteT6^V7@*s%59>3~DLTT# zbF_!HVgik8NMfIRgg|4gS?eGzUX_w7Yt`6AkC|vUhx8{NbTizewQb9{#n{f^pf};$ zbS+0c40<4Gz0vkjZcgxtqo2HxQ`^u}2&~cbp=@>SdoDCLRUIAOE4D5F8gJ7K+zr#O zX-3}BjroB^xv&);5I9s6EIJc#ctsCXt~$)XYRM83^3`9w^OrtP zRGQZ|Ud}s96TT8=f){^=aSPlL)$LK7ZHFSU8QEY1nwbjrD* zvZK5U-|^PIuZl%)&NZ(Qon{?;1U}SfbTWJWe@Q85GKRm7g`KtRvioUqxa#UKws0vP z9oD>BN{eICU_RTeo_et0?axTX61Kx9KG-|>{pVW9I-0`0?C+2leZAm-6<*^$EE|)z zz@r!)A`%avU*Lcu9Q4vE$m|Ex$!JB0g}XDR@UWhs`O2F{AHD@yqi3=*D6%0#@k;!L zF_O=(>D3ID0kW%&(Sq!1;{+lLq>q+g$;6@^g&V$MTnCQhC|t`Y5LE6O6NG-5+p3eK z_5C$Q%T?EuM2FH@TgN~3B&;7v7=dP*r^RYKp6-LOQdFKRl1cH3p!Mq_`cerZTV;H` zFSrQbm79Cezd~OvaY@m4G+wjQchMj=#%kldR~3Dy%ddmJ&Hu6J`+`qd=tG3zoKKs8 zAyI8$G)B|t<;?4yi)eN*W-(rJm8N-Dz;D&!&1DN%0%VsfL0if%c=cjeejK(U4}YCl z(p|_+`pdLd0@DXgOtHUzzB)$~4b^;;G}JgW)Dh_o zWuDiXP-sYltu|^XNr~cV(}8whL3=ak^)|Odi!@aM(SP7UXhAz0_x}ap5qLtU5nCSz zkjoKrz@6wA$Fch05)ll&@K-OACG#aWyL+8+4$gvM>a=nhEmlRGcMhD(QspIG(R$Ra)}CrLe7(TcA^+$~44{5X{b0w^GN@cmKM|0d~oI zN`J$wbb|4i>d$8@ajVwyhoAzjm+Eh&6=8=LYG1iq5#8C8GxV`(lrCvPX-_m^8wf>T zV-sH2a}%oEYuHJe_JP4KN<*XO2=EUEOezBWDd!(QJRR#noPAg?bi2?R!O3--?-j0l zj31pYv2#p&_m|+Q)s8PLO>f5pMjo`|Tn6ug!YN;pLJZ`H2p*oRwQbFZ#-GHBd&TJ4 zX~c*F%@ro&7f^`mEqv}eP4H22YlMN)5=3lb_IhOp4;QvrU4aiGBz4AN>d_2?+7EBt z*p^lN2UKK)0c{D6;6wSNr2L#0oA8z%*{U>hK%Hek-60CHY4lBBi0-%x-9agh2VSOb zg3>S*rKvIIF?p9XMgS1o3y;NL7!SoOiR0YQpg7q5ZU$y%uWK-l{-im*pSt1Ml#(CQ2sMm`a$;d_~~&|s`- zrd$^vM+E=*sfpmN=)op}uqW7JVAV9y2^W?{ZP97Q;K%Bx5$PGmIT%OS|8dz}4#UlC zeOmQ87h76FjxV{GIs zwT(DMDcvq`+9SpyWP^CMpj3KB!ZY5&NQLh+vVBM2$55F%Z)lV{S?UX|`h(~`Q^1a8 znDwkOqBjEX>JNB*;y{LHVitXFi8lOIEw*uM(l>Uc?If|z=rXMs*-F6qgxD}@4*{x# z&|@VOCk2IZQc$d|L=2O?ja&K<(RJ(*DjpfV%d%Wr&4bHN^)Qdj!|GG(sg}_cI7dz> z!nv&*8C6sLs<*jDdH^;IqTY!b3@B8Ml2?Q5+3ZFq(mP+F4PO?~|4o$`8UA^=ZF_#C z+PLO;$_A{aS8&|{bJZC~!amV-_}bSQZK6{#T55>`9M5x6jux*i>3Uie0`==M0t;6! zzq&>nzC~->k&knomR* zzSp9g2uFlJVAiRLB7-NgVtkr_L1Q_Dt15WmMHa6qgIE?D#W5jn9^{GlBhLbGDtBo5R~&%o6B} z-sT(8o{X{gH>qoVr!jg}b`@dHqpPb_jpLW+=QPbiNaMn4b%pAh=>AjY1)7q@(LRBe z6Sd^hz)hpHWE)^Kb}@lon)We}D-1Nl*IDto3;QEyOJtv9^NL0|fI5x)Fu^D5aO|z6 zlpMbi{ayQx&pHa9sDN<%0#7)8SxGoPy)+!3<;Nv1SgAA+;1JQfFh@s>17a>~9`)%2){_F5JeIQ zp}&u0>>P}%NZH5`^MNM03a3^8MTa46WP3p=%B(UTLcfma2jO}foD;{;#b9!uKr_x7 z#&T>oJq*nB7{m^d1DJ{5)WHD6KFrQtJg+26kYt^a=trDZx$`E4i+Mz_gHeIABqrT4 z1*${!sG_TBrXN;)Ih2>t@j9m|n4>RjrJe;@&1J11o9zGXaXXT%ca<^cNYD)7o@?Nf zN;;_0OnQU46NN`jWzXSJ(23$<@(gPN&xf1O4U7=P;^?%Xj$rTaah@-Yw@wyDsBSr5 z7`w_BzhyeKf=RqOsQ(galf4*viQ9!k-%kWGjW2Xxgo7B*k4$bST#bKlur?x9_y@c1 zFDWbMA3xgmG(Gep^z&=1h4T#~2gj|?({yuQqQ7kX0(^i0X@33?!i>*2i3*baL~eK9 zv8_0Uxd|od*}f=!W~+o!^`FLk>>J$DhODiuDF-)1n{6Wdy{tQ&=6uR+TpH$4CHR$g z!9;2zo*}Kcopys98n=E)IY1bJW#Ba$rVgJGGfC5;M3jA}ao$U8zs+97pZ#|M^NqZvrN7D>r z(R|4$)<)9z`$cRNZURx|u}LJ*UHAfvg<~g`LBL*vog7Q%dYf0`0kt&YlR#J3>#7PK zDQiJ8abj#@k`L4PAhoJ894TQK!uCq~ek8RvmgC;%r=ehL5TQ_1MysI+PDMF$88`Em zEGFzO#Hm79Ly0jBY}f@zGCb(Kz=Y2Y_$M6jic^dH-HfE}eU`w!OD26!FGPnh6PaTg zU$!C#e>w97oOkh>i$`2a0Zq~T*_5EScKH;1-@ttOc)zh9+XtxEQLr@&557QFc?diW z1l}854#FuCXke-$Xc0<&Igo&;pNxBR6uFv?5Zt7%1aEu_yjKNvnt+(3k?7&mCyLQ zloYgZPdeUA5Vr6tL|R#MYoix>Pn8J%gSM9gkg2$dx8iPq(>5 z%908|>scytSMHHi?5(i>QPQ{dNmnPxZ2nE8YA0=Oj=%a@gQ~xk2LCHaRSkYz4-FYW z4o5>?1vJo*aCM@YyBFHccD_O{dmEf2FxUpis%$;9K^eZ9ZLmKcZCQ8q_#jM3gw9ie zLQ}IN$$|M7J1k1ti!jXX^KNO?RDKpeV-WW8#i#o5uRPFlM4)AAZhUG%e5xltwWvL~ z7hW*Go9X9*l;wI|i(9y;R-b#0YV|U|Nnb}zg!(l;eNcN%30649%T6@cZZq8dfC8xJ zGS~^+{)WA06nXpxQe_r^r_`AWdrSSk?29p7u4txkyz_s zDc|n~@%V-&RC~5nJQDLCPBY20Qw4d2<4)>l)%rb$4=sf&};-&iXTG*aXeQrRj(#L3{Kpcu40np^6;TaKh2#uHGiVCu5xXS1qm#j4uuw5mP< z4(u&;>@C1U#v91N-xn6=!#K+Vbl_e>Q}w|ufSztFI&LA!$ctT^;&~m5VM7{mtY1r<1~18*#xQK&59t>S3dJuj zf#DS&J1CO44D0k)!WNuT1(!q-&ez7k(9tw&uN+)Cht;LAe ze)Icbe8~*%Y>cN+)ro<)!7^S@){Pi|O_+{jj`+H+^EDKVv<2!C_UWn?Tu`IoY}=&AbU{iqyXmZE zlP1j8bT>ZTQ`3DS&ecwwul_e_y0t~lrbDYlsy~e4&NtVVb?BUO*$?4r&tJTi-kzfY zb6z*XLEMd*QYiWbk+AZ(!bh5#JMma_S|M&@%GAZF(H!TE>+go+XO%$tsw*;6ORaK# ziRp+b<$Si5hqluJ!z(Hc$X`3W|Ie~NEvDLRdQK)xIo{fJp_bE2ybGJ<2F8|g6+xL# z#%_q@dz(wq*mU&28cvLV!Z_+#nXq%oaG%d{2kydmnp-jZ`T!fkwZzR*iSaGA(qdB2 zJTENY&ZQFg8GOH+2wTR=au=3mgL2_!s#pzHw&)umqAXouv^|5$uud>76u-8B=&ix0 zmT`O0cXJd*Fs%a{`H`89I(Tbt7IHXgM%O=^E}_-N4j>8ZqjJ}B`!TUW?!srGicB0X z`iJSEAX>&Lm)WF+@PUZr$0tQh7dlQH!R^IwVL(-_8A&KJ!@OD}U~lb9?Jj}8Rh?&m z=!H@QQaH~uNNZUxfzv}V87+#7gZdqn`X38+Da5>dP49{fV)8ycW^}D7d&(>!6P}sd)o! zG(Vquf_-c=oFgF^O&szRz)lR-!Ne7LX!_xBM*Zzv9<;Cu<5U=WI#K+4d4E>k$9h6K z?KBpyd?!44Iehl;svi+fjBJZY5eaWV?s5BCR7p%A(6qTDM^ zzK=)MbT&5m@n1lLDEEt!xHl+q%b`DHGS*5YO}AOfnzL1i_`~Qw7w2ujnsYSN@}O88 z7r!>F=Y(l$l2i_Nkr?Cd-~gayFLIdP9=iE}T(Od&Iw7qP5HX+Y2^EZ>as3 z`6tNjHvdlANh7E84=vlkmX%{#;Bk|w0R9BnYM~Z5r9*6|;F3|+fySCFfCEs$8NFkM+Np^dC3gtQA9f2}^Fy>7OSJefYwNqz6hX*DlE^VUWeaf0F6Ugl8DD0e^bZ zx8;7QPgI=~fmv1^CT>6i1RAujw~{ z;M4ua097o6eQGFooho+=%gsrZBZU{DoJPBDm2n0h=OHP~B)z@+1Yo8=4GI*FbhBJc;$M>WKv>~_JR4MCbmZZLK|~tPjt5IWI@~ zAEN8A%NbMbccLS+I-IZ~V0otypas0_z!MA4gn0hn_JIJFfMQDZy_keoF%_SjbeoPm<=WulBZ)0c)cK&vIGa5W zPO8R>O=I#E;~1Em?0CqAyRlG9RMu3h*wz*H`Axk4Oos8bIJ47z1KQ>X(GArNXzQpe zx&a70fe*amRx&9bq3+j?ByHF=hTJ-5S9DdD|9H z{#PixY5F$(Rr0vpxDw~N00oBKfxvRDdR=tfs9FB#ks-atk9Iy4I}UFGHKwx*lfLhN zE0b7jF=PZ@h2{&P2&idIFpWzz(5mG`Pf(j1(8MFe9<}H#H@=*gPH&08kQ?sMz6>09 z+YTJCviZZIvap*9@#5UJUNfGW*w-vS#R>cb7w7loSb+1Z@j>SY7~j(uu=;VH%Fo1q z2%T1?zlU=#gg(YXocSAiUa8OYux31k4jAw*l-*fyk%|h!vYGOSJ5-TFpXw0r^;!of zL#VNzR=q8AaxS|+FmJULT86*;ZL#CZ2?I?Ax7U*#j3ATteR_p*pI#65=%w?^+#T%S z>3w;(|DgNwMss?zll$_nw(iR-VP=lbgL}F!@896MfJ3hA_vOvM58OzO^QYaHcR!NN z`|_q|zc24<>%P33R2`+nj(2QI6GuukMXimE?j5D#gIvmj8bjZ*~ZR`0_6mcAP9 z;M3yQ0^C^wZZCw4i8IqUUu0w)V8Q!%0fr?g#qLGQ0U3L-!X4LY_oo0l6UIIC0kk!h zG5(bEj|^Z{9P+U46VI<~WdKwha51F93F^T=zjxu7@|K!%E%tw&oaluTA$6uFLN=~4 zR-*kQltN@xBLYW?ee8HL86=R@_%)WEOTu~$$Vq)?Nf?jvJ;EAs;tFdt+o%pIEa242 zTKtR>ryY(3Am{5#SS)hA!z#N6rz>eR$uN}1X*Odhj|WTiF{Nk_eazr^aIikc9}oKV zF=g=}mcPb;FTrwsOhp_kPib3-_7n>6=_mM{;R#V5;<=TrKmfI~YE&zz6>f)?%~HFq zzf8@%tbsMGBkd$8}W2Se*gn8!e)=BllDb#J0DKc!e5X`^c3hM zsFhX52MCl2^!0>}Z_wWst`Q6Xh zmU+FTyp1*BrvJlawZCk_>)ID~2A16+XXbXNiv@wzh6V&wRMaq10|7x~nS?~a1%;5h zP9yZd_9Ww>mWQd=YB5Rm^Uq-ZL6`0{Vgky5{CJxmf+dXuL}9@F9<9s$40qWn4?jwp zVX6Iw(nc3{r}3+SDkkm~p!Mn_lb12b2=Q^3J7bRciugD(hw*XEFG?}xw`?#rOp^dO>={tZsRJw2 z(^zm#69iPqB$X0&G z44_4R#WD*hqI@{lWgg5AG-R2I>Dqzyg4F8M29z*HLJk0w&kguzhHrg?a^$^CXE6N` z;CQbBR!k4z7o`RhNhZPCoorE}4K_eCY682DO8PFn)x=fPRop<* z_j4r4Se^7;h(|@lC=ij#5<)r5N_3YB&6ic5WEpr!AF56jqcr4 zl@l!%Ao0RhVQ z_njajpC{n0#G)=)!nnK(cS8KdwwMJKu{kUF%?W>V-GeV5&z^O#1G+*%oQ3i0`Ht z-E2N$`Fsu@O?mkX+E+>WStO(I6?jk7LhneGy~tw(pRQRPsXFv)Lo+&9+fIxomQIfX6cGl>BH>Oh35YB zo6BU(gzsX#NQOD8&cTVXP$4{*$@WP)Z4&Wc$Xbi-zo@4Rw}HV{(_})k9)9JigAoiD zp9+#3qwj_V)cUK9m3KfC5x~aCBItv1Th< z+Lm-f*y}9jeA=>K?GyE@c$7Ze50?YjGgW9C3$?_*B3& zT};cN%*1^la8J$ta!84kRY+FQs&P&)l)oo6=_9&!Jlq0R#}YyBPr?+87F5x=>JX!_ z7$OHU-YSnCM$U)C%GOXzg{ziS3z_y;qOgX*VPl7+z)-nm94*>^YNs{}FI>eAff1ITZ8jfz%v|4CrCMO%Qs|F&{tqPFH)qNB(5L zZ)T#Ly4@R{sTMo!*K9FiWA^!aqHwAO&YIsjk7`NH*Ho%77aPR7e*LRl`;eAYb6`E3 z^t<=Z;GhAdhT?&OO#4^z=cLkA`BX-}>bkpt-dSiqh?^vpI8F6R zYa@b5W43rtE?FcB4>?(SklOyf>~^vplX_FY2WSbc#5g^IeYJVq5kJX;T{;v59;j_IRW75T|BoB;jIR&_hae};I(zF6V^(&Ne)=_vTKHlS9^mhKb zw(m;je8lQ-{xgPYCBc5+SnjT0(Z_Jx;!%RbM>41j4lUOy98%|EMTi5k4n)@YkaD6z zs2t*F+SjYsJR@}if^3@I8#?#llZ@xT0TB#i(h!) zsg|1$dA^vz9B_{fU#h)oX!%REHsc^|YU{PkIJ{Gf7oUTY%E^ua_6ZDzmeq)fyqJty z;taLL0&!IM%ynvD*#|ZNWsK7%jFgXqU5sfGieoQMgAPV}!)H;r{g2orP*4U!a@vE~ zrUk9LxahP6-lozqI7kN~xOks^n$IZQIQ~ZZV~A?(#ZtB$rm?R!_e%+p3GdM?@S4X* z?>~9X8}JMgUiIjo4f^;3e*05@bUNXCk(|5 zZU$b75PTs6;zLeA_O5<}mAJWV1CouOVFUyTYe<6MxNGzGc%$euj}8$Z@%`^1p@{Cq z@|v2p85>~*&x;_eizH!>9`Jzvd&HhYN?DBcn4d8^EPo?lMyPR-eHF5!0<4VF8bI(r z3U?;YL>g>VRq1Nuj1!0xX6Gh^whwm>p>!S1+9+8=-cYa%CYcs_wUic!?v1F1M(*0+dY(n%_iSKI} z*iW8vHe%dDp7L!T-{=pTrx(T@;1dMjk_rB20-nOH;BuFVf}+7u4W<%2;*P+c->F4J z@bzRRuv0f=@HJ6f#5G0nsi1+dbg3Gsl#U7F#{X=r*I|dZ8N!sY=`S0<7B{8%^&Fm! zMRdJlO6cI%e54D%p2d_aMHlAJ&YCYqG*F6PYxt%Yp6>vE5y4N)1b>`>Pr>bhUlgYd zevSGd3%}mQ>fNsL>p{-GRmfK+znrJ>m{SmifxccZy#9t1=WfKaaot}{&Mk$ctrbc8 zG1AGo(R2P}ac;Fp8YkauvjzAy$`A)6oD;dblW%(APzU%!3H~4pd`ghNyPn_`=LnZ) z+LAWm0bH|LYG zCc2h>2|8n+^p9Q?`(#9PMC{xA=mFu_i8{)1>yqGnBOgI5iph(*OcGff3KG2;X~Q57`*#y;%p zS|JjCsCXbKDt*0op+`%$VqL9i+lA4+z0YsMBDfgA=F}74lfF%;I@bDbZ0m{MUj?A1 zF`}Qn5<}hH2q4S5`vjbse)Emf@T29gOn#^(^IxC^mIjE)w79b*(;t{6nc-PklKt6} z;VjIS`@pQpn288szr0>}dNyY!$yV<|4a0Z7(SmURVVT~A{dk1JPbH7H`EfwPiFt6< zc^96FeES&n%$FR{wy9viR*;v0EA}wtd!Mh$@`=E6v5+$Tq>9wO+e zOZ#{i=EXMkMfzZ*mwKP?)DmNI(TYGUQLr62DaLTZwy}*J@wM$IY~hQ?t7vS_c8;bObz*KCIYLotzs(rg*-Z@4*z*$6hWX|s3V5vj@$?Eb@_AO6MfeytWJivHL%C9mmVSO@(b9OK* zzZ?vDu+n!u=|7>0mM7+c77Vj|a;aEnm7g`8c(Hg{Z~cmE! zDX(n|Lv2kN({E6XrlX3c6GwRGK7e9aP=^_FDrD5#d>7sc?pA`ss8OY0K8Z0bq^}E{ zxb@m#@Wk(?ALkt!LKoc8fs22nF7^jh0=>jaP%WyHs*wBYx1_#qgg>I%?h~*RZk3dW z-d#39ZxaA!$>5G&0;0~eqffrDX($`P@LNzt{(c$0$d%{=6*)sUPZKYiI=1- z%13Z}UaTv3%9b@#;x#*F;`35>D_E_8{UadIpB`l4=czri>vRIdt3T&@aLeK5*(tSp z&NDepkQPe(cz7sr<|#;C?rrXi9(#CSqhUX6fJ zsdG+O8S*P{cdV;_bY`q;MDzq4=83H#bFm;&jj{;3m=df8tw*t~xvsWa8k-6|z$@{> z)$1{M;DBY8;AF$Q5DLgOGL7ZP3xJ7bCaS=m$5jCiRlJ4OB=^UxPt<* zbQ*;!@c+!c34B!5`97X)AV6e-IyRt4&{%_U37~B-A~Pg`J1~(TE>T2cu^6q|C^H&d zAef+xmr>lRwbrUt>sG7PTF};pMFJwL8%R}Z7jKLxYDK_he$Vrsd+*%YlEK3F|L5}| zbC+|^Iq!Sk^KR!o?=fl-4CDUuxSSp$+Wzzyi-&h4zxV#45JUIYI(aw|6K7(^Z2C)-KyrMF~+}3SA`x>pr$Y0st zXL9!xm4s5LTYYM0>);yo(H0fyjvy{t8)xBgmj)e75wPXicQ< zIDh4P?$(VUXrKG#rIs2po3lXlk8-c*A4T{P{bOb|6Ak~91j%_%>@wuFlV4zGOsMzb z^U-iu9yra?nW>AO#>%4|En~2gdT~ioX;jL}rPd=^R9~lU+zl_{0Dt9rcjSkB6q$(l z+_%vWbmt)B5JU>MR7}HqnR|Yzs$9X39v*?pke1eyV}h&dT>~tDHRP3yX8Z28jkq5@ z43~3;jxOVqTmHr;V|dy2JTD0qLI-e(z$YBpR+57~mbGOo{2O=G=C(&YgJxl8xBG=5 zzLu}Y04#pl)qi9=Zp$#4UF2ATFMwwDE!r{D7tUh{%FK2;Hh@KrN7o3>)jGgtJdGXb z^V^$$%qdl;z5+_6nuupOK4N(ytNLa)31>cY7RnUCA$!C4Q zqxH1u)0PXxbs-m1R`}H1tb#GQS!410JpP}L|Bv84%f)}$*)CU(Tsi*WS=xWOE?1s| z>SLFrR0vnBS`*%4Nv>90tN%kJz&mgOQ3B*)M|i!E(M%uwx&8gE?KuH`wedHsYIarP zO1WC}PUH7@f(aZNN_FBe(qeQ?Kwm3sG3znUjfJW}@O=?k{_rVS&SAK&EzHO?9T}9o zQ>06!jC7NPJX@4Axx<%-k8pKZuWI@+`ezd(r(kdCb|vQpI9c1BIjSZrR27KS073*TVYz<2@irJM+0p^N zvTuB4E268ymEo#9W=<=XwGcEzaJ)hmGMwj4MYcHrjDT9!5YRt0Zda9rUZR`pSwYRZ zTB1f3c*VHFY~}r~dr)iFW6H}}>O)=e<0bjd%E{$1Km)jXkKAYCg~b`SzOtq88kbg6 zu2)C=P!rY|e+CswM@6ED1hnd z)Erff8R^q^&87<6gFfA>8HHl{GzR5Jt78zr>x>UTsYp(MzAbeJR)r_#Q>pHYg+J+9 zI^8;>{(Z@&d+OiJtK<5oHVGHoT{Omium0KNU99P&s28eXITi;8SXHkwJ_IGkh6R)p zJ{!>6Hk3(9lPHBX;t~fqp^B4cl8F0 zsDoJmU*Hm^5f$eav8HF-dG7hfVnJX(nRuN~;>Ip#UC8>JxghQ`PVIzyFm4Tv?qH{b zOzvaLGiv-~t%ITwLRJ)|OCb?~xJDl~2l4RrEzH74p=koiE^3LQ=;v8^--DsUNl zJ_HVVi0+amcq#Rf+&zxvGx*|MMk$(ruQhzC@$e@s1-R~zQb;pOh9t!X8ree9*P3$_ z@Yo^6yo8g$F?nss)P=+JQ%gW%Y+wlpt4tIcpJOCME2)Moi+HljNT=(Jqi#_7eh;DF zbOSJK9E7D`YoN?Wi%#cQ-C07at{SYMW%)$(<=d;Z55RK#hU+q6}nBNt1db4#`lMiAl(8UQy+;ZHdO3Z-(~ zV1ZeE$v1KFUkFaR77f$TxE@Ww4ZIm0Vqg}$!db}K!zx-|$%(FUFE|EIA~o4Qh~H|x z9kHh%uSVliba4^0AMoaVSq|TUMJs6gYf}o4lT_FqHh5{Fm|ACvOI=; zSG?!?51ewtRZUj{psxOQQ%AC5)oMN7w031T9}93h3rH5yv=5KX5G2rE#<*4J(Pr<>Vc(#Znw`rJ~xbs$!M3Yy} z6g{Vw55i9%hU(aJuW`|M^xS4DUgN__3RPadt}|8%G~lIcgkI63_l1kV^HPJr0M<~0 z&fL7bE7#;CDMd$Y{+m;Oi%2OSgXfa&Pd;OPTU4CZK81~mP$?eI8RvX%_S z7P5{xoEl!JaA3oQs;{%h5n8%IBcAY4n7;zSKPPa=WKFrwI7^Dexa?c72uV98zJ7moRyp|LJNjgWuqSQsZ*hT*nBchOWTsH-Q0%mP<6Y@_f8$O0U1!X{oRF+Bu9shy z#-UvJr_7Nw*p8jw8y}Jez5_{u=y}~W67B07qF9n0hATJ(=5HmVn2>KorKMe*@^!@B zT!l7+k8#`2KG_0UudpGHr&?eapD88Y_y_oZwO_B1Zl)F4%HNpckF222wIl9vldU&ns;W)F0eaw?L z=vt2N-H%l7#z^lzyEwUb(__7RN8}wO*=i{l-@6}My+af1+ncvpeOr1N$18@t*{W|( z@G-ELzHj$#6U@DIBr$iUw7FR@(qAED1)-Cj_g<@KCs+^+5iu)x`?VCCC0VA}vnuq= zudm=9hZPQG0xD$OxogyyT8|ry!Vp_>)-p66Q0Cw-79pg9CO0V<>ambt%y@;xTicqKA zU(E85i}g{@)@h;}9A)1A7q^%lc-MgSOTLSS4u&J9(D$e8F8IaeoAr23j(CbzdD5&~ z6vI5}N?ai6xqd2L!-@c(m4S8}kIV0RIn(lTNvMp9BqK@%|k zI3_mOydR-ayPSqe*w47}bH`9ZsY)EmCQ&aIotJ7Tn~dh6YA8c>#5(;-92=@k91$p} zd;|rRBM3y6M?Eu96OaqVMQ*QFw*#Ole5H_$GC#pX&h1JFrCt$JI=OI>Fx#syA#&Zu zDe}AC`1m3<+y8}MIX+Y6xbVi~)lva8eTA8KQ5@J#{33EI+*qWAo9NH0)sgA{b2aq@ z(+3jMFZ1XL!8G&^@gsdBexyKTc2saLx@42_qf_H?{{r*{YZ~?aEUGL7&ZT3hT%;WU z_f)5ThmuXkH%N2BX%re;4Q8lvg(JbRVEauIy}Qnh!*(Ck0_4YI`@bk-Vf!B4#P;2| zNWk`6jSAcAXQsmTRrm$Anb+Nm1Oyd+{b%@{r6CD{odh%9vQ{IIfd2;BVx{Oi z0slJ!`W_}T2}_6Z_UGp;BG(!C?L`=L1Df!BEE6z3>-jdF02 zT5|@pA+wpy%qq+oFc1sJrcvyb8F0%vG636cqqtMmeAVF`g>S*k-Z!a#kxBifBBQwN zs9eSb&PuF^Mm?9JCYni4p`5NF!IpOBM3+h`x#ZMfzr2uW-o<&(i7vm0+h>j zENrAgU;+PNTO-z@`3I@gsY+v**)6h9F}7(J3NLkTwrWH^bu>jPdI#@eUGp1Q*FOEd zQTK^0>AsQlh2=y0d?GQoVTURK-(Y-mD8Ni#^C+Q@q2ZrqxNaf8tp<1ItqV2nZI(dCt9 zUvFp-j|ngv_54)T7?ZwuHW|+-eIXD|`VyhyG*?dgFD}TU4ktB2`oh07QfRE7QD)NG z)(bdy))}wk7xLDTM$qI^|Fn|yreILEJ{F5ARh}J0-%^`MA~r5?8jpgfD`GP#OX18o z9%COniA>DJ{%8m;b$h1nAyU|15GM}gNa*1*#^)WmF&%m zjIf1|=2ji4%pxqfd$|7MpgZuuODTyi1qI?yqMPT=GZf{?Hotd4ZfwYX<97V5hL?i% z`moAX4GM0+=NI~-?IbgD*~X=_;eJ>66Hvg4?zL#I+|Cz%kx8!t>P9BLxFh!#hktiT-??R z?>#9-lhh~%L07Q|@^V8FBvx>h56q-V+vCVHE$CGZoi2iL=MpqRj#LfDJu92`0}96P zvO|@k{HaQ!o;fHE6{JYhttUbC?HfX_ce15EdUg02k34aFGZ9 z5uCk0j=3mKZXn|N8zbZwD^SyT5Bm?lDBKJGbJSTz&Gc6%VA9e@e2(Z;#P@SQjlPU_ z)_{qTgS~)OTlzs>)H4fq4Qh#xPUU_C1hG0cY{~bnOY>F0s!{u+Y@!EYp~;_02Z&&` zo*3KD0E-v%6S6}5-k%wbKQLfjP^^YStG-7ic<#=+@m z%nY7`LGSdtS?ifwWE0hQ_ynO3`Nl~e&fqn`O7YarQry`3Ie-^@6sv$Lo~K=E{0CaE z7Qvz#zukfxw2L+)XQL+*3#%7QEj4B!9JD9r9~z0BFZ2K?mpEsXCgupv7b?wi&z}T# zE=lMIa0+Zj8Dq)Z95Mv+Zfi?U795*x9u(9fcb05pHP*}1TBWF!h1dLKu{`Bwj)hX( zxEcx3-7jFv=|YwA_e0#b!D;?HW)n^va>{S2qu^lm_P2+&u?c9gpiJX(+%RGW3dMy~ zC@xGoM<{?(!6U9Y2b0yA&dVhy5cjZs(IL*+K0tpQc^P1PG4kT^hckzRM}!|PX#ueO zU10t$!tY9zB@I~y;C;fFUfF7kjgehS?$;|F)?kD0Dgh9g|7aO-9gwC5R0OxySDq=n7F;vW?3pfr) zMz`2_32JB830PraEHb*mrj17hS~cLs#yuz^h>~|t48YjJol;C3@ClpKjORd$R6)y; zlMZ})ZlM-w$~PBFyvCcTkSj1O&yOqEJ~-20&I;1jI^%TI%LNS5h*sHL6s$L$9?S~p z^1{tBo?rY7D_mUkx(CIu=ruv{G;_zzC&x=qtvq|{jkUnG8waDIY1V6Ohdv@BT5NoP zOE1J{>xxFlVu#}A8<~F%>lpy%^g?a%lTXOMV|ZTUS08Ch_rQC~J$z%dTnzZZrz?&> z4+aowi|6>l&%D~=Gu1yAvuagt;K7KVU)I9U$e~iaKk6xlEDJ;i55USQUJt77tzHK( zEs71_+1v^VF>|sO$vd6j)oV+)$7Ck6b(=9P(@` z_8h2Ri+SQ#_&Ia;h{N5aBYS_G-y#7I1_yZKn&<{2A2(ox^%!y0L*o~2jvYgh%bmeA ztRMUsr#Z(nX=r(v+Yci61EF2zJfRV8M=Sgx{un&T|7%-xW;e}!HF6B*r6rA)mupKv ze>G6H+W)dOUVeS0|Eo?7!G<`GQqx~iLuTMEhmlFQY!v`z=R576#e@oylEDM(jlY7R zAdlOAgNJIE)T_v(a^pdH7YxQfZ!p5@xj*jttwMg6t5-$Zyyfj|dtQh9MAxD_bKAO3 zW0+mOq4g&NYULM_vr;`BAy2CsIw@q-qao^1dFLzkqXItCmv=tLCy0J3TLUC$Ktepx zwtkcyoUZZj@mlMi?9eqF+iHE8KxcGd4Ik>4TeY&}0I9NTh716iz|AISrqHz z7}tcNSHu;aVHQ0=HF5@Sk<(Mhujvu)Cb3bTY&0ao*?Qj`2go8x(ns#Xu&I z7VI~ncdo=I>cbE>dk{|&K(KwFEXSTm$N0Yjy~Is;glRP*8B=%|4pYj<|3We}^cS1H z`wMe|Vub@U#({wNJW}A*mi{BppSwy6p9Ys9Y$aMOjmT_?5?DpRNU74Iel>B5#&TOE z#W##{oG8wXhB{^XhybCAHS`48F-t`J?5t)Lq=my~uPJA;YwNQV@;2CzJ z-~FZ^DFgO9es?a<#?}JdEIgfBwP<(cfF)s7RpxWbRD(z(d>-^pu-I6i!EE7F<kz@k_m-O6(bBpf;fuNC-{sqtN8-W5{YGx~@O7_Sogb)ZimO=&8+uIpKo zYuQNz)9AN#)UUx$A*qnE0`jiMep>ke(cN)u4w3h-rPNr;f^bJ?Dn0G{?@TP=^DV%R(BJR3-_o)!`S#ZZ(`esky5VWCdn)BEplo9m1AlTIG(bPEw2jvTEHpEY?LPE=K;R zi6X;lFTjr=IpUc-S_v|&7S)Li+Zn8Z47NE6pK453?~xKi44=SXN**BbLnamDR}_tQ{^}imCfF}1G3GPyo$KmqO~~K+ZFUG$ zWQI#{kqSrGP(wbpFGj{6ISUFWOozkGJ2f(l7eJKvI7LYum;0pQt{+W`TzXlSf9b#T z0=Y&~H*it{jcHW=8}!1jziWMmbWf|;-_Y=+Zy0i|iws&Qz#1zU*y8{I{@8z@I}Gsk z1JCHLiO}2*W`rxGSKt}c4N_@xvQg0=ZSH5U6g3dPjY;Q8pQ_d`lwKD@FBD=X6X(=h zXB;CvTW)f0)FKSba+R6qNh22f11^s6JZb2>7@7%SokGuW%K5<-uLE_dqogY!w8YIB z6Xe*z6DY%ALY!ln0Cf6ixiwr zWD$o{xKbcusG09ip`&I;GCI_G+gKt3XFRO!KZ<%jtFjxFU``y_iVX>p4FMj>YY)~*jRIzp@&OE5s#UbKD#CPFgOW%ehyns zrK_=_RP|$7dN=lakG0W(`k^}`QIV=2G8bqmjZBPcGq%iM-R#t--7g#rCn}<6bwAg63u5Ml1NTjag!_jx%5`Wn@9*itxoK{|f^c$(#}h;MtHv5l@D-L1{= z=OMT(cfHoSgo+G~%V=Gb9X_XE{y9a#-ciqReDs>TR6Hzakdv*S>7H9n4QUA8fXga9 zP`Yy|ttC_DVpk9L5&a_;bgj&N$7UakK2*HqjCX`CUiDgN09jPpWFfidnomJv8Syp)vFCTWX0~@O+8c?OYdAFoQC!Cf1E-Vn1!jR2*vnSRCDKr^ zRxhTSrSitpTkFRaGUpV|F?6NEh$_q;kpGx-61l_ZB7tGs8>fbnS5dW{q2-O$s|OZr zG+MW*r}6bO7GLIbdE9gBM)(EB2n0TFi~p)?c!$(8Z z-i*3Z$T15HNBa++lF-fX+M zpQmna$V=Szr|f|ke8+s>_WIcEMMtFsFLiq_LJoZ|_XO+Z(bs z?Y#r>ks*9vxCbC5bc{g%Twy`@dt9X;n87lF?jc?WeO{7zd$tn-+zInRvzt3Lb#sTm z)H``T?16~L5Wa-=HviZHZyl~ufS0npn=^0k^8|ceWH&f1b%TRV0G;?8lzDq`{Ad1ywvU83)z$*KI7=) z8Z@HRl&I%&`#K$coXA#^&4zB|^B}uU$bb7fUHxxBIP_S50zE7qX(8-sT%|x*O8I;V zTl!w|S+m`>x>@ zfS=$h1%N5}`xB5o8R9dcy#;oAbM~UWlQVBGQ9l2`Zt#HA4W^RMuR`=>2w$T7`dzt& z&|l*!1wvEFuX8hRk4_pa0U$|=a1#FvLoLQeJ;$--t>GfB)+=|5>7aqtilxBz;B`s% z%_U;m6hLyc;!vT{k|Tr*6;Y3uJ(^LU4?jn#Jopg&7llFxwp>>kJs{K%xszrrUzt~t z)s??8uM)dO7Yvbde>2M=5+2no!CiDY&m6Zr9^zbv{fmTL-d@c17O zkT(W8_Q$?*`7SQW_Fy_NZWrB3JowFNpQggUGFE?oJn+9WTt0vMoU0tJA>}c=FbVgk z3+@+zD+3S@P>*Yb)DI zk2sFmhn9W4=eQVZEf=Tq`veEGb`BcrhYA1HMx0saCsJJ|zLHj~Q~6JJM?H6?Xv7@9 zVOAsGv5~jf2-3#LsQd;X{t+~Q22a7Il1njND}TwXA!1tGcVr^j8U&GV9ZOsPAjYyo|;}#jj%hBTa<`vXY1qezv(l^-m<|-_kEk}m?&#@>T904v3`HwHOvhPrmD`K{!gml4* z7-Ec+b9%h==!@!#BZGcTugR~jXebE&_$zNdmV~1`v+O@dBUfD*{czTR+$x^mcj9a# zS%nqK{SM*|edwIY2q(%{Q-(4I01L*JEOjiY#Mb*N99%ngY5Gqip7Cf2GsxH~i$DyO zOk6sYj~xEi;plX#fq=LK7szE-CFC-$9{Ij5w&J0LQmC)S6=MS~0@cMpb@o5v`g%Kysq=lT zgKEZtGLUK(qvzVuirl+VPwfw5Fs8&wGJOuhC${rF>X`y|X&ZlB#c;PR^jugHRz0GT@sf$Dt$wEr?9qZD5ypTEtK}FJZm)wL4ITXexdYC~J>;21xa}*4r^! znKNk4(k&A-O36neyI@tUE<%u61YFlKn#oj{{=yVapOo0>l~D)xzz8;?e~YZKHSPsL zLi3bG0R%F7wNcFOMK~EpaH;5+B1>-!^&tTGX=$tooE_@ahG~ z0{?O?T&C?fGXAWZwrHr=_je49b=~zf#pB41>D?xUWbKACtPFF+a*Y`lJpYg3fm!kz!lw>o+OJ>+kX7g+$cRR|1vmu3ONyDR_i7!-|0xOd)EGgQoVQozFo-D%Nq? zU0boz|2F==n>b?1)HG)UMhdgR4tUsBY;yzV<%|N<__5;dOnH)KtX9i=wVvo4t7rLK z7z`!T#hAyjpogw^6UQnR_23+=N_>@iu!fN@h~b7)V{7$SK7ZODIpsuRUw`|wNMIPk z;?6->%WtrZzR;2%;G)=-2X*w*Twn{jwrlh8>-^y z`WCnFt-jW{k0}v z@Vcs=qGKB?jEpPPMvW60{3ESwoxW6A{V`Sc?V})Q=B*$W5)XY$FAoR#lI7uhgKbPn zPnB4!M;~MI@aFF2;l!etJp2JZbjZVUcC!b0DD;rzZ`r{;Dh$#ZZFg{G4N+}LE~Un~ z+sK}ncx=XmJpGL9f{h>TTn0(Q5KJrHoRZWH$;X*E1hqlgLJTS%=HMYSJI<&=lvR<6 zvI=g%krEVJDgx%Q?2s1&-u50#!cBvqWn?#E+T0ntTCO_*F0vm;Reu1>r{4^uhKe7@ z-OB0{qFKno-J`z-^o&5Pc^|h6Ag<6Do1&F%^btbUqn;lfM(SN_EhGGVXdVP?0KuLr zR7G5W?zW&qbXaj??)m4-Q;=RC^ov*+h{_Ze@bDW{#dLTx#sUlFF)Z{_SQu_ji-D*_ zceTDt%7?|p8L|UC4rjan?7D(aF-3Oa!OXBPZ^Tya>*LYy3|%c2O-fA_GNq{up`Niy zi(FSw-ZEFA5dF9m{V-98yP512lrMzVQthhj2$q`TiSvBAg7EhvE&iuG z#i8=;(EHR*E8lkCwY+U>FmL7fsL3kPUP-x{kmcfnsxCk>v$avr)D#GCx1B5oPp!;N z!bLJBz~H2qn>9tjJWanWODkI&o18LU&;n0BLMCTBN`t*lOE3u1&(CW{C(qAQ2c?>y ziy^mS^YcXn(RZV-KB=~dioSX^K6K2_MME>0pJu2=ox~r57f24(CdK{vtQ3F(KiEOm z4pj`wYAFu3$T#=hmg5*Tj8Z9|H^}tGmKhFesc|4S=q#e)RLU0Uk%|F1nkGHMGSC(Sxn#Pi*D4cvnbCKxmM@YyG{OL#g`&P_)4;G{WF;0;cRu3 z>sv#J2MPK-G+dv*(p!*?1c`+LSH$&`f0Mj>V-KY(SYf@!3V3$?_WQyyaJzZMlpr>qL9^7ZC?<3#pQuoO99)4#Cf&>Y{( zaK11a;79B!bO7?bczWk2;}XKPljC7`SaN*R4{Tb4)cm=F*P>yJolKW~U+~xkt&9f@F`#P3C#r?uT*dn4u{n1qma9GZA--1Gvy3!CVIgn$ScZujq z7(cOPuw($ya0L1*QNZwdn4xFJ;Z|2x`YU(LIu!c{+%Ftrx8ENpr%3xdlG{%;9!fuX z@esO1utx(Un^Mbp9FxNOJcWLAO;6un-2L|_%l9fuGG+V2y8nKUhyCy7d*oE^uKzFg z^!?-Ae}7F+-(TGQ_b2!C{jl!8-*YhG&rd&ootU}ZjNgkregAm(-(S)U}s$f%E@08J%4mitiJbf-7Rge#hxk~H>vBp*HPasQeQW;c74zFO>oqA zYEShY*K>V)4vfM339h@H3-2ac9Le~5!cpJ-J=GWKxxRCy4(M0u_>13JM{<7#_4Iw8 z?!SL$Ko2yq+G)ilw|{R>--o;Z{#^OK8=CQV|NWqzzVFlh_wN+;z#mpa;kV~N3ix~a zKHUBH=i+;7exD|Fb%Tr1&bm_1$G(pGzH|2g+jeN|ap<;|*rQ0|HO! z)3^;6MLmz2zxT^;oT`BRj6%xs>Oxc0b7gW_q|#4tONTtwkt#W`s$9JK^CA57depU? z%0A73JpAER@<-bj^bJ%lyV95m#S&F;#Xrtt%<*%;_iq0< z_}1Z;@U1j|UzXp@;JZD!Y|rpL0^znl;rsRg1)mzePjN@^J~V&dm)`{NZb&hFS42I} zC6`SDUY;`AXlky6yh;n+VT_keH-2e`Pvn_n{05^}q)!E(+k~sP`5P@DyqUrGdUDyG z;rkEd(|(0-GDrQUm1RiB~}2?L9zg7-9lHT-zrOo|kb;cwUg-p5eJAMY$f~c@P3? zf5G!@zJkZ(f4CF=C%-*|^S2b`QiJ2*d7B{T_8y!J`Q34G@C-5G`9X?)rXsgbduPfI z9Ps4qPk5%GN8|@2L+BT$Ne8ihoT8(t06IKHxzq!Mt>PAg8xBFe_X9*vRSJb7Vg5vV z#lbTNw(HM#nam`#q-zMTt-pmEvI`_Xy zREmnMc^pDYB1*({>)JCEmg=Zcn!CD#E=iPm-FT~2e7RHc)z)C~j@GLDXb5>s5Ja*D zTWN7$5ar^Cx-X4kAxD*0 zT8w82UKxq=(}n^T$=T>I1jtfFE{OrIs{M|dvM+@ zyr|;eMDWUy7#sw}Fi|_Qa7-;mlJ-(0X?OaVohX-hBQKdvu~ANEc|^m4fhLQ2a7Gr% z@=4UQ9UL2}Rj~-05HaNZeg_3)bmuD3Yg#4!k?MjR^@_}5Ez6fbY)xS zrlya}*BkS_J739mxADy4B?kT&tMcg$N7#uOjE6Cvip0o^@U8&=?bLyr{=(CoLIZX6 z4i0{R?aDhCs~EVh=<378feL&)Q*$CZKyn=-fk>)~ivFq=1 zafz)h@#2p$3f);mLOTkF)R=F=jrroF4uGnY*kRwb~Ya}TaC1WmE*V&;6Y&X0)Yur?C|sm#JY2_ax^{fMh%6&ufH z>ZRG}!tBDRC!7WTQK7$b6f=b=?0$)s6m~D^ZDV&8n+ITL1H0|Gwy?`Vzi9xojaQkjA z6SqIq6x#X9Ms72ayW%xLZXn!Hp=#7O_#?IX#v2$}V%Oc)jDDxWaOZTqX#KXod;UM! z;5Pg*E=7YTZCTJ`p=g1bb_%(B+F7dkMbiBNR}AWdOtFZ(!a>}~FqS|?7OZ8zbi%4d z8Ud@Of2ygpQZZORbD?P=QqqzGUM@c4WNWbg7yF}i2E$z);O{Oh^$4tuHmnQ#rGa%8 zUSO#6+--E_C}8KZMKzHuV2=f~zDV5g=MQ=5;5kvj^T5nB@Z5wW?lXa>mMvIg z)Ezu;O^Ac1<3|=eT{xpCB{6Qoi`HEY?zVn%QH=cFa^ZEi?at?`I(OR+xoC3FXZpy9 z)@1xOw+D#(r-S&eUt|XHRJM=_#Q*ZgLHy=P7R2m*N{F}N#po75V!_j3Y|rTdp8L~L zj3q&jWZd`WXj2<;L%$ zNGjJIJnzj&Gd}Zg&kUZ4Y$22R^F~b^Jg*G5;OWHbK}vqG0WU^xaKKY&y!%}b@LZk_ zoEMBul{I>sz_S8>A-4PX1WxoPX@=)Nn1`8=+f(0W z2+m2>al`XN8=MKbX@+MwUbtWU_rdPgn8Y2;=DMS|ThamK$q=Be-((2Tg;j9?oq2*a zK1ivUn%)}l0xD6yQzg2T&2nqrdKoVi7;o(9 z0gOkcrUBzA8gUtz8dtJ~Ou+cs8wbY6$0{&VTXBAFU_v<9Pt8C`sP;wj%#4eaMaWi! zW@m+twx7dEfg`CLw`vViiUkK?-^J&-SdazxM~vkwT?g@J=)|Cj@>IEa*v=(dTZx4-)O!694yEz~m*wZ883^Lbq?KH@8 z;O7{=hq8RE*kv%N*J1w&5~QCCBgV&czE!?R?HFUvuDFV-7c>^YP=;madg5I`H2MQqbak-m^!CBf@5V%po(P~*=zwmjK|FC%S`i#C3pnK1Ng1c*9IcW z&7th1TgF(#M|?J!wC8Sf0fdxO=;H#@#(fLbskyY^D`GqjwBts$!|-#z0LKJjyJuk< z*rvzX?TNOmz*dKLjHB3u1GeKGuxa6^5@ECL+E3%a8(@R?LqL%dyaVt;nYA)>+t~PH zJCopZnza?imb24<*K%`@Lnq*kV+#)OG8(#r;$S;#4P9o_mZ1+RtI5rv&XRybxm-hiBme#_qLp0b}<{ zxqz{Iv0T8|-GD)*vAf~FJplh;k_<4Xer8 z1lp75rXktSZ_Es|#h-r<(B@YE-Vk(!LgoQ>t_ zK>I<4puPLq_W`PvUH(EiaY4QQ+I!ZeH(XkOz&HryR(e>x}4sGTxDvr)_W zKA^21R=-p;lE!iO6hwL@&s z$^b!1HgX_ABTyEHEHs|}v@8$t;HQoa+66~ikX;2^Ipv_?;6l@gjtTW$+k1d* zbvk`z!@SI3`vqIrTi9m6XB~rW{t*^z_rh#W2^)`zQ1)~Tw!?aY?f0jpp~(NXWCq)d zAAb+nZh|*A2HWF9E!bYpP6OK`c%e+|U*SsW(O$OP9gn=?tTeC{WeD4QUEc$?C*j$R z!M3*8g6#v$q?F^f8ZQ(?m$SX@Ac_XkKs0V{W}{W`eIVKb-);<|uZJjzQXAYjE|m86 zl$gDZ9gzL4ZHNwY8!K$;u~^$j#_pWPb^*@E`KM)UznFz#^KnaF#Rs`rqqDr&zup_) zt@IUS=^OCEv1Hp|o<)k&8~UNJV*x&GyaQJG>bP&e-luOCGaM%HMNi1$t=a{)p_8MN zZWq{je_&Cy3k=)8sE%UumvI~nB((!fZVw;*O>|Mza})a1fnld@m@}s@VY>7mxmmhF zD|4N(87GM>ik&XXj$zxA^Aw{PKv>H!)${>8=nm`HIU4q+YPqkQflUD|Z)3hd*FSourlNZ=$wxP?zL?(i@^)G^hBFoxjsc|bd zEAo>vRdSXK%jI2&S+NU4NTP5FUSq5}7_(B(&G8Mucsb9aR2w@PPh_3fq4w8|z(@!5 z!LPI4$Rq@OOeyj$&H`HtgohZTWfTzWhI0)^J&X-(VQdTUK$TXSJW%wge>o@`_rnwJ zhb7z(O}HPJa6cg7zE8q^cEbH`Oi7yn(f(%%_nis%|46vsl5oE<;eK_({nCW{B? zCfq-saQ|S!{Vx;lZ%eojC*03VxSySHe?`Lm#R>NpB;3ctlRzIeAmuZCt-G>=lkhhu zcsl;(h7fETQ&K1dEbBECSvi*f83_V6AZSUE4_QQ> zo*nlrdH+|p?f*{N-|}uZ?YHgE_U~5kch`P!f3`nK!T;T59CrC?7Kq z1?2e>@E50izZm$o?@fJB=aI+d(16gN+fd%t98J|6JUtUp>g+>8-rJ+H4-FEoSDmF2@yeLlb}ftr*yU6Oyue!gu~0bd6i2fL^wl`q6e&73&G8|L z7Y=xK4{1YPFu2?=WLGYAx3#EiA8vwI;-N1G7Z>s(7Z+z?d!@g1X&x$=yA~T!IO~0%W?Zdbz6wHef;`T9D$9s)MZ~Fb(arGBO<;W{>jD( zpG#q%(B8oTn6NUDo6ru|walEoz+ZH0I{bC~GGqMhMSHiVZEx;=X>Ul{_I|uy+WW&h z>G1jKJ^KTnW74+wyZzGM`Yq|;o4#M#o1V74A7tL1ZNKMsk_$7xsIvDxn*mJS9%>c(*fytM3iK>i6xocs{O9?eweBI_1#D~$S&ZR(6(QJ$<~tF-i`}8qssk}CL~Jy zEHucNB8b2v0#sqA)}6lG7=<@X*j~9jIAu|7WD2q~uB&BQ#n*#X;HU?>U+!PIF?59K zABipvRIbPI&C&J%J~#pez;<8GuG|p(7m^SH)qed|<3C7=psEZ#4I=5f(T_j#94+hM z@8Xl_UQ|Xs)f>dy@$WbB6Fw9fn&9v_+jMvslitoj4zR&>chJ#+m#)jJ$S7KoGA>Kg zZ{?;CEv(BA*nKZLwCaj9{iafR(JDXsP37v2Kl)7-_#1BJUsN~#ZdR9ztK;O#tXM>$ zxxq-A*U8p8AI54wl^lD%^y3#YU-0=`V_DHW)vs`Thd>@{h>WRFoyGx7TUC+^yS}YH zv%Yy=)ww5r!8);D$JLx+?Zz9J!U_HYoKw0^Fx<+QN&ckmZ*rEy2S6?0CWTNFeF|?8 z*AeJPhu{r!5tFeudi330?AuKWUZ?v>(J$vOz=k($w^q3YIiyLdBX@GYzCOO>vFuXweYMY$*mu%RlXmBjwZA{1UJFrnEpZJ>W98ag(vEnL>N){ zqt=T`zERW|9;1cl=9|O_jJM3r&O%-{Uv`#EcXK>jW*4LVEy2D~&(@8(Su3k>%OP8C zzb~}jUkh}utir8&FZ4Yno?nUb+k_s0c&m{wGvYaf_#!jGIiH)z^h`Dt^#svnsLD+k zbF0LF*M%+8)ta0SI?_6pVti4KgI94` zZas2T08CfNRvt=*sHX<(V-XN#9Z&jNK>zy`*}>+JA97%>@5Lu%mHtqE5Z`#P$M=->56s*3$!L-ej`?$efPGeDJhkU$r6jB4g@^0{l4;ka!0(syg4 zUTVR^V5Jg!_^vqW$tFGndMn>(VJV>LQ<}AKRWqfZn>*lGU(~aHy^J)p?={gykFhus zu!BsnOZGf@6}eVxnOmF{RQa>Lc(!tUF)FF0xs2(ZPlah6uJQr`F|Y|nT{CtEvII*Ph7M!FypRVLwpkGK2$af#i*#r^x|^o@ zAZ4nTvpyf{%a;0B+28~_-F(ej@S6G}`-`ot+!Jg02VgpH5%U-c8)+e@P1>l~u!Row zy>srvCWdx~w$NqCF?leby(!=d7gunD4(-?=MgH{>*<1yf>zM|0nhS{&ep>|B>%sNcTRZ-mgpd{!R7% zKk43|^r6)MUAp&osrQGhOfz0zs`tmIdw-@O^^Z$>Z|mz0e=Q`NKDnM+L}5VpHBctN z8?x*bi2s&r{WMRi{GeM}jpei&pTQeTz}fZ1$vA2|6mgclG4AG={=ZVR5akxZx#p{q z?2QMdghPf|ZSfaGynC%f|8KpZ$yN@1(CncP*2U?Az9w54Y=GKhPp84 zFe0g7+QUyPyY-iPk!idrTdMtbw8gDb%qLEHL}7twtiQLl^4unrLr>vnm+ahNuGM&q9yX8=>j^bzV7)P(jsswdiwiVD9RD(bXOO?L$cBdmpy^Zh z2P~O@5=a0g!})lVJs@Ecm(P%0*`>&=MooWJE1QV*uQoO7kmbLDuSWIP!VA?ScK|ugE42C1 z;2{k1D|?&aaAoVY+==y3Pp`$8B{*GxlUmE20+L&%h0$nuN)bRGNH$~`Sr`B`BfM~-n2nJ(=Mz^l#oVf}r(E28 z+UGOwqy#dx5s(Rdaq|s{0UYzqg6-E!_X}*9%)pb=fhI7@d`w=WfIUp1D-M{00?KJY zs)F2|@UqC=#;jm}xS#Q0%U|i?YXsDAEh^Krd^*JNFceh&inR5MYk)$%$*g=k>ViAQV6u7eS%TXu@KEju_S z5CMOWc#3YYxy7VH5PF?FA z+W&R*53~BZsONJuErJSIE3AcLjdg>5eyoO5+XDBJ^&e9o5d`<7lFUcldg~(m?LjNq z&?yWz{FKFT|N58jsg>NQY8qqLG>bK**GlZ^|C;D0Cf!g)X-ZI0z9DviD5xl%yi8P4 zAZVJq(@#|WEkK4+ff^F(zb{sQI92^IdBX{-*6~k+(vlO>TK^($^8C=L(EIYWvZXk; zWiF1jtb#1SqE-9cANpssYq?9={bI<5LSsEmGt7%8ErbVNBAQySEzM%yIq>K={{pM2 z-TDITrwTI-6~ZBm|E2hk9Z9N|O;1?0^kOaMKc$Mbeq3)mC?ypXm9Q`-`Ph7am~&H3 zfpmJ}_^6!idW}(-S&;W|QN|W0fcJXQlSZ`1sY}#h;~pf_JIGxF`o$K|0R5{in018p zVz3@luK=N&{nt8jz)zzc2noeM$XDxy`CjTTh856Hs!``psxv2xm20jjg=-G$BdjgK zH^(Z?`mmrGxvl+M*{)EoT1F4QS^|m_#m7E_6Z94*qQS%~G`+7j!-q4L3Ja|HiuI;| z?yo?KH!hfFGhka^?$2GVjhaVI#eHXc*jF&$C&$sul=d%?i;k?mFbdHDrt zx^p{p9CYV2^kptC1N!+De)y9CfgcIyVu!n}$qt`WF#j9@;e7QC{StEEiRji2|3r9l zKDIs3hdOdK#_l(BK>}eeuOV(Gi@Yveg+{Q{mTp&oJqWS!(l>&#D(tbED5_b~et>7J zKrs_V(SS(S@=Np?x7Dd1d z?r7ay-{AV7QzkKW=94le*}C5g<~FBy)N}eXGCik27v2=au7l);AuwHS*GV72^)Pzv zLCnmFMXAp;vgcr2Cu9C4iH9@J!{oQa;fB6I)!7*ir|=)w_w@K%ARr0;fK?>e69U^i z9NP9&T$=#AEDm42aDLApIB}CuICn+ghe54x=8)2i4g5}7}~_J2dBHUDM3TjBE* zCq6empM=je`l&`1QYW0_oKnV*3{^=`5x62tOI8w8nA+;T5S)A*&aK0C9^G~I3qVD< zdUzpVntCN+f=&82xGbC@STZyMtg5>ot9hxrl%B^8lvu>(ECULO#M%^Bs&=UBa&s_FGH(nBNK7 zazM{kBwE=XEC7~p05vs*(3jc_oba~vNd`iS$RD=3?U3nc;a&j8tESN1N(4} z{1YQnh|GxR$G=r7fM(=Amy?CFNwW|T*|+s0FxsrpuC4fzK@9_1C!KXi)0N=?NP>kOU{+RGx{h$S3)wBN# ze4qY>SakLdj%5dDXADz|ay`}$bF-8Ci z0MU94c^qTLE|x0`h)Q)QK-k)osUNC%DDcNa@vT~qvZB0JG_g8HdEJgrf^BrOH-c@zIzFMfW~ou;3u1D{X%TE2Y;+Z?rx|53|si8Y!>hyo^645vs_u= zou}>y9>!%Wr9&Sr(rVtf6SdxWNux9(aA(D9ZwQq3%RSe+`POiXGFX0;#8oi z_U9@8Yd5WJ5yJ7@exKFa%BNIoYzvCaWVD6DlXJN|VLEGL4aDH#Y6ysjUks5i2n#U7 z=-L!k>+7=O<~hu4wb-abtDr~3PtjWs5-2uqMTY5EQwkm{-+P^H&{AYKG9-eTGYCHm@Y6A$-Vtty#Q@(R<6VQV zKs9F6#mcqd5SANi1NPM#{}rQHu|5rq2!b5Jr|gKFJ`KHsJ3Qi!>XiPAJR%tH`>r@kDaj4VU9kCKd^}FQY-lF_8sqV|0u{#a zR}b7Z;J=N>j`=My%+;sB+*7Y5V(wfA=GHwD!yFVl?mhK_I zI{{y{=m4W7)8Z>e-`&ihyWf)eTK7w92DLpdGpNa)uLpn(Gn%g^KksHSqYoD|#B;&n zg4y{h%|NXK+>*6+0cCKqe^ z>{1$mWqY9b%|Ql>r5cw1qMrVQTA$b?dkiqWsv1LswA@u7b_%>wOd~*SN9ACuHNw^} z^+yiQt;Qq@PeG}P<>C#-9m>bb?gRK%X9!=!_4Xw=n1w1ZH9W4+(qq$;*EFW#-TpA= zVZ4TC%NK`#gxbGqhTcag74RNq)A8sB%51k4HSlrh5S7g`b8Ja=5b0ll7ja`O97vB~)Zh3}vGU3wp?`KK<^Jmz< z2Ok!pYWDBRg#NX5mUiM)DQkfM9fNUg!&Z{kulCCu|~05p%!yJB_7^if4pIe1G;~C0&pdbJZFiwmu91fXI^+ z0uz7-04%n*RtEb&cQYzN?bS3KUf05KB!sU96j#GFa5V(P)es|BW0|ur+V!C&RL^F( z;15L^L?0|^@arqc=OkN{!i-IyMvjcH>pAH*YMkme3@*=8z^7Sh*$MB4f{KejLGUv_%B{x8@k_5UPo{T2J9{+zV+ zd-nc1gv{aO2@{v*=X|IF|93H?t>ho6Rh zQvXlV)?c_!>d#4AfBU2R0zVI(mJUBn`=tJ{Y3ncEC-r|&o38&G9@!W8xgl-+E&HVY zBhuDizEA337D$JmZ4d7Y{QM+s{fqWV{W)pt_wJMWADWmBKRbTAFYq%qZT%1IllniH zkgorA`=tIG($=4~PwGD+ZT-(Yv@h_#%%2WF4SP{P{Cy08i8=2P*^m9k++S{Z*N9_g zgIWZ+UAAL+zHYPJeka_?;5hpKllHSiiCi;X?D;^%-{jkwogF;Qr)Oi^F!pg*izx{Q zV+EtW+@&xwXS(O|I8U0Ge9qXi*mLBr^oiZ$Wk<@5VcXFywdJ${0Uh<`#+a%GzJ?R- z@!Mfv9;c zsHd0%Vh1qRVITV)vJ_o@HF&S`zg+KrFh z^qaX4Ka}UwFDYKaAPHkW`;8^PMeHIBx`V3qwbh8{)8F7qI)d(U%oCjFibvJd)%V}#|69;^n#-Sm_EH)wG#NU;IhxrDwhQZTKTL3y0_5R+TewC zizt+3p-;IYjm)_ycse={f79u(GuKD!n&=hbGC~j;HW8;vPeuMBhEEf*2%j!R_;fi= z`irG{Rf}-p6udGWKLT_--@h2KIKSycbSR~76pY9cMs27Haso+9-a&0;c2wwV3R2aA4K2d_LA(lDQ+)` z4NMCPT4E(pJ_MZdVfexx<;lm+^4ZDd)qG+^0pfEdsNhvBFDciO?N6oaP~xM(55apu z8M26lFnlm(zm8xzdLZ>ZW>CI2LccRoZqb1^QLB!O1NWYVLbD*FW`Uv4!gQF0=`ahz zXyVN*d>*xp-t_UoZKqj#4dJp&@L$sVU2G;Cw>+4i!Gp;SHSl0wARf%A@L)FNht6<# zFtIFk5j>bHOb_NLcrYmd;hM&d1BVl{=0?+rNw;Mgj?1n==jdIn$=9-{Wp$nIbX?Yh z=vu!^<+yBDj>~nDY3xnODHdjQ6T_Ou1NiX(emsDGYrX|Mz^sC4vQ|=W-Qk#JFeV@s2W*AzzEtkORcznh91>&Gf}!p(8%xOIH6vSRriOd79j}s z8d~WiSI~FdsFK9^!&4UHn7r#sPOOboJqDrpw@G=(?=!JI>X{a%2-PLnn)rafb$t>( zNGrfepI-}3HeExU7-|j}1Y;OUKx6coXEf@Aa&aO=zi3Gs_LboglJs}tBwG9eTMA1! zC>@A8$kI0?J{71Cd~FSao`@+PR#lsCM7c7Lh6*0^ z7B?@D7Q0Gf{dxqC5=#A1mEr>f&NvB!( z-VRokDZYo@C-@%wE5Y||H?w<*_+E?p65x6VkN!t||GXP~f02yuM%AJd-zO#aYpg0| zm#iUq3>I?rQab@(9Stin6FOLd%Ium7u`@f z9%tD@vCXBy_13U8l7!NABC5bDt%5F2gbma^D^+e-Dw| zx8;szLbW)R!g)VB{vOOblq+0bw*Oqp5TzjaFczPtFV`Yfxn2Lp-<+=Z@i)6`3yOEW z5kmsx^}LU_v5y!L4Z@6FU;)cYy07FhoL2iYj9=@vZ^J(D71=>q z*lNbC-B&`ju6n_JCENua2rDMS-^a{*VvP4(+3#p8X~&Nl26!!aF?n^}&Z?4Z_x$_u zMnee}WC<|B7xN173{4hCJ--J5AP-kt2`gkd{>pp(PIW}5eSVUeUlboT-5}J2J~Kf< zol3C=_6o7aK6C6=wn^8sTNg^-vRfY(kiLWF4Th~1Qm%o?*NMF+hF;Y3Ap4@}x$Hf( zj`K=NeM{)!;Kn~MOAGOq8dRVr0sawx+)g~C|4Pbzz`5%CL?e{duAi>p_G#m_zRp-&JlR(hWHUk)_3pyl3#FX z)z){(RWeg`&jg7EK%B+UBSqEK5Ha&Mj6En<$w9qQ&%dv=6+V?tBYC|Z{yS+u#&SV| z&{DP-S>LdyYmEA*Y{SW`>6O@Q6FfSmk2tpCL|f3BV=E5AZDMfEWQOg}{XXrR^0Qd7KJHwW6C7Qw`*uN(8y<>AWsec{)^cMV4n*_rT$#-{ z_KCZU%Ww;h2{C||G$<;_QO{p)>6i#pYDp!OM0NPKBb}X0_rMRekFZ6Gk0nMV?-dECs zIR<#@;+;|Gx}85Te!M^z61V2eC;uryhc_rWpZrCqkQabtd(J1n7wyeW+uo4O+mrcP zj16sw4A~kiKJCws;`?(^H~netEbrur2j(=9{)yJ5{M#R| zB$c}^BVUV5Du+J+@z1!iecq94iO96^FxX~?c)RrV7j#Jd2dMgiV$`4StpB(k>gRe$ zGC!@OOQRm6J#s52D?CqdKfBh8PJ>9q)}=7ceb+-uQOkn-#q>BoA0;jm%IyX12APj> z09@2_5KH0BNck3fnZ~Jb$cSrz(vY~T^L$Y78eIg=qIcG_z(53%y%F^s$$Gkw6k7TW2Mh_jUK}7~ ziNv^D$I|4{UGFwK z=y5Y{YZfV@i)MP)j40z93=$qm@i+<1|$H# zERab0edLMkf<1zs_!ew za^aco`tA-}0bhkuerD)-XXJKBY~~eMEOWB0WE+p2g^ElA2m99v2*#iL-Z0`%m28&R zt_cL5PICw^<>JXwaagVl~75K0&@Nu-e*zBF5QJCAc!h8(L$k zC72oJ_k50Jvcf>*sJ!vawg0gF%BngLId021zIsZku8zQ=$=zEEWh-^m^I8yHYh53e zOmFH9pmPI3cXqduSG%cf~QJW012oCT;a}=c48J)v9YLUBaY`C)tK*Yn-9zo zF=9MIKH@Ek!2w|W;uu*gKLna{DI{kTa8lKRA;5JbR$_toMt-q#KJzkhb{EQxvG^Bi5LhLv z@*0ntPgL23VuKsE;h}U|o~9mzLaBUV34hH&@TM6A^bbBFa}cCyYY>Vt2;Y>eL8xa% zo>OO;gTPxN;~sf@Q^*_yRjN^yay`RuF$gSV6*gwQ7dHs^09I=d%*Im@6^ls~EWTk; z%9&_RK@O)(WIcbU4?8IkEbrh1`oe+*!e+jZPQt&t3)3gVqz-WvxdwvP0G`QGTzgJX z&D1Ri_u zEjEu`jM{2-qgwxbZk>veBF!U&6S5Ck)d9oMQ? z1s1Z%;fz&KWyp?sLw2lM!i+P&=X1r5M~84KNB~(Atyhk!C-A@!3=1EjW02&4g|aE? z>G&C7XV)~7+I3d`)9e2o()OHz~3}6fzfjkF`{-VU@4rI~f4((V$A&Ulg;0In}Ihi}$ zdpo&aRfKB{ynP96>efZhM~fnmqY2Tw6TZ5+KnHowwmJ z(U#1OTaOfc9|kd0j%M41k+`PKCdw7x@5<+wlmPtQCIu`>Xo|j5AdcR3*f+cYrwGH2GYid>hX28%0T%GDmDfENA%4DFCfeK!k%S(A^FS$A9($E?YO zebasCr()RqzCG_d+yQ`7CeILM1`>wMK z-Q$J%ud{Mj+70|XeA&`WN+^h=X1#7D( z3@8FZxX$}s`mhX;<_*w5e$7`gdVUt}Yalh)8 z%=;#4nyP`M&v=h|3Av3C%wC8ppoDoLNN8i31p;~_>V{e6%ae^k-!AXN8+o=25tkJx zZwcl$67(vF(3>j{d>gzE|AC7j(UBF<%x#kCxTJDxXu&@3{QG$o*4uIR2tIOdpdpzZ z8_3CThjDf+ez{JF9qldG_ffr|zRmy01DvKrqBDZtmp=~ZuON@@RO;p$lIb16;UC8O zppQX`TJ9>lGuqs*E7}k&M{#{r*_mO+pI3q9Odp~-r_hne()`@ABZ4sMg`#9sSOR_4HVg2ohZ>QMG z=JL6+mla>C5;rUM!L91;Si5gO^`Tzg(MRVhMR%{D-fHfjY}KpP{O16vmsIMjR7%Vd zxY|W>w`t`akz5mE6_$!su{Kh^D_Okx#!ULPac|L2T;fiy7Gdj^wmm}A+FxRwD~}fB zI*(|6`;$Da+(eCJy29=YtIF*wYWC7QlEtqI^NNrX0XC4&SMgi96~Y9n&M0-Y7vhYe z0%|-*Ve+|GWX0O`W|dSsA8K|@f9f&PAECv8s++QGn(w-y`)rRu1(ocy`bDa9TDv4v zP1l=;Y=wIMAv@b&K&h>yaH0TNpU4sAl~Pr^LKc;zB)?0AkfA?dTFkI|&r)o?Eh4te z_R4+!AxYU|Kh?XmXR^4GIthMgMm!gmVt-Kg0b%k#tBJzqb9Ny>Sii9OtFUv#X&#pIfP#C~8iy1rak9G_%{1X~xFB7X@M(03(@;C1VfIZ$zye`&U=n(#q2 z#lZGf!HEUJ`A4Y&xmWHRYfOBAZcju9JNzv2@b(FGgd-0X_nTC%a_d#bh0$u?Kat0h zD&S7l9QGZFnZ7;V(eKQ)+M6ZYEUY~iRP->Q#Hy(M$eebn8Uj@C&oTtoXhz;ZE!T{c zAAl<&<)3($Rg^Z4#HeZm8keM9sorcBL(!ABlo>0c9Xu^D4jTDYiP($|08b7j$&ZxEr~O&!NqYd$wXi7 z`|z))^~@e?vpQodYufRrBRUZSnD>s_H4KoWH zhGjokFr=|v&MDUK^)&o5TYs}Dk(X>Xs`Flb-xzlo3u%!#^d^q?)y>u{Iz21s`!L`g zeKd_sU=#?_@(OY{_QLgbNq@aM(i>*k}fQjihc28h(6)mlvAnOp@tU zY_PwWhQ`CUSz_RSBmJf9t0c&pd|E-O%VdpCNT zRA>1-%>3TakbgLD+wZ}Na}>=V(IbG_pC!{Q^8pBs2vPA@2z52OFdXk8Tg?c6=iq03 zw1>16g-l@Ppqq2D0xiKy3$udpIiAq`e?(80^Kum}zDjv1T0BU?%nr}+f=JWg-|K$? z&fWC?sH4<4tH`Xp@kAM*vavp%9f9Y%Wr1qeIl={lVJM3W{%5L-3#QC!H=Ydg3Iq6u>~r3Bd_yrn z$QZ$1IGX`xTMSSX!RcpK*a()w0ii4usB-VH0PPQei#%Z?5`+(4H&2;+h@*9NG*Qg^Ghpu z<8m2jK^2J>OLpZL^YI?wB}|QHg!g)pj{+4n18*w@4vl-!4cwD#_;8nTRiP3O#S^4A zH(25!KGkCcuV8?WEsT%MQ}UoUA@}+l*JlUy<&@D&bjB6t8L*TfXBL&JHfXonZ{QJ! zbWwii)2D3zCs+GeWiPAE%E>an3nrBYBK6cR16n#@MyjQLl8AysOuQBK{K4w9N&FII zLgN)uqXan5(O)ld5{7EGKUw^Pt7Z3;v|QTlchJKs+i)gy-`tWF}s-v`D=s0hMv})4LH+354o%>hXuTf+3 zyI^0dgU^bknr(Nmw6$3JbZ)v&eb?r~mR6T{>RNC=GlcB%?CZ4M?(=(?*9oPIb_4T8 zgITEPW-e5oZ+DfJAo)0LJj7$vV7)cCzk;0-(*~<6{ixcE)A~S>>UkA6?)7Ra!2!z< zh!bt}qh=r49vAVC?{0HTo)@yF8DoQxnTV^3F**~*7J;4VBh-OAVqbNrlN)tWhllu& zL!EPk6Q+_<9sUN>!weQD2xp)txQdDvh*Xm{rRgOsA+-lpvP&B3tT7+)3HGx&ngZz2 zQ=N*_qh0!QqP^5p<}~Clj5}$1s*ca9Crp7jhVND+7wY4;BlR**LYb%OJg_JquqdC~ zg6TKl;Y%1yY8O~GS7o!#GzLr5PZm-|Y=bf1^{Uyb&ydE%Ey=dIv-2vlqU9Xh7wezu z_sT|VDX50K>L%7wYs~LaaN-xnRY~EJlU)RH7LGxpMJd9NcnXPS7RTHz)qo&9#zSf2 ziPA>y*?L-8n;ft)!enRJ`!)_jCB!>HJO!Mjs2q#(zxs)DPGpGn%?)g zZ6y@kNNH=WnW&Pss!!G@i?6E@guYqYJq6ta>+*=z?!R4}Zub;v_r63v?GBN8jrqxS zY*7YX3kCK}5ejq&1=gFtn*{}c+H(H6xrS8!M_PmeEkc17hXPjPZ@$U3EKHFg-QOwq zHost3%OMGMr}X;}ETvyj{l3BbkUH?pIP-R?ht=<=1@v*Qe&0a9FVF0ECBroHstfIY z4|cdVTo-?T&i2lfx_r*|PAdO=?JaP(chd}4dq2MBf33aWSFC>K!}XuPz2CUt80{5* z9=n5k;E$Q^;bJMp8PD5dt`;Bp%D>d&&(F_xdw+1-=Wp+W=YQVzo+G6=?cEi1wYSf| z)?Tp()mw)a8ht*-Flk;O?%NqQp)~j7zwNA7{UY%yob@X1V0VGQ5%jar_q5}9&%#a9 z(F6TroF%cC|A>RxNL0~%iGDUn(+}B`)jdi5s0*3ikHRwzOCwhdii>yatiqN8B|YmT z7Bo&MD0$E|7m6De;_IOrgq%Rd680jTLrbdl6|C7riq@Ie5f;$9WS1=i-<>Q}?2v%c z>&@RzhlYx$MLC{uKPuCQ$|`-q#lGXMR)4aP(ZiAZrG=D;{nMxavpl^w+!7Pj{ym2;dQ6 ziRs%F?^SHXY{C{r`X?cT+DK==n0HAJbk*l618-|!_=@OR%Jz31b;c^PRyXm>)>1+J&4|9Snws3K zmKO05rDAvLaFz=!!A9r@uoogUF|LW-<@|tmNxwx^MQFp4tid^*X-|Mfg+8JbVtJYa zoD!8>9`dc3wl?E2N#wOt4df|?s!b7nl@xw$M&Xs4oZ>^2|HYubrG8OZUqks4q5ovc zAGXL}RTVwisle_eLBE;;zD?6M6HeKw376>-Pq05mrTSy(Nr2Duw1yTAF=)-H5BSzb z2Pcd31JWN$*Ls)IAsv1&xsMK+b}>IQ?G9P_FLj8}BcnfV$skF}9s)rw*ALXg`%Zsc zNPYS(svlOwG>1J~^~fg~q^Cz3mgh*9tjc)q?vl*@AkYQ66^9urH>HY~4nZZb#nm7E zDSsH{SHTde^68L{wpca22#WfbT`uB>$JU-=c zT*DSpuwZBO^hz`=ui5+6Iqyv4Ofs~J*-7G8;qt^dtB@h)ZwclLqLXb2*f5b1T~^55 z*N=XoUL915UGh%7Vxe@s6adl+?MZzW667R?TyM2s?KSh^F`^jhVb_DwTk=3kV&kJu znbmOUn5P{kj%{L#tQyP%AjPvp@@{*)_llTwmJ@u;(<3-a9$BVpR zAv^Lg5l-w8jMR{$(a%R?8)921t{`E(O^g=aF&ngv?Sc5!hr@bKOI|j51b%X|_N0cs z>O-NAiqC-miYyr$)+7UBJKr26i-#VjU&uM2hgmOr8|KPVgXMGu+K!;_4J;N1eFvsr zQ{JJ!o^16U^^Sf6gL+MNtS>6euu(`ToL9~JnMo2}*Mn)08C=@acXURv5{#X9sQQjh z-y!-T$`J}z2|;geu51h~SOq)Do76+W$8cN)RFILPM5Y4QN^k{@FhNjxOpwKf^xd~}@Amm-r=G#0y81!wPmKQW?lbA`F4O)5E6!Zog1HP>@ z&kL3lDyQ)DfN@Q>_-`!94h(Oa?FkHTd@wg4?mmiHV;@Q_J<1ips}eo*jX^_8Vq^)Rv5^{RNer&kY6CTBrUn}VzKt_W8OgcL!SdB1 zBarP~QWO~e>g%n#;CiB zSE_}2P5^YD+p6WhLA1D;r!4X=p=qmU=Ld$j&FL$0-nV>4f{9F8=4rM==BK9A}JQHN>mCYqX0QxgS&R6{RIHB>5MlUqowFDFqr_fv2j(JsdJC zzOX4?-t2JsXSHAN*NFlF7>ve40^jm!UE1Hq)9L?4`wLzYQRk+B?^qOIib%D8TIcq= z>hT%v*L$L}MTE5f*M4zqM4s69IcOaneuPPiH2pMd3|7<(S~H%drVkgtd}jGW zGRr@`bNQT;VU4}rR*`?}u1KUs6(8bl6x2uN>HUKA@>vkYclF`vr&a4cSvHpi^uS@I zKE6s0c9W&0Fub+IleZ2F%CZpB+d?Ak^@AeR$|@WAln?2aO;)#vAEijRUs<`}g{9=VrC%U_ zoTMV9o=i{660*ZZ1vd)pktUchX5o{#Id(rsM8xWFzlz-mxExRE(|rLWbkw(tzsZ<4 zPAQ?I4Aw+^OkQHNZ&%D4&{z6j$c`64N*+}jqmuE#@_?Ij z-DW8%Z^71Bt~!Sb?PeeszrifM=CJS0=~w#a?~L6jfh?vBDe-cGad@zrn%}x|cZhcw{gmkU!N8~^M zBWz<4RR?oh$_)sOuVipx(3gm9L|DGUisKZfbK(eH%Ayc_{-@Rg$=J?>Q~X|PIFu9n zrF^iM51t6?8^W@)<#Ic{9v@6vDVJ(|OYx)3Kgz$6C~-kOr&r@3X%Z-puxfrk+J6~2w{R4(S$#0>&R}4HNQG{A*gfvMEi;6k0x73KLxT;!j zHt)h;1LMm@`j|YPkHA~D3S-2WHoX9RF>-@%^|XHRljGwclhC6==rO6;G6dK+X;~px z_y|^F9M%pakO(@K6{sqT7*pwk#rsJ5$jhy1L1`+@LM;wR!c#knCO zIV64uGNvQYu`u0eu2!ZKG@wMkb?2ggR=qo_11sRdQadzD;5F#_3>!-(bz{B zfS=FvrW_COcdD<@u|&m3ECKT4HRquI-G)=!Im0a2AFBLEXVgz=Z0un&dtHl*GuWgN z65Zw|hXVln^`Us_{n9_0rZb|2CuBrVbl^uS{zfMLf9Fbi!ovSIcftR!$jdbT&({4N z8%-xeWUTJr>)fgNoGHcVk3Zx&i!Tx0Q0oIDZ={vRULRb1jP(KCWG@kfvKngy`K?Kh zA~l~V9`0^^Ab#$y^}#%Dh2oj(gKLkqJ_yMAAn`HlgCc8vAP+b{)F&=?|DgqfGoN-^ zA6N@%XMu2PdVwIV40)Gsj!dS<`m#B2>|rsDjSBiU3Lw8aSq~Jd4|#)YLcr1sL**OB z8igZ6#>i~P|E(`NidUz3_`7i?l1aE(LGphpqLTN9lng_&@g}o{$G95&-v<8QAT`3r zh84&vDK0FGC#pQ=T;*^wWZ}++l$S5@&_O`eK9R zU!$7C0)YVTc4`1nUQq7`loOjKoizP&94d0LXG=dxzT|(6YE_tJ6Vr{Ix6>l> zZa-GuB2TfBO9;!QtHo9>Gdq2i$k#Ve0)<^>7e+xN_2tqWjOHVyIDF+&Wi_SSVU@Ky z-43P$8;?Ikq%Jj{?fc!RMKK%ijr5n$u1jG%TDG#J*K1)raX zKB!9RgXlF!A0weFh$X)SZ|Q9QkoPJnrfX2at!XY*O1IoaQP#QuO~aKG&N; z=k7|xBou_&@n11fG*lF0D84R>Khb5M;SALx;8s?{a?lBOKp69!RN#0=i2H2 z|BcU!!RI)KTL9e=K_8{Dg&L1aAC|VyWZ?6qApxvi@%i}cT=@J3Zc_Ms=8%5}pN~H# zKEF)nQH9SHGS`d|0~JtjHShnD!suwaEP$@Sd40SPEj6fJf%7yAr_o?6Sj={||Cg@+7f95#(&_ih2@n5y+Bd{Jk@U3h zfl;pZ-OkMkwQmdwp@?chIJ1hU4U1G z(&_p(;&QbbAT+%M6+>O9e9e_c-f39uutY1ZP`$JjjWx%DD$NrY;=-XKa=NL_e9e4T zt>Ye#CMd+R*etg`PR$MZvP#o}{|eh)xmxo>~aJGun`5i2m?yok+VgG2#Q zV7B}nP~I=16FZaRzZ>EG67!+9By0azIU0xPxebSMqi6Z{r!@Gs+nyb`4^_Nxzjq|f z$$UjR>#mk~3mJ>(1&X`f9^A*G+T26Vro<%OMSDWM{SmRA{h zwa`)#@-nvGlVe(gr^x6Khx&A(UvKA&&qqH`viQF*#s}6WU@<|WIur1$)&Btkc1u5J zHRB>+(9s95zZ{GyhXLf9eaqDnzsxmcBl=s!o9C?1uN8$ki$I)g7t1P+&CDD4WmjGN zny13?QH}CsvwG9cEh~2`m{fIq)U(`gkb8-bQ5_$*jC+>a^GkPeukYb*o7{D9Cyd0u zQvQ|k??T?0{8sR9DF3SXH-dkDT#W4fU`Wxw)mUfNC5{`&JF?ElL!;4Y@pEj_YW+~bB@#0fYYnlK%eYswW@`BQiGNcE?EGF9yUcHuirx%zOc z0mcN!N8a8X&{39h;i&aU%B zc>`?eQbJ0NqHfz`75JT1O7)3mkwQfgCT{GHjNY<=3d zyH9CeMin%@9|9O#$l>;lY*Vn-Czh*>O;4MKlC7AqX^XGMGyPm$&OoQDHf}ecVrHPn zG8?Mr`WFrb1VJ^oS={a~_HWy@1VDuH;T7 zwU)dx#kDm;pLBpthrS$vy+x>G{)v)Z)4uaw?UKOrM%*<}fHB&^)^DHF0n|nPDuWvZf_(5EtUikGDc?Fn+t@X2;8tPA?15w*fE(I-+wx z#P}6pzG<8eKxsq0ksb0`9^-10fcdmkp@GxS?fDXunlRI2JeDVtAC@xwi&XcXA=HuJ z;7S;Z#DOFwYR_>1r*)hcR!L^<*V&&d`Y(S zVk}43gCJ@eXXJU)XoQoReO2FLMWE-W9UhZp~c||#) zNC+`8);J^En3Y|Ra&SI*Q=OM9)%hxLBU4Z>xjw-4LZlAU&d1rYccfbwGO4;*banm; zJ(UhvbZY~}%u*qK!D4u!szc4Sg1V(Ul5MG%Qm%UWd*`K-o5g?sHhlxo_lAFizPGRV zPt*5>fob|a$MyeJ`Z7Pcw>c$!YMwT%Jv#5#)8yrOXKCdKIA;-#&BUXtS<-a0#HPG7hki#Xhl+H>QK z4-$~TFt&}}fd?64&k-N(x_CC`d`v4E{Y^9BvS9%TuhH z;^P$#tA-wF3qG>EJ8u4&lO)Z}wmenxLPp7(*r>4Rs(B+loI9GN*^C^k*&}H-6P0(^ zgu^omTJm~l#cyC%kao@ADl zq+oU(+)%-OQ`g!_YUp=|#O@qUK=|(yx=f&Uen8)mI5{=*In{N*wo}_(XQXO7#p7BB zK)SQ+%AN$|KABL%)D>&ln!&2BEeT)@X6RHT0BV~?F=-xl@Ja?ucJTMRoEy>&{uW9V z#St2ehfMUH!Y|@lEt52Z&JFA_38e7%LuB3=e}^Y4{Cy$l8(@~ZN+5V=doX~tlRel4 z$fauiXSpDGx9ziL@oXy41*UdxQkU;L;qgEJ_4t`|`QE{-73RF|K0OW|pND1C!G|vT z+B7{59v{$sLG|&Wvn;vha#Ky?&+hTKvv*ZL=x}WJfc(jqj}6GrN&)hX9RIQMfxcSq zPNi5{nJnI01T61s+1QN>F($7x&u0i^K=aB~=u<&GvdpEwGT7RocM6{mr136@?Yy^l zF=ya&t3?h#|2r+{3eeN^5jWa_zQbCallAjBopP7lzg+ko}^yi)LS-%_~KfZ>fPvi4| zh0g;Dwy=YofH67s4IQ^&B5mlnZ^l4SOMuuHIW|atPsm||^l)r~7Q2PVg-@yk!&LX=$a3b@v#2DV|R1<_Fx=%i_Hm#}qI+V*OYc zJpIkNyFA7?)|udQeGL(Nk~p+A{0|%{j$AlZ_>+1QIu-E*0Hcph0dzY)QcgYRgyziX z2d9sX~S{f#rfpyf7} zuLhsnWQ)2e-&iYn!V{>XgF?04wtv}1&_WxD=f@U|RXVwpc z_-EHog^I~^5LP>ltr#%`a~H~m^aDYO3xqVr5yjRoDxxvr`8EW-V|E1z!7Zd5ZCvOH zNC>e&!;z#nsMZv=io3X93fj6<+_i-*tP&__E?;vQ&_4?6LnXc_%0LG$A5E#IIns=< zR6zo)sGY_oX8H3n(=s&+vMzp!I>iwkw~6uXw-%>?qQY zsBrR}$Fs)#?LzV2zs!>Lqae4ODF{+NoGm)7Uqn@lh{TTeqE7voV?ck^e|M6t0x z)?+hPc^hGG!nyrRRj?Q*LtD|FWY-@-K82=j3Tm_q$aV|zoHd^C~z1|Fb8N)amt*PvK^JbbSfwaW<=SjbL~0T*>b)s!s2>bo<1B~-$V0QpyE^;)F*>Q4tpE!;XdHo zJ1sw8L`#$Uy7Ki&gyN21`A31|E2u8ZH|D;EgYgu76wZx=SR3DP|K98euMYb*&+Lga z+Sw7j%|?Y}D_BThNf#Q|4q)?C&?joS{K91MpL;NW?2NL@WF-zDK7vFgi=W~dm}^Q) z-f3C!afjP?!ck)HK1VFO1f^#O*Ll*jDYkO9!?T-75GcpL@U^++4|u&CNhJ&GY3_qv zD9K9p2a?gk>E(oM3g@0G{GQI)>f(rTN0RDW=^r9}SRZWp8qXHjZ|Sm2noFh2j#`e# zbB0o5;s&b#XY;s8IX3*HFa3wRaTrwvsZ|HpNdl*XACLsFOq~e!sRB@D$%-}A&-S{t zYyYO=YPe$x=vxRW5R{Mt#De=$xZ$HhIpF|Z{b*~vnLjBrmEp5zSl zAhr@`5vvR!SZERFSabotrwCo@%=<97HXI^Kjx{`7Q79+SEh<{0N;i@*5#aRgK=~_J z!p0i?r^uj6^`)~uu}78UT*McSn8<_rLLLzlK=4tBi5{|suFh=~gbUR;qWUEBf4sz3 zN)4w=&vp%`-9uc|_7+*xo^pI@i@m$sNP99Z9(O!_yVH1j@NjUX|x(rqjKni%iycRamnI4bJd6h_>I6%gfErw>{OzvJ7+s}& z)XnIMo#h%`C0}xluCuvGjV?bN+j(^5NCIbc4VDC-J-S36!dYp8cQPXs`H6xo{_@Ig zwx7Ar3Si&cZ0#fYB73Y=PFH`y6y9J*EKZ$jeTFeHZLw7*B^8S}0bdtKDm-kbX_6W3 zI~3wt30siNUeCJp3FvFspPN#VqFfeXiLXWQ5~Pep>|R@^Cl4H2l6!l`}L`%(+|0F^bG zDjR?J%`e%tb0K3iL%FVMbyn`E`doLteg0Xa@UfoX?>tzj;)BDpg|sngd{kP9j{Gu4T0!A|?Mg>PFPKt~!au zCKYc?g_k3Kpvw3ZZSu%G{br9&@JrN@Mf;laqQ(1~a=Z&9>}aAF`hVJB@kZL>8M^-;XLJYc zI~G6cv(j37D>8fq2Zdh#+pY}B0$HLsr%l>vxUm3R)y*2t94{Ei-qIJILt^d_L1 z=^bOTu{?gKwHryO-6ORPA8#6WJ#qE4=sm%r_vUHmRO>|{hCZ7K#Gbqg5)%+%3)Ef{ zEN{bW%e-IQ7;Rz;eQkQ*%44CoMd2nK$P{he_GBwuMuxho&2lI!Yd^>STz!v-_VX`j zolwkx^s`Z!p;(n=9&vTG6sOXwgV3!ce&P*fBsnp8|9#eCiwN3izESY`dy=7VLsA!Dcc;7OiIeri5An-*PT87=MUK&_;d#7Y@dTqs$lgAdhoaEdb>s1xX} zGNKn{jWhCkNGyUus3Sq;BQ@g3pz~kP)T^&EFQnY|pUJnz$h{HFzf1>s)CBeWT+>0w zD7_+BendQm4nNuLe1HqpMNDicGe+Dgg;eJ@s!8Fx{W2+FS5%Y2w{v7tAp1bWVPcM? zXN90|8%m&U%nQNzS7l;Qs|Bdvqkd$(f1P-0SyO{dDXe@~lu6`X*$L2TK4yMU^Q&uq z@P}-7X={FX+C_Y!w>>{RX4AjZ{NT1{FhAJxGwpDcnjo%qeecWk6F83!7{`eYnnYH|ic{Jzcz$zE?5`Iq)bVv0I7+pLlqkKyB< zSA^H)zxMk97}llw+x_@;tuI_now~T&rn;CHtuJz{clLD+{QAl?wDOMwD3W0!_^<6#)?yY3b zDcwr+%-f+f+i2{kqME1wVmV2KjVpnz z`ERM$oYbP}CnMA_vz;kclYkFT>BbzCzskkfVgg` zcuK`{BuC%sXrVdcED?ppck^Ihb57LP@ct3&BZW&>?$vzTqi4wK=xh=;Jdl+|ENAYn zIGUXWrK=LX)ZaY$D@UYXY%5mJR0VW!k1o|Lv3lxsxyk2C+H|#(P(8Ct5NL_ z>W`MT!4~p??i~Fob^kzOs$x;n#5OM3)R?)7UMck}o&>00oq!KL2E#Q@z=xjPq%=e4 z9+vJU%mYO!^?+>57w1`tZ_O8MB3NU-G06I&r`+s4l%2Im^L;9>)|r2nSC^}3r|Zlu z)~h3y`u1n?%26=A%qy12GG;S^+B^E6H{qx!Pg1n2A`Lx(B;P3I{qQ4ct0SFL^7~;D z+wyzL@#Oc@00Ni%J|X$IMfspu^6`M%YivkINl92aUQex;<&KKL4@MXwI?+?=wDlH=`_tnQQRSq_m7*6!y!yFcIh-0^; z{IVq}r*ta%7F$wc*6AOR!Zma0o6-drd8A=sE|R^ZcU_|LoBy4zNEcCAAFrS`N=&x! zp^)aHzi=U|#uF2fKlo6x?;;|LX#O!p6VX=_3TbQ-iaGsH}dgz65H}o zQz^Q)7ShN(Q-U zDo1DeANK39)g_Ei_!Hzt! zkSVx3>E<-xRieDx@~5D6Rpoyo<M@E&hQ>KR9Hza`*7Wq+0pr8nSR3prxRtFi{Zr0Z{hA42rc8GSJ zwfY=po%5xCgO&Q01v|a-zb_aH-GPR$vc&o7!Csx57`FB0AY7El0*OdrWodPzxmt$vSeE)wVVq8UXpx;9fe1;qxlU-b7FlYNIXq{==aHFd57=FOzgm{ zvUw!l>wdU4Xnvn1izp3P`Pio>O52F0>}CapS^_WjqVnypu^@Ec=l?wO{t{Nl?)SGj zsOQA{^S_Am-Cck7%XjtXU;4ZHvyq!rf4==m*Z%yeByjrk&ywIX`_nIrJEtQ#$YU3A z|MjoYkq4wBMG+(&Su5(JIuw&3{e#XOx`qxtCEcMzlt+y~WN^JKsmv{Qht^o8a^<^< z->ReAOh|XW-Kjg*o7do{R=(Nyw$+{R<9g}N8rbhz^9Oc!){6Q^2DR!*bwZH^$ly!Y znjG1M`d1bcnk?$2Qo;qx*UQq!{e5xf`*UTn zq28#Il`gri$>;WKGVVkpb1R`|&^oC%#B|&v4U$Ty~4Fp>b4M*cj)j=GdQV z-#X1Z3WIJICn9oW979CIUrzluIO5wj@RURdHx66kKeN+aP6&j{-U z_B;R_T$L>Ysg3DiW?z{Olqj~gU?(oV(ipm{OF7kgtGMInZRTwU#Qgt`_A{+rK z>%y#<#Z_{dt{XCaJi_-;)fn?>zI0Vm9JHMp>SJI&+mXx znhAfj1Ny~j<|Gf~{XmP4DH2_`T01tZn5z77u;Do~T5w@{eZtJDMtAx&<5|+=8sQao zO1-CCu2^*)P=~eyC>SI%J^~VhQEdTKd%E?_=>tEUJ>3(M*7hFT74MPlUDs)vF@+U8 z%E6Y9a0F^6X6jSeh-M%Ap~UtproKb48;6K*gAAG+{ktTe3;lHt^Gz17{0FTUljzTQ zXgTy~w*OSbxTVvc_q!2T!+ ztFyw%m39zkIla}No#-Kv4{O-7*EoF$2IgF@Lky?fJxr##uSR^kXL1Vtk{-m2&Kuq| zr&l=HBF_pE+k@qM5_y4!bxCo3jP?mU$1$5$p^4mZ@)a21HcqGhhj;!k7Lx>Uwf6f_ zKH;0a3!cfwh~j-2Tk)eRa6_{AzuvdIVkoZ~<{Zw7oy@Sch|sH;nOk5@%yFEz4Gek`mMa zQ_2|nt166U?30U*uqqmjk$S$$SoB7gzQ&yKh>RZVhFONS**)+cG$q!8eKH#@gihC` z3Eb;NDFy1XUL%;CxLp%Y#c{dTa@PddW}M48z-=>6BP#dszc>IUTp+1=oA+9;n|b|~cm9PrBA3^g!z76>%e!Ep z{opGkYsaB2EASWC2_8k+%?vVvYYv!4#ss{fBUrR$G-GHK`ycR&wWR1ee)LN*AbRJw z@+t1CMbwolA30EvrS>;yG6|!l*Cp65ftvg<6L^ra*{1sx?1u=8;Qc zquk8eFPw|*2bDQ!p^_j)8HIKipl6$Tj-2j~?6Oo;IY#F9=(V~mwbf?C^I z{6T#Rlj=%)2Z-Oi7GbC%L`AET5+_wa3zp%fqog(0j>-w*5D?L=&T^)__ODBafi9L7 zj;;^-6uemKh8L%Aw!7~#61tdG;KdLg)#Ka&z7V0U7{<*JD#P&>?a}>ouJlhI`d2Ic zBBi;zG^lsM7$a5YZp>(REkt=~BHyzUJWUv&h7jAq8WWFM=fu2!vCe6fQ6JK8%ThyX z!C!2gQ77Y~GtLkuPRV==XE@{FmEF!bxSx6X1joT*(OY*v4vJN)Qp5shOemDonR8{q z$XtQQzkwoU$^b^JF^efxrVOQ?AM&n387<5PHV8rUo(3T&Tdx%e@y=h!E5RPGN|Gx; z88ht%JKh0h+{ulDGM-V=6`jF9gtZjP_%x`mQ}DtDVG3bv!Cg%d#(9#FapudPD1`Ae zKa4-eP^3Sqve7L}fKz#;LKxHQ2;z|TBv?MZ1_wiBO20NlW@1b4rJ{eJ+fEFXnOr)> zwK93@L1Bj!;TU?hWnHLO0QEAbZ>vkMEv*x|#`Ha0Gp@3y?>~^S-I1N9?+jtKl`zjK zNT*ctaXw6`4S)5vOy6CJ^gJ3onZb8BGq}DB4sy@nBPE;E41P2DoX8Bmt06nv(M^cL zl>2nBZSJclx1ms+GXSNV!h0P4B1^0aLJ!Ijblso7VDJCrqzwI_dBG}bvMhUU^1&?r zMfA;j>wlLo-`GK}Ba z9hy}>PTc202NjRe7N)|xtC^!Dt9qmr@b{QFw7qhI_ynFbdU zMQkFN1YKo@MqTD|PdA$;E1b=B1jcsUbq__v$HpLBoO0I{Rp+draSz9C?`!y=AlgH3 zEpO3x!NQB^s$lNEfN>?NdUR<)y*-Fh6E$d=+WDRQ9;)x##J4wXCgZ2^U5+?z?nJf;x%AP~J)?wo+{4t7;F3IJ1gt@O# z72~QR9(=+B{L7QYck!%}Ko2dcg`T@Di(a)iccEM!#z*5!-{H5J{Z|CjUAPAGS*et=w zqRkx8L$b`jdoSH#`7bw;L;^+CqB<5voGRA7c45B?(u!8BieJ$iQXBLo`^5dYrkb!) zUq%Oh#`AQHG5Rv7GT{YrL+*1YKjwm!ELrt7o_)KAmiR8kMx()Tc4I zeU?v^wy*tT+F03W@t?D6;%YSN;-6-#_i$UoQ%OBJU@QD0y>iWGUqdL!$)3u2qD=sP zxrCH)_zTU@LM5^2?mb~PDyvd<|IWKKKLly&<6|fy^|W#o@~!v6pf`z(<8;b z$dCDEv+63*%a@5X!XHmDL#v(6yki4oe3{9Ul|4O=urTXDpCNR$3%<+nlSShT_Z(*W zhz_HeUK9gI+{)*_wu)IM#Z0$~LB-CCCGw=NGvyz?KE z$Ee|Pmv5LotPn`?kV}qxm&@Bx z{?yZD^0bJjSR9lGsz|aL)RYB*W!9IEsV~)I;=H;Z%ctsbNmxHsF23S7XHdF*$Z;*B zbMSL?55iW;=C;JXl`mVYr-$Y~U-}%k%lQ2RzhB|^kNn=v@ALfrnBN!p{Vl(uPnY*g z`3>;BWRuVRi~RnP-n^yfCQ^sL{g1~|}k z`gKahBL42^rskK<6%K$CnTll;>2sY2WefvG33Dd?hDd~fzSbOy{U%5{xd*-`i_d0O zYhO$j#8*?R<8N~<_R3l{pdI<)X3W@RF|+zlqylP~W>nyh9LJYYfuC>6$->P(cYFCB zHfH%&ct^j3;Qe-xD%1#@*NSJQI54<+R5&%o&fL6W&H#sN`l+&kq+xbdVODfFL;Sqo z5m#Z^pJ)(c`A*?ZqtE^PnBV%VB}*X0R9?-LXBVt>#D@&0xqM_keD|SbLIn9c|05ZF z-O6a=vnr#%^J9jrj4WxfO`g2JCY24~gHY&RYp39PW=>V*WD%>>1i>N*UB(~5BQmvV zY!E2`+sTBcCck+rWKulVEUNIBm=4sY+Y-%qu7sHrHx%Pr+o)!;cq?R$==pB}lk8`a z_&2Rr8+fLmp-22|_O6#g1@lQ|#Jt%V`^l7zy|~O=%I<88T#qq#qynGX^SOHe#%H`Q zSakgFFa3=7V?N{k_+!6U{!A`gd&6aC0WGp(m%La6xy-GK2%BXXp{i*VeIhda(zQao z{5oJ1=33K8J^$vd-BlV3>)7yWvNU# zFkb%O+m*+z}6INj6je!eB3^%h>LSk^gPpYFL9eF#pK_*`T;= z=c=5n5hdc&)7*XsZ%BBjlQ74faD_@3dxHekPJ)b_eqkk8qVkqEDB^cPyJYc%7MV%& zPw(b49q)%btq;eFFX+&SfiYhhBpBAa82;((SYITILnJ1z?dnbE#+0a>tj0V>^U}?H zeWjf2wpHI8(qFA6e%auxEA`-7dJsC$%t(2YcS+xoK%VUK4Y2|U2b9^#%J?KX7F^C8 zI#4+Kl|*hxe-nv=D-rrFq_?10KM&YChpz%RA{VRp)r^M6kkedU3r^#wmVb5TX5@z` zYlSQ#HWX_iHa5J%^LZ2!EM+ zQT&kfC9oSY(mtf+h}#j{B~QpNX65&R>ia%22JHOSzNqrssa_Nhapm`Sz;(J_&XwhF zBLvZ8oneLf(S;CGgb>vEj%SeGD}ScwF~y<>E(+#kOdN~Modi1-;DbGAs?5fB?-k<% zce6x`^w;zqT|3qM_Ue9zxQzND)#zx|0^NoBa5Y8I*DWAj{(-X;Y# zVrcaF!Zu7g%Q(G78r?_gVe~mg+J5gcH7W9Ru-u*w9aTMMnP22spR<;kJNYq4=mDbnIoS?$jPRc;B(f`wHaJ1?|lW*A?#MXHI# z(}2j*mJ;(Hm~T0-oCxWCtp}qhbx33T_4E*4d*W+lpU7#CdYh&d<^`*Tjt#4!z0l}J zampd~i8vA&W3m}gL7|V|%C!#`46EoA^THReHl(HK+B?P7r-;9QZ^$Zt+&_X$2U zIGbN~^w&(c`YyF}vkB{6uvxM;E?cW|iDkK|?p>guWb1NKQiPEL1?@@h z#ZSn0sypse<{~_aCzp}*K^n5lk zuD{qa6CE{*o*6|64sV|^fDeer$eibwts>ye@g)|c|J+VLBj3uM%2b(sC`@oPCb-l$ z_#upk1J{@}RLTzNtmGcY{nlkgFHhBLsM0@Q4{?Qn%kdf3^f{38$};nXImzTp6+$xE z$o&ImYE9qIA~y!yZBEodkRSfa>W;5H(On_FR3Tr|bf<(mcL~>IlyFIA366)Ew=9ZW zp0{EyHR&3wSoll%yDDLn3RXNz{P^SxWDv|Yl`EU65c1~JsuIFg-uW`j9S$lp&tiYb zBB5fL=3^#_vT>L}oh-hW0RTfn(skw$YK`!jU8-e8L)OkcRs+7YK8F5M^ei){i7T`| z33^^tX5RKdik_^^#z>Cr5Mez8JzEkt**T6%f;+$BjQsX9+*0`&#pnG} zx@MiZLA*i*{7;nW%%(}hiaPgHZ;#KkpB`X$k&p$-smT<7TwCW>QLTTV7Kq9*v371) z-xrBbU1*P2k9oDKpc=2E6{3`E6l-WY74yz)CLPJAL`?rCiK>Y#Q@N>f zmHE@0BRG+Uut}_JXUUQ3n_6=LvVcJ^VaDj7T84&q{v1AC6fwroUGGFM6y>7oPktp+ z@%Ag^{Ad{nW1tqjX1T&^iHo{AdZ{m2t*YvJJThV0%T!IRrhnWl6z*^ek1bS(bwKlQ z`C-`>klEhzK2q&fLG4~K@6GD2!N9OE>-J}$hBR3usxwGuWkn~*Mg@#8JEM%B>l8=T znkC|ltv>)qRhOA3-=E?rO!}WLm->BdjZj4)Cc-JoYuc(aw^QYImYB!}y7*Q0YCxhD z_aXXxZ^b?qN7|Fa<$JY;4|stW?k8 zH64ms{>J`=&y*%qBI}qbNw>?sSHIkM$bI}76^;p(mw@_mTg0yoCu@b9r<%{BIz_C> zWOajDkf3zx@N|X9jF>@9JepM4(436XEvL$(uN1*|LL0USd{IbMaSSTqT=NyJ>F>G8;(xr1F6fb! ziCq53`2>FY5%5>xzU;054m;3l77X*7BAiCOC#an*6De@vgYC3Z!rT0qot-Pm&B{j*QL_CRZJESLgY+*8KTN(nNbc{~npy_=x#@ z=3mnDZvv+;QMOq%^P6jCNQWEal*Fr&Qp?N&r&fM5LPpX*iy#{PL*IOjzTw=6v`f8P zdK^71v;tg$Q4OV2vtXe!;IsiT}Z+GrQT9^~$ zF06-&D%Ca!CCS*XQSA|WmRL1jS&ZC&Hk;6(GFkk3%3(;U@bUZ`WWh;`E39U7MTZOU zKlC26ka#FazZq2+KV)?rj2)Gwa8zk5UG^>MP9@t&v-o=~)_wS% zMa!7XJ497lrYuJ6n@J^GV@`mii{yDl;?&f0RoW!>Vc06s_2#CiloO!&8fkin0c6VPGyaJASe#&D z3x%e2*B_QI((S4_e!C>;Q__OZCV`q_oQ&MUO(qQ?O%GIf*aedv`i# zutS~RBE@>YBsEPIU-l9sN44bLY5&l4QFS1`)S}}HyrT9NHO$g!s`WMlpW@sJYnUGH zgzAzd7)3^fI{vH6;UJw3i_yJ$IDlA490f(PUuj#6Y?k1k9EuwMQkQ zW$aOjP+(S7zGnljJo8()77s&p0SxLV5?`cVL_g3ZIwj^6PId4Hq(tGj#nN;tRbqaDN{R7` zW=t`QMOh~D2eE&xOdR$$rD}rfs^-DofsX`N8@I|ZQ4$v~R+$>gEI0+}tLjNRDC|3< z6gLPP=x+Unbn+l6vnKJL@Z!nBcXgt!SG(?hyHxR=)Z+J~wcGimTy`PEw@zlS=1*vX zUGXw=qG4B@N-4!%pWm)6$TGjTJ6-SiPP^XB1|lt~$tx*|%e%sbmub>~3cSm6$O!L@oJVsjj>%G3WCVWO0Pbl29Wfsb%l0DdUY-Yw9tg~>if=Ni0+QGuZ8hE#QJ1irZ#f=l@L@L?rmT} zR?>ZUe~<2)!#*dMMrrP4C#_K(_JT^2KNypM1@!dGezaLSY<8-{fJqykO?6m_-C+wB zTOC$nUj4^(hkf`%Cm+VWk~l9oZLctUnTpaIL?)`{eIK_a4+vp2?W8 zR;TZN7ZMr8*YVb3z{v_8h`wW;c`BpKmRmdS6ggWwjNX$sXYxiFfYzD)w5e3YPq$vOq!)NX>eJSlzz^y*7w%*D!X^B zm9_vvQetuw#Fgg{SKnU8N$-YM8PE^ieZ$G62!W)$C|Queu%AM<-Z zwQL*~Boy5e?h(4U`b?JBzj_*xYvsE24ymrx0u4*8R2Y!5!tdzB9R0UM7pssiFvn7F zhLuunyL-|aKBq%P`U&^0C##@OBSe`5%^-KD!+cXxmwd zm9CHdRRSiEBb`}TZE-&RJ)b&`9Ba&SRY`Vv%PIu}i^Hml>qL9jUT}Mg2gPrDf(R4a ze?$V9e4-XZ&U!?AJ=d88LxW28YPPlBy_)^a?^3H-e0HT!28rc8vZpyco>TgEM$$nU z;B_)y)F4Y1U!?NbERzAltFc5bSgSFnqQs~3YH<%n3jS#x^S4xfk?0FX0UkzCIn~k{ zdr(T%c}{$HnM_KQ?Xd(sP?0itV`D^+H`1kq-eXM?BouSR_$vQula-D5h(`N|y)Sca zsF61}ObEW*rfT*Y?a=fqfWkdU)kx>OWN1FY<2@fi7S>T>$^u0C5!nBL7?a%fyQV~i_tp29bAM=AlxFnM zINV*#NjHi{Cbm`c?U(h~^(v(~fn=KU5>w`<{-(@AT6{F5->ms&4u}m+Tx|BYo)uZo ziemKOn4-k#)_3N=k7)vqU$z#1+*{fs(XqkJI6tQn$Rsj7kmkHesncwx4r~JV0iO7N0;Rus1OZ`bXs0 zfc55Y6{m|TDUNmtB2s}`A&_@)kRF4i7>tF8>&?L`(++&77ZNoAQ&@Z^*(c{PKwRQdUH2qSKY}QPf0@O}jjCPKTEEoz2pj z_$DPIG`sbp;42UKaca-i8alEGjQOnPJ!igp9+Ny$q(-gc0RT10;tzi#1A82l2y|#t z(FPOBh>U=IqdZ!^N-KiH&^okuZz;S+{?tmVv8%peMkXr|AqY$Q#yRh*;ocH*-V$Y3 z1e9-Oq7w-uv?p6>skE9=n}OY=iE6w`fBN~HZ>T7VgVvuiCE?^xm1tT-tmjrXvS&8c zVNUkLMtY*xa)`7375WLHt}%1rJt4lNfUk8weWcEycdn(s#vQl6y6GxMjHU$6vHNEW zQq`k1JXn$yWpylrdA<2NnQ`L}771|}>G22Y3K`WZV!B1q_DAYclMkhw*!eIj@&_~1 zkg#u;cm8t>2S?sU^p7 zpYh_zFU8?bW?B5w2~IADNT~UCEc0!S%(rsp11@r$CBFm1@BTfQ;==kMX-Qb+(CZjE zoR#69e4OmICr+x?zu~Da|6;i5h=dfud3daT#x}thzAdq@XvQ4RSiNwY=JUq#@hM77 z9&60r#)+-oSWkkOG2eTm`y)C#jkkrH4wJzOO}|3J2;*ytRyq8r9uX^~DfVgNY?6%C z^C;kuCiDL0XkP-OZi)6*iR1zMA$)_PS4m9qsSe)4V8g5zAFLUiT;_Nt7*~4Cd#v@% zI#avJ<~H1hlEoK5mvHi`GIEM>E`%a@0Y`{!8*5yP_#-~vscw7{KTR1>eXB)YTiutz zg`Je3o$!VmR53LICczt;KDvnBx>E1o81eOu6|lWQ-;(fO>ASX(eOMD4JA{4-PsDd} zlo?Rbq8$00s|?}E*0686Oegb&CE-c_AIPKFr(u1gxl`siRKVcBIMVM*BzOMf68bvgz&0$GJ892oPJAC-W`oc|DyH;h3Rz*m4aW;h*(xS-Iu%3u81ju-L5;Zs zN^yNPGNs95*2v0*F{oJ;1sInT_^9b1LH@*I#m=u}@iRYXOty|GQ%Tg5u{^Qd*^rU4 zT}%LtGvq2JwI5_MNr_uEUm!H^vf|a*A+uPWwo`*56)bCevN-uOQ5l^o!F?mfG#kA} z^frR;N57zkLkf=yoJHj?aJEUo*|upr!uSNJA*Sg=Z756B3Qn<`-$1pM-Z`Y7>+oX= z&jx%e96IWtKuw7|DJwBrIS0>)DV+ht%>zI#UF=rvORE9dlTPdfNCth?Yruxs)N2Q^k zptjb4C8^P>BHNnin&%dama}!V>YLWltUl&m@#3wtI9dD=uasM74I1<-NxSkF(v65) z=|}0_IxwI8Zk5UhS>6S_QK{5?&!Qv$-8fS@NF3%7NTR=K8Z;%fntW(+=hdY09}mZ` zDN6g-1Oze@9-08(QhFOR>Co=btIkT2><1TSPvO{%rZI?MD)_n!*uHiRdqJTwXw*a(EH& zm%*|<&v!xW%!m=n3j=r#n{#L>2ob>tfVx7a(>KQHkx!A6R#fR&VdYjaWIanQ6IE9k zkxx6qzMiq(_0&^_de7*7aT6_nTWdNb`xUG8o?!qJg10x9A~QtbMtsTWV6-^!VOU?4 zEME2#+4?}cT=I{D9^U3iiO8o&#-?aL_Mc)bP8ta})glX$iMW-)o#w6I_36-zR$L8Ws71ms1 z&K#c-=uDLp=pXUwTLpvtAJ*OkKFaF)|4$%+fW#*v!H6hPQ4w5HQ49v{3?wj-AVFMk zB^FJwZm1cJWs6SG3=gBU)mFP{TidFwR%->ctptz|L#mt>&uJD^E`Jw_uPBWJ@=e*&z+HR?!)kwX1_CC@`*2;qI1glQ@H<;oZE9gZH4H5 z5pqVZhWEcA?+a%J+;*^pi09y-AyeX@yNP5KjeSYF{(D)j4+6b%Vcf&R@mLwS^(M zLD0V@_6lS>sy)=3v0VEr%}#j8P2THT4>I}eko%N;SsPR%X;z=nVKAX7BjZvdG{mOI zeLXs(#M&T~crSdag#|owhP;DJ>eLqhxzvB&=|At$lg?&JFR_Ujd-6ZNM{9&qQE-D5 z-aw7;W8TUY5dhFll!;i9b{T|;YIy7sFi2uyzk-Sg z+qKe&8K?FJahJ(4 zLV-pEVq?KPN1u&KSL?le2C&Fk_USS_4EUyuBczQPlQdu~^&X+Ll!)kFvZM_jZvLfu zFm1qyQyq>z=?{x~n=}yWKr|5SS1yAkzZU({J4SA0k`O%);HW*=b?x2f!d1it&B}bTwa@&t_XP%OG_rn>qPk8d~*X8D2@aDPj2* zc?Td!Ae7;=ZUphLN5$tZ(xS6Lw<=WrR(%SP&;|=B zXf|-^YRp*ipPL2kMz2ypYAC=RFb+yH$3P~6{x^6Zn*M(c?>7+(@9lyA65j6e;FP^o z6G#-+%hFh%4c1xS-*WTs`e)yq`*OpFM%r_u`Ahgf!4%{euy^_6h&>=$ceJ+TUrTsr zUuRkV4|tKskp2`IIPS~QA9Fy>&41)CeRIl78m6P34_}D0y^7}b4WpaJ^)3q!bDm^* z+`iPP*H}LDZzgG{Ugqm%ZxZ)z+FsUBaMFN^hTef?xrwzbx@64>|5c?Gg=RggLr&#+ zW1Z$ZG!|mTU)%^{ppSEa!Mj;nWTP$h175rubj6DIrU|J;t8Cc#%&-RuL*psoFAJ{9 zG7~ycJnv^_fi>QG&DV zoV>PR9txfeP+I+j^O6Z$INp&Fe-H6M(rBWa4R)%TS5OKYs1Ha+hj;azoDqhoYKSqJ z${?!cdoU6+@`en~8B_***;}mmwgFP4fyH0{6fDMy*EMPI^Zk(hzA72Nz9}hkT+HI zVRv}!eg*z51Ly8m??n&+PA0Q2^Qte)Y)+Op=cGM!b%D3}$GJHV>i|u*)w6}a=>}nA zYs10X`FJf9h*-41`yF|NsN~sQ#FcHqlAPmOcSBo>JA*GW|Vite^FjP(uD3b1%)E*V*Rq4YxU#ehqLf$VEN0B$O}rn zk{UyeHjumQym)`UQq4<_54qg#=lw=G7PU&I3V|hg5As}NkB%ipbpfIQFHDXkHoHM) z>qzehg=sUh$V_cE{|P3)Y8V_A9BkoYNw9lT=23;pXX|I~S4-); zMt_X*qtbnwy=S2OQsi+L8A{CdZDGBgbgqY= z{{}gH**4DrdX5G3dj`;Q0ebdA185x~mF~0bx2xQzyw6>k6iB}zRUUE*cAf!^p_Hsi zBcca-8&dbx`T{3}+@F~_a`of6kbdt?K`t>rT$gkoy|i-STl|cZWm4-gS{t~~q9f6? zfH_zkT#-X2Lue5gK65L1XLZT0L8SLt?{0PCqQpWjmw48@<|tWvf`|#sv4?XzdqD;O z9}+HTe39^O6xgSaT`XFWi0^B(iHscajUP;svZnHZ}7dcAkhzy zX3r$r?~jc1HCIciW`&D%$&EyNX(G4a0n02cuhM^~hQnl(N5&y{{yS z=_3vPDRIrsbph?Sp-(!>0E@$U<;R(mtlfndfd(z_wYKG8o+Xm!=JX!$w?cGbrY$;cnq`Um}LK3Vg59D}Ux9gCMKb~dLxQFm94b!e49_M_m&YqJHnEJ68Q!D`>R2(C-MGUf zf4EsBl_sz>pI&4wmA55|b6i;3ZX8rLKkAE^WkNMW!00?AHI{lO00U!O@{LX22n&$0 zF0|3h#_tIr!=L!}46?*9niRN`6zzW1w52zCVur1B*;+x3wS+thWE(Gm(aiGj8_*6( zPZpJs=o{TZtB`iP8T)26&ML>aE=)_;A$Nh)CfpbyLuKI3J`auhEVOD@AIZmWMR=W| zZ{}`BdyoN@N0E2AgpDq(ieu+siYR$Fp|piQ!xx2?8lj~rajikep1}MUv;^^d z2AJyew-9jvWB4kHDpOH95~@4NNiHF#;*#{ltnz68W3Q{kE-0<$Wa{H>K- zvZqQe_AA-jRC1QBr1MJfw_6Qy*nvGaqxYU_=#^E&zfUpE=p10`d!H|1H1brS+v#0V zl^L4P8T5-SNXX2Zu~H8QBo;o@@aso6vy7kw^?piukh2aiW~%A%ZdpVO({!PED!-Ow zF2qi{7Nv$-BedC-05EEpW9+%K1XssqGtrH~e3|!4S|On!TsNXf>4+zkRI_qPo1{tM z%aT|RH+ne+QCmVVzxf7^HKI0isJb@gEWq+V$oBhL!Mzc`a`VSj!4NR&Z|q*Wv`)g{ zl(Mw2-oRL9Ej2Kw_PYqX0jBmO^h>N#XmnT>&Elkuf!QxgKR?sIx=vd>5J*!#mu-z> z?9Kk`iq@$jtQFeAZ0SrX{+5wj>yq4}=qI-%@7{j_U*>~*4*oq}!XK|gy$3sS5RNt! zye=?650>TIu4ZE-?QUZc%tdQRXg9c2v$D4gJ@Rwz4HzpW8ffS+i&jv1sNogAzEL9v zUh(2_cF*pe$BRbFnGubc4sVKiCP$3DkB?3(&loIP<~iE3YDXUS0gIknFv>6|)o6R7 zICu>JH`4VqFU8%!NJ+F1hB`OV0B*%f|1;w;t9;|FDXaWrrFSpEf~z_Th`30OQb)Rc z3a^f|VhbalCh>IVGm@AmtI50{>r8aLX{K|wMtzoU6R4_{s#*_j(2A(Wx}(T!Nu=ISZKf5P!r<}%?Sd>Sj|oujH( zy_Z+h+e?XbbwCFFGiqNv8Mb>LLU60y*G*zB{P9$Gcw|=UG9z9%3o5e2fb0#<0LI+S zh$Ihd5=M&A{QFYpI`0i@-QcrUXQf%I-`^-S9v+HbSRjm5_x{G%gSx)z&Ub_`(;hR- z9A9!KeOQKm+F2$K`Te+WyoLLZa4o$Wfi#I0zMnaZ)8i8@*l*?_hG?lSXbbk!2*-dh z*q;|2jSiN!1@~f1t_nq`F#vSzd5&6lN2~|e#k7i(|Axni>F zGOZw<=iE;cwfOn1!YSo^R@FBdFgSKTh1k$tp;4xX*be0u3%l1ul zwCvS_El?|J3*;Rih7x#`{A%G1*b}76CzrAEYp2izNAKwBIpBFp2xSKsk&a1L%Tgm~ zY$ZdTWqUcxiYULJWnT)X3YCv7@0`v&{aw_`r9@w@(4ebysUS`hBR1)$8r!J|h-JAMDM09kT`xO<7 zHd&I@T`=>4tcjrZLI$$z?UPnnA@}N1M6Fy|#_hGa^n29gMBNA*vbvjoTlj>K8+kPY(BBzKw8J9m+`3Rb zwdlFV%R}y^rJ;%!=g*?RP~urFCG0`YWb=+QpHCnK^Nuz1-M}vowrTiHqZ#IgRg`3wCW-50hZ(AvRvJcVt-?EB7stT4hH0e>A$8RKw5js?Qlzc( zk6LQ#L)et6kCExuceSYxd2=VHB1-20lw5Jq8y%*Q^3I!*NXmGc@nx|VVEHOlwZQpLsJ@b!?-3 z3##n8?atz`gSE%3K>{Ud(gp?#l$fPk%}o@Knq7B$=25tiM{tD}DDU=5%n7fkJ&8tw>c5`f?Ws24#KMyMe)}0Kpe6Y6}d?fH8Bx5f4XH*^*gCxA^*K zZMX7j)9v3Aeh=Z=nQZ)iUie?*Hv?9|AHk6k`p;CGy2ID4KWKmdR9fZtPZ15Quc+-m ze?Z7tiP74CRm7kZP9^jUwfk+>jMikf@0)BFnQS6v%IlufQ|GqSo6g;89))l72(GAt z_4Jm{GM)P-A*s%tevR#1hJPtNJYr2fSB#mL%;u?olYn%CAD=@#!Jkg=7{L1(z+-u< zdPq!7nm_FWvgQx{osB;RND58BLwvgb1z$8BZO4E1d>f(hJuuvTJp9)AZ^lE9`}LH5 z(z3p2obwwNH*2d4hCNLJ)| zhSsb)%e@+RQW^D+^6RhF=5YkpW-#*H5QeN${&41K&kd8ChU!;XxMvU@pn|ti=|D9b zsB{D->z{$gNTLGTj|-mJf@hB4X$%^8aBr1D$j`5}2&of5)c|DOxEYwrYcSMVO793P zXt0$~N$>ymX9A?KX&}U1%2xit@Y9I zgEM#THok=TqGWtYc6{#W_4UzEas>b%<~w<)T<{fiYyS)`B*=t!O9s3>&*!A?2=O+L z-y?eQ_MD7~%&q`t&8Sp|j_cl`A&oq3mU^7Q5|zn9mKoI#1KCnze^Y^P7I+GYUK{^(6OZEdGb{NzD}mpe5M&?E6B_U@e#Dg z@+K76@;<)u|E9d3aKKye{p$ayyhD$$|OL&vBM78GaA>>!J5$ zBK~5z?MeW+EG}l<+F0XqBjyX$h`-NZ1NUD5&kSgkgSjRZ$KtS?U%(#d0V|;2e*sGi zUb@`&pIKB*{{@T)SWFsfKnOs)_qR}H|Cx2PM~bl9cyX3xj+c9Y)2sLEGvno;^msuK z+NKH_Gwt4ltP1xyVD_NjppB@Gd|LPAWvTIEnm9DGYXW{N1K#FI|1HWh_!-O38s$41 z=k(}G3QGItu?t^b97GhdiY%Wn2)K2OE60>kH~YncyCPlvoS*K-+iMP| z_Gc0tZ#7piO$e}o4~%|}5AM={Gx~)_YfbbQE%sqKq+i^_m)extiW!n%@Cy@$3_}7- z$rl{vCfQ1bCdWvU_US1)2FK66x6xn`zk&Qp_zi9e7Pka5Hlltelb(2FmL@%0 z?JPDb*&oI0cY{C3@kmREb}n<1oCrKg??|DK>aRERb0}5;#+?5$Tmu zj-|Y5JdYp-evSiu3=_#ygXdqc##tWhuYnI4kTcscNN!kuWB&EV z-{TZSkh=s-VSU9GPV+k}k?D+M``F=qszY1Q(((Fun-65^)uYzx#A~v@p&7Zz({n3F ztq%9q1uV~nKSF&Hc7o2zr*Ix%ZcR8Q(N^zP^{-E?p^AA+0F_>=Rj)zY0MlH1l?ET% zvCh{s@vHvmhF3p2HQv+seRsA-0G2bRqhHcj#;6urEGalnq@aBNhH*ktnYq6Xd^Eor z-rsrBNfcjOr{heo!mn1n!7Od!&ii*3Bi16VVD_iKLQ)?|m@=O}{pnGjT{ZgSnptmg zX=gB2l^b+9fc^0l_uq@wFSs;~-)eX0r|MeWjLFS}xIU3t%U7Fwe+I=Ut6wUEk;9!Q z5iPEs*()dDEK4kEU6IS^cdEJ;Pk@H3frh+7s)pX&-Hon=8`tMOfK6^+lL|59tc_kL z_R7`ne=1u>Ae7W)WVh2Jn;b=<4YGKa$~L5rW^<}}N6s#`pjz6V8qg&962LRv+)Qgo}#{e{fFns>4BFC0n!e^M^*S=e{FzJ0e#e?gG%ycF#K6&stUS z(fn?u4)vo@`f!!CJU}d&qCKN*fwLs(W@u%(eMof?(4e*Z);XUw@Qd#)aZOLzoVTmt{>-FUi@ znk*(HB{ew^qedYpWpyxw;$38OsvVUQD7SkHZDwq}3g11;WFAcB zb8O}xn9LvenTOlVm!va~H7%LkSf9HPJO3C)AFx-HV-XiU7);UM|H5WGAN8E$I&^ zR=D*{1Jf(E+)f_B728a?|MCvBg}zUqkHZQ|f@_$Bt0rmP+ z1JoM?`bPx5KF>f@pIGP3A%8tLJuJbww;EV$3tD9hQhC7@Ur>+=at?Edt4V*!Ua}fDT~Jk_#D#T6x6!fEw^4(Fx3kb_}JyGkviauO-P`7C+^g>nM8Sr>Yr{ zRou7UGf!nmiO*K;a$?Itml>yTWRBA+J5GmMGz~x9py@F)5DMFP_~Z1l8iS^%2uY!- zFB1lZlsEJJ6hF4XJDm?^arLOD{#$-*ZIT}wD}HPWqpE5k^H>8*v$)zv-nX-6Yf`M4 zL80YuwTk}eVVd2BhdawW!+=Wr87zf=js}MkI-(^wGJ4~^LQeF?`|RTZIel-nY9`AHZQjd zR_kPJw_0j@!zOKbxHNcDYr|2_vg8(RTUATtz>)Qd@gq`MgNaquiPe>hP3G{^)w5Q$ zRThoR$y`bO^;P_+Sx?1=mZp3wxcMJ8vNIjc>4;_{xp0=>fP;f`MzY+7VhF9B<)2C) z%EjW#VCz=5aF+=T6np)Nr9jakp1f(t(G7by?HJY2Z{5V91t6$%AJN*xIzIdHSsz_M zJ2!e;ASb$)-2Iw{p4QXWbRgT(6WEr1r-yB6YDaqgzD8;Kz;sT{-mGqZc;C)LtS1Hm z92&I+`klPraxVjIee_Bou%a2A-CuCNJxHse#6j8;4$_wH>14XapTECyR!*a!U@3zF z($Nbpw7{@Y?46pnmoCU}+P+}H(E7v#_0VKePAFYGAeU5cCsV=RZdAOpeEJCI$yJfo zS41kyB3)-Yw|osWTum1IHEQ5Hon_5^8)xO`xJzyc@CfzpNW5kEUy+$Ik(u+>_&3j` zzqwGBA)Ee2R+;q;>CgJk%l_8fe;zYC&YUmWN|ZYR5lXr=#M4>15vON;bNVFf8^u)C zcTu-*ZuiNIujlLuqV=ciqtn6M2Je2%dYxqxMuuW@M>1f}+tYv<&wx4QTL(-jf{Brd z;>Kx=n21Kq3Tru(ZW@|~EKrvI?tA$;FJ6?N^B%twc%Q{@I=^Cmhw=Ll;rTox{I2Eq z6Mm2K%PGw5RoFYPcVWiA{K7tkeKWpydo3vJw_8|dK>xi4*io4NYsX{LSfJk8FaUB0 z0kVU_gCk8u={Yk*k~z%&(3iIx3JP^9Aa9cRF|Z5la9Xzx`pnkv?T`~Nyx|FF8&QYm z3RKYuLm1qC>aX5PCfhrm3<4RwaVhC*Tvypx{#RFzomRSFdX4)^(jG8aUJY5SbO*Hu zBRlqO7{=d$4g2#qH@si9YwD?vtm#!>6|L;Ot23`Ym}vEj(<^yft1E(~^On`P5!F#W zwzh7;v>NxhWch(c=HSzn?f}Z)ZpzR$E1=o!6sb@r}LtoBRd zjhuL_3)Ib9p*7yjwrq7A_9d6&%1iPQE|tQTWb6-0om-Eh|AlCTSo84hj%`z*CU)4> zKbKj@POYBl{dUN`kgff=iX5l;17@L{SCMFsbPaKC(M|TDJA>|$=7)cfpHtoYnZ#Q# zau=LxmhoR(cJnWW-TV|%>)l&v_{Il)Ms6*9csxQ8%K6t9`*0J5}L^38rDcR0MfGf~}5op_ua2+B`q9d46m1S)`lQz%K{5=1H zdynHhNqHvNJWFhzUzt4nD$in@=NEpSKPk_pHjiWTEVX%lWAf~$JZITFcl&v+SDq7W zo>wOsY}{`1{NCg_KzRR(s=E;k7nTR(lRr zo+oUcWP4tP&5z$@^L)35dX7+@o3rcrqw-v0^X%0_dqyeG={AoU&kVe4mFGJ)&&v}H z4(_x#(EJImI97QMvU&cf2=aVad3K8a#R`9C^O$iQE7Uv^1ylT=ex{Sj zlp%_@bUh@5sFO%iCe2s&~VJQG{^h&3Jrk+m(J3#SW^={0GxKSy32$I zE9UAt+UOm8IxBm0Cht_=Hfe_0)O1_ud;qFOKi3wVkyAN#S^=7!X|t=`)eFW{yU$H= zpZ3o)1p_S0Itf}89Rvo0n24{+t6_2N;sd<^FO?O+f_X1ynnfg=_pSDt$lR*~)oxrj z&Vfnf?fZH4(~R^z3N5bT8Oh87yIR z%|8SeYXSCuG-+B%FfwjwPJ;tO2R~OIrNxZD_j(+Ez{>uku?Td`5jvdZgKEiv1bo^M zj%YQa(Tt7H#kRV-qPlec{uBx0SUaTJ4VIJqX>pm+P+4bQRdhyK&<&I!Q>+~lY2Bq% z$49zKoLhd(c&gzZlBL?+aW#%^+p9Tkvt~~* zBi01l0%LLkr?X8}@1Bqnlo{yCdw_V{7i;R5esNWP&SU&K_;vC7gkPNB2;j=e?UVY~ zt52WadFCs>Pp{0*R)0(WXZ9Cvu*~S>@%}rQjvi=kXy4%dg3Z4>p{!zE7^Aw~d1~cH zKEeGC5>1qe33l_R&Q6IYN(ph6Up+*9VoKau4 zmP+^IpC8K7|Byt{DaFzLHCA!t1N#Z9mr4ykpcH;$RO8ril-5VD9fD%4tul8Plo)|S zqWJ7dG8$hpTtG^^Fs#Ok7t{Cdc~Jl;DYK9<(N=LSBzpDh6m{k ztFv-C%JfiUXkFfBt!#d?zhcL2l7?T@s+_5zH~Srb|G1B~Jli?5&=_)WY9V`D@Equ0 za87;215JE7F$_NlFl!nX3(1Yp?AVS(QlINA*WnJF+IcroX=Gs;8=?Klm5i@+CzS;w zUA>%UU4R>m1rm|2y`5Wr%ZJ?^eRHk9J0evd$DB^GrTl>;KC+ImMfVB67uR-=ltoVS z&AinwRoaFHe!2v#2?0gnc;{t!#Uou_!}m;z^ZgXB+Z1`EsJ1BvGz3hFll&BawKYY504nYXoiu;-X z)>+cQAUC~jxTe5jY)H)^44-?Nxklz5sgI@if|~jK#`@0>ud(0BaK-6&p8rgK58^vH zfXw*Re6&hz^|#v(iwsuN!!wKtUfhyM$o>40Y8En^j%qdU#QNys_a7VLgi0-OU@Xxp>;R5gFF4p z(yJ!RH0zriRT>r4qfJjTt)6E5n*$^PG!`M7Q(}BF7b6Z%6tz|5!uDK_b({{`am$Od za>@vyu~ulD5pu(&5)0JDZb&#!p8p-GXKp#kaMUZlYdGrlJc26@h30x2k7sW{E`0Ci zuO@hk`%F?uPk|#SrqnxE8&_8NIt>Pjr=sp4WHcb;`=|6>g4QyksKH+5Yg~fTzi=6+ zmm;VYL%Pm*vBespp@7?~+(|<_K~abIpAV5OO&S{M8t5##pN+26mgYVgKa-K8#ik-` zn=oE9kpqOu1U{?0Tamv_7MbTXOJ7Z0k*<7ak!Xk#=Tmx#^k(BNhTYbYpk*<9k z_K$QOz(qo_;*U=e>)YX-NxS01dH{?|d!g26BTQT}yoq=3?+0)Y{bB41ywR(+!%N)cei*io>zHRh~VTdv-*Dw!%0RA z$j|d3{{>`vX)4djJ9j1GXS0ju^6jugvh)39_P!hIs6;aLO56!Y77p#Bt8a^E`iT%J zBsy`P)Zx8|>QvOMh}et#*zaW3^!zu}^f9=OboF)?y$WhlNM{Onc)in&6r#uZg?t2Q zjV}|KPj#>zHbW?Z1rtL@41y|U8l*GV{6Q#IIh+Z>5{jmzCN_z_C_b`6L*MU`P>Jyk zkZ#=fxh+#LIDRYC#&=G(J$)Gf*fxGfHznKno*z4f*fcgj{hBuRGi@w1!{rS>+2Lx% zHX!ca3M<;~@a|CA3x^hPWdm^BV!!q^WvuqgcpLH^|1)K{ci*eOtjim{ou66A&$K!A zG2Qd9pW|V2bk0|SW3q}Lkt|;A{F9$JqQtfC&s28B^9vP~EN8Z&uxi`r-Rf8OKAk00 zl#=QRzku;dr6F{`a80G(At33G7k|t>-!t8VJ!z7TeTEZ@k=ScH&G!IP$o&_Vh2utH zXLvN15GU54;qkw8G?jDJs64J)>O8p_p}|dKb)s!kg+0->7x9aU4!_-bo;az1_YxgN zx77>}c^mXv={(t+V+a60K&>%pKKJC_@C<2QH+q+F$%3Du{|-NIz)Ni3mB8~|pW|AS z-tX9&ee3a*XOi~78Aom`>E@KIvrOke*l`@#bVFW_>B`6Ond^BRWQJR^U!Hv~hzs6hbLA4`TwnP9Eu1yr_VN zSweE1HzkS8+1_});wnhfb(fj0a%Xn=2kM1Q#;8^qKI*LjZ)|$u0x&T&y)xTt=<<7| z&byS?_y%Yi=CF^SsP3FQ@^Pxq`Qi^@zuK4RI6vbCz53PuB~|Tz-p{T!oLTLRbhSG% zT`&dJd7twdKf_cz9j&IppjY^bz}?_IhH@K3^=)8)?2cQ`TjaF$rgwhQ%A3+3W=XQs z95V7ud{^ZH4Pqw94?i#}H=N**@ll=SD1|@DJezkY>$m z@9J+%Go3}!tH%c$-fe?-N)mjpyYpO4oqm27bkCdKy2A&-%pF{^C9M@18;Uh#yZ1XXT{+ zTpi;qd653b_746P~NTrT`|c=!LCun!4qTG$&Y4VZn|kGYMQ>=w;` z(;&j|h6N3WsWqjvrfrgDXLgH3DC68AoAXzqI8Q{2Lhfy?gk})hbvf$x_~m}>;S$>F zEE+C=@i*>+Q2-d-OD`f@+a!!fP#?sPwhfx$r{4lE7T@0#nQt3(mLKyZF#w@C&^9R_ zxcHx34VX7TwmX0dr~3-x>!3MJ3n{Tr(?U)Y2vG<5<<_Um%_@@|J(j6(0LY17%Nyl< zA~Fn;4ie-T+F~{_DW6qfNYlbTjCfKE@N4=8RxExpDMVVN5-Ok6$60igiXs1%47f^n z=Fni|>)eJxRmd#_rRSI4N?tlEzROUg;3;P1ON99Vbn9C!cB=0} zh^GMgvvOypVegTW*0%y@djFA@)@Ki`L*6h$3ofrOd{b6o>vGHrFsVslV8pz7Uj*gd3X4E4^dtnetX7SPEHIwe>uDfv{JD;(k)?`e(TD z4?efmc&n8vdOgzu>mGAXUL_*4%2NE<`hC_VV~o)}6rMU+&)C6(l?Nxo z*a_XZs)auEia&wxu4Y5OR9}7dg$?|a=%4LDNZeKtXHtHBgr?_<`|e4l3SZN28+_1q1@0;*cAl6PoN7|mdbIYs zT+nI8766!0nlKy6Mz?Yh7i|X?mkBN_+uqB$880L`=&TqxVs+{IItleh)bLr#AUi#W zGvBps6K3QDwWUG6E(ZBdwlJnpw`+83vb>s#xD#0?6p`XOQXmDdI__OrVvj%@dhL*w zn&HzF_k(wdn~RkGRGn8uEdd`pZ=g;j-uk5w#?GSoK=B{3;tuU_V}&`$2)tRX61GpS z;}EhJ#0zgII=2qMFs^+!JW=KL5>zN1hwudb$0_WSw+Ty?<53*$hP{%EqP{#XT~u{q zZPVNtEM)t!b@(7O`sEA$paDtWGExV-Pt|vdWV1krY=dMObZUR2Sy(^g(&r8vYZMOnse|*B&4I z8|orp)#mAsvLs(nm5)x0om3kAo@_t&yrqi)=LIftJo?5 z=LUz1bafonXoJ_i8P1}25Qq9De+3&WIGsf?0^{rXV^5jKijUG>GFEsd4=?A*^bmUk z-{Q$37XK9)P{rUCL4B1WeMf(hdEVUmorjb*_Hf=Dw|kp{ERG}F8I#glbG_xbRuW@%n{jzezZARXl@t{MQ-On{;ZocRMf9+QeT|=%!C;H zOglam{4s}G&F&4<@4nT8im6#PamLor4{tTm8R2~QnBImXFMq)@*ivhm?e~)#WlB9M zx8-InV1^679a=KQ=)Uj@ViAajrfBh+&R+JgX&{Sl?(P(%hM@)js72M>BAwNZ}5J^EM$Yk(7i>iHU6Agy3L^JzI2oP zg^^}3@2z#dD03`ve8-G$U$ApQ){NF%D$Tq<+jsEm=Kp+B-{g6UCaSMG?m14CE}h{1CQ z+-N9R@wyYc2eAzXU;AAtg}Q11MQ4`R%vv+v&0Fmo5-(Ax42dHT|4dS%4GB|IV|_64 zkKs*3<}SFLp?~)wF!|C>K}U|rXM^f21Gdg-!N20CR&SFMYy9}otgl1vpdDV0k}W2# z3Yqw!9L!=3>M9r*#@?BbPZ_e zXT+y75WTpSPZt=O=43w1`aa&gU<5GMyrAbdmLaj?qv89LP+zTTbdBR7pd{~#9TqmA z22)Gkh4ja-CO7?H+7oYJ(XMgNEFHamO2z8=&z}|>uo`=zpqq=#<_3^h z@dP5dg1|>aVvQM5-bJZoQOYodHSv<{MDAu(Hco2yrTlw&_l`~GV5FKd;!_2VZA!oJ zxixN8X<`lTKh@S&hM$3L&}z5Wo^aMDiL*Z8e&Ebmas^ouxVYe=2vf+sHSu!^lE!pm z_u`*p0&^d@Ek2x=Sk+lXXN31ktT#nHN_19HFURjOIf`FBJcX=aqRpGp%SUj$$;PkD zjNj;$r{e2u{O{87-T_2v$kI8C`y}!-{Bwx`d+rZ=d(l-$yul#zal zo7a^n?kMe*6ThFShGl04Q#_ zB7+XX6%?;LFpa5UWM}7P716w`OFRv!IEGZ4N!5u=d@xtEvmzBF+MC7|m13}iEH$Hm zHxg1)Eg7yZl|k6vhiei0rEyyB-zk%Fw|gOeG=}9A|2RMAKYVKD;Z9es}&9nG-*~Pxix-aXmd7$(zNP`X4>$dy!HgW+;AI)SO`* z@LHq^w4>=~_o!ti^z&W2lD+)LRMOW8#0N~p-NL~9G4)G>kL0okU86A?5JU72baj{k zWQE;FnDO0Va?Qgh9JO+v=`hAN9Du29_-n!}yo*jHvoa@z7REo2;Ya*MIg24N>@WM* zZT`VqUt00~*UgSSW`q^z>O-zFq7NFjAy&L&uv#9|bo6T2>wyf<2AK?F395`Xn9N+z`MrqDbb^bhuoMc8{XA8szMKx8#LaJ(G~ql zQPu8%;k$DpYsHBeZxeG;5)Q^qMn|fJCB{!eX%p1d} z;Eh_W=__zuh&{r4W6_)_z6&Wr{3&>C%vOy5lO0x85xd$*FH*7)JOagPa{GFRl?omN zUs!sy>tL+z^T15HB@gq5)u8zcmeJV61jfRPS{o0x`d!ZV?mzC>hJDAK-{6cprlEj~ zg>rBBu(LPIcQrgZW4p{7A*D5Uhzo~r%zfRH|~wp;P#-uiz~@wPh!ZZVX{d-e|UP%#(O}o?JbId2%;`!X&84d2(sD zd9oJZm?t~g44b1&f;;ck?j9}t^wih$z&BZ-c{tgSGD!&7$ zJEK*)i%c26d4aieBVn35qm5`s)8;+)O$a!CI+AfZ_D}veol@uRcaVnZd?rnmoin}> zeYN|IOz7*4|DI?T5@P%+bel-{o0Mm7m!7(W)j-`5_cvDb3 z`al|rA03zph3S;zv&uc(FL!^+wWH7gD2$E(%8c{1^7qsY-Vxph&l`AsAh+@*AfEwC zsUgTkAQN-p$IA2e_lfj>It!HQ52Omk&dN;9YAV!t$G0%?>FQmpVdVumCR1+x6#Wuu0|o!KUK52y7zqgE_eKf$`c!v7 zJW+hlKy9oxX%t-n0@;$YJDND@vQeE@>@U2j$dn2y!-_vKZfKa4UCVB zdtgx5!3xxxk9|p+&I72Z$kxzjZ*I)k#QoOFw3W!j8o$A=ZLDjXNy8?e!{%J}MAfq> zI$6NZeS8q@Kz-?+6ygPnw~X(g`?8O$U}D|qgpWl^+&+U6Utz3=W<71Yy&Z>S-XW%p zEx4yiChei!uVZ9m+Ks*tuy;e?kMfB)!qjx{b_pOf96EA|1j&%<4Bxyypj8g=xnh74vJ57 zo*Zx-Hrl=5Ebcylr4BDi#jg}{v5ER{Z{`Q5N}l&h&1f;x#q?SZ-}F^AMiFMl^-%LQ zx9zE=hXQ+NUmpP|y`Mrp^Arn)v&7z)>91aP<-5>W`><19`otgdbKbx2zyB4GUcUi< zv_IuNoL_4FOy4!jhV#acwjg5GU3BJlKaMWmer!q$&`Ol(J=g4b+LwPOF-2Vn`F;ST ziiFkHxc3eZ@nKHNDW=ws386R$x^J+#FGnB7gdFr|Mp<+s9@UVkmj|0ZU^V*3@EIfz ze7P<++<(!>4Sf>NR!7zrxa%Ts_6mx>OaXB9oiuR#S6p*ZYQC8*XL==z{2e(WtZkaeJ@fTwr= zq@9V!3p7lw$gB%Z1~jAH`#H-5+W}kCn==2IeV36+^edk@D4aJMTm6S~DF1Q16VeQE{=?Ay4(~Tbxm@S-Wy9d61%q=V%U)=E+EH`bpKvl7C3L*9H!!& zJaL^S_-KOF;k_$c5aF1h2cRj^`OmQxQ(>aR&95X+w6C))|GT`jOya&WNhBsd4Ug;9 zo%}V^nW=phS?NMza8F6kRR{I(&f2T zlID2601$r{V|ON&rsWIDTo!5kCMP^fNmz)U^+HM#*^sNzFJcTx0dLOpy(} zvf49&va{RMOYPaInnT_l6sPu_W&wGLPiZ^n*mnG-SF#68`!P5 z={E|Q-4`#JFb%fE4c^;(OTf{+IXm(Ylbmdj{A{B58@`ND5PKl+<@>%i^x%Fnn(A|-~1Tz{wpz#F}wX@gJxFj_*SBQ5rcq9Ng!T-pa+y|okAlquMjld_>`8dlz8aE3>9~v+xk|b1qoT=Jz#T} zXiJF0Opwr)!jy6P{fyl?t1T_-$O{FRd&@2Bv-vV$(6n8waFVF`DWY2ZyJl=6fJ(QvE@;? zAy4~FN#14jaw*lq&L~G`e~mHwE-Qi!2WuHL_5{4KHmVyM*~><&kd#yvV0wp7Q2yYx zB3f0VKZAoURmJ?wlDL;%ygP7>qjD=kx=ZtnMaG^`5x$~7r{QERp>uK?POud}+dl&xxVKQR z!HG914^!wK)@3cA{yKV+&2(pGrh{dbWZNR!p&6ptGnDrX!wo0%nzhv!2|{~)w0C%$ z%(~CoDqvHK>&RcI)()?dgPL0VXDg;8*fgId7VD!9ue#JMvH8fTGS=8fDNJi@A=yZ* zMYbL*ZL`Q;zzZX3lNd}bu<%fs3+(m={CBKpi(F~f*8%Kl6RYt3S+VY#Pu(4r?rO8X zE*btXh)EP5+#BDa&ExbTI``|H%&=#oko#aXJ@edI=6~oRU@~6-!>G6 zk;(%72Jha%r-;hFsV=Eim;8nUzf0x%zAi=f?IH_0p7GvMY-D3D3{b#d&}zMhuwBkv zl+68%g^~BoDqHR)j4}t;u&)s#WhH8nz|9dNi#% z%TUhb+B-D0_Fnj?dfaxei1~!he8c|Q(SHKV)cHGDBv-`kGBwlGT{wq__q%_aWy&Z< z5WE*(tH397#B4q5>4JBIs#n~K5P8>Zio9~dP;F+Ug*!sb{yolq^L{zhww*FljQS91* zw)j0I<@^T^Z`$WcrtJ%TUbE|)ET&Dmkwozz3Jg9ermZ^iOrn0N_%)+7N%CvVTk&fO z>yckWl8f;^KJ0o4vTbR8O*srPd;Du+48PWJmgU#ZVj@icN?>EPB(Tr2V1Hk5{`@na zUuz@cB89Bj`HkV%Y>6Aai)oFOZE5{FUcBF&5DeZ(vp9thwcOiUkS{^yTJ0#A@B@59&9%_I zrQ-D*%$W^e4hMo+Cc|3Sg4?@YuiG)q3RVlClduGx)nK zO9?dN=EjLpLCy@UgeFj}_uYT6EJ+gKEdQix(Z^2nC+b!6FRAaUHg~<$3@;%~<#6L} zzryp~lCSOE4x?V}<_!5L6QPbvle={0X zaX{L9I?Zo0qTRqZl~FiXUK8zbsm;7#s}g(|FVjAkWb!uta~J+dB3+4wsY|WWktA^8 zCi1dgV%N~b1l`Hs#3uCel@!q>do1qAWO3oYGD!YfYRicbsw$31)qtqg)X!o`y6BOhH?vC3J3 z9*Kyx&hoXvinY#7rF??XHxNcw4#y)umvQQfkWFCrb0=o(1eW5gzrNy?`THS_Yq$RT z)#H`i2@vxg_W%3~b$Qr!`C$g0!ZAF&w?0mG`H6hmE}w5Ujvd~6x0o)s%`14x3`2Z} z_o_Kg!F**= z>nwcK`nlTZ-9W)j3ufdrjB!>@oL$isKIRGdbMUj#dlJanCeFZ9{FNnb%|`#2t8F3C z2Eh2KfYSV`(>#V}(?3iCChHKs>b0FD9RkTASy}cYQe_YPiy^TM$JM(R4)O8n?R?0L zE3cSHIJV{wf#iuIADS|tiEqgaIY=RGgs8w(%B$7(F#?(voP$2ad(ba$El+WP|0JqD zdf|}xkCe$-c_FSJmJGj-3cSw|IW5KN!Q9j_5xezJIerSa4q!9FWdREYB{ozi+LM?? z!Ln*V__$Am!ua^(% z5FgZ4;wg9UP3%x#hGzO*`MSxp(OdhV@Ye3deq`GzdmYKAPFFqm5y_%4u|#r8_ADdB zo2bqDfOF=^G;#|gdn>${hqrvOX8soRuXWxXJC*LjI)*`R{KtZc63^;ZVzQ7^Y#|F( z$Tb;-)MgffuU1pYJ{D&Dzkh+7^wY{KR?VATF>&0&S;ljfoktUEL(xI)PZR^Jdej`r zbEIIggi^$jCpf#9dW!T7iyloux@=kK=WlfE?eNINLZh7H(?YiQ|%J0TE@| zA@zir^;U2;M2-4YG>w_L-(+B>kv_>TZ-hjfK61abMVzQ2&dn&IJhKSSHDYJHw~X6| zglG93{Efs&i~?GF8ocpDB;t9S1^wabhmC(S)1}6>Bd>;dZ!y+`8Vav{jo}H3e)>O| zir8PiYGa=yc6U4v^6~6cy|`x-A0`wh`HOEwb>}65^n?uXG}4a5g&AzcxjeinEopp? z5=j0?>l{T}l(^C+zC?*jGZOFGkxrbNW_Lfr!f(k_{9#5J7ndzxVBJz~^!{qFu+jVZ z17HA&z&s;gh%Dd)-qofuFtvcV8t(K;%fJ20XmZlW@3@SnAz9{yewh<(nc+t|4~ML( z$b)=&DIc5)^*i%ek}Echqq>dWK7OWZX+LjQKf7HVZ=}(~thlpQ!p%{)q%qVlv(a$1 z+qX05{PwmodZ5IM&;%wKs(>leh|X&1rv=bDN$UIkRcj_oL=C=Rf;uA7-&wSPo`>9Z zmFO^D2%SXUc7vZpM=0VIM9NFT|A{1W7Yu~)huCd`eNa&wIY_IfOq2zLCL#BpNM2~& ziAjJJpgDKiKKx95c>c|5PM0AqCjmZ|9)`4Zeplt}!gip>ZBNch6{SHSr+18)9O2`D zi~_cIr3+L$!(rXP)q2$>6;nASJ^$E{JIS1o4&gsn_SpDA&Q1D3_HJ8j1+Q4IUo|AI zZkIdH<67gNOS%ubQkhL!e zJe#dzH;1epUcH|bf2?i?ii_(@ya|7>WCiMo|2TbcPVzLKXdgKhK8b0CKNp)Q%+-^V z=1};v++uYU$1Kf(OK!ugEWdt+vq+c1DfKp_>xQ9ujQ~ak6lp)NApN&6A zEd4d6p+A35bedo0n-i6AgUJC?q7Y~0RJwkW4x7Vg%X1Li%2W+!@hWEx zf?NkgiJWAPnopX&TWk6w@p_sL>hNaa8mRLq|KlYP)j13ll;9uAE>0a@kQ7kTnK&YN zHQ?OQW(Svia>h|kcuGb>z;PBe30bu3Yb$waxK`kXukbqc&4BDp z-3-Vgwz-;g-lI>Nsa0c=p^NMAR{1Hn@+9SP3VTptvErA$Hj<1_c?jZ{7p5V8?DI6l zyP>2{!^NijGgQ_^e)%_;^1}gjC6va_>`Fz#Rg6^16aAD^ZAx~dFy4kxOe*n)*p%Uy zOn)@*%V8|$Rb#SUd(loqg~}Z1KmMPj=v9g{Bl1O+XHH;9wiRNLkgaL0m6t_uuw#sF zGS8-n2G_W+$y-gGwzsuxkiqpmbXMK> z5SFU@PMcu&y5D@;)V=I6_jZdqu!D_Fv zWv=c&m^*vy^nwK_Y&xpluZWbiO(jhTppL^LxH3Af4_}=2YUBEYdAVJDaQy)<71Imm zy=;Vf8@!>M9b+=GD)zP^p(w5%Z0o7$96slGKl{YY<#L>J&PK8YqlyT2=%=b}a$TZ$ zPCQrM`7VPTdX%Fp3vCPReZQT18BOd4uN8*^mF071IL*UYo+``D!6|2v%>R=|reY_r zezR9kr=_0A2;R5bX{q)KO^YlaGa>iIL~TJQy3mgsbT90gWQWdN`MIGp3wZ=rOhO9p z{qhw^O{{o6A($j+Uv|<5-&hA-2irV9w0T^Ur&@Vt+dNT4kf9$LD$C~$Zwyz-2;mhh zVW{z)f0-JW*xbJ|xu=pF=Gr^L7I4N#s?^wHSG_Na*Ws=E7$(4s3!(UNzI=H)xA+L-f>$~F4Jh;LZKIxoBLk{Oe z%=T^WhlsST2K%K>z%Y~r0%|P5etMlSzNNF5Jn(PuCfy)635XLps-N6iaNej5H$`Y6 zw?aYvqlZvCRb_Rm(gQ?{%kK>M;<|e$<6C;s-Tiejz60Jqq|{!5Lh#hp+vfY$`(6@= zwm8rF@Gf;+&-cCbc%DLaCu)ATd%s3%1yWj#)xsKe zNl1;Y6^I!`Eu(#=zJREE>L3yL*=}pOK($!g3Jh6V8`x>ocK2STYLBq(+JZ`)OKeQ9 z;QB-(>wK^cj?;C+Wyjg{q)@DHxnNC#w0k@(Tc9-2?k`Nx$Hjp_dX*CWv-eko+_9iX z%9}$pl1Ce2#0u}x2D@qqoHD>Eow+8ojyl!2REQ5iy1da0nysO+HSl~?O*h3PGxcji z6p0pdsRsKU4nFD(EZrjgBIFvlv0}PiDn_XW!(*85&l^Unc#y_ctne@%!4({3$nj2k z$xxU>2{EF}c5lnu-{9`1vwHNaV)XL=E>yTvOA-Gk)>p8H(uN`3-m`U43S;S6d`PVJ zUVCI`g0u+I6YB{^Cil9m#Dzwt7O0UqfE`Bf6ToJd{pJR_@BuFArMXwreJZ2 z8f+wp5v&F#=nN!qMkW@ERIEyA)24W}E@ej1vP_y#6OPkzTl=fM*S6Z*+wIzFm&;-; zCV(M`tZt~SxYYK9aRF>0LCg7lpXZ!evH*J9`~UsMdDrKC_UCzfT&Ar^SjTzK z3jXS>vo`ahP@cT|stmI0b1Ex!+CO?gti#62SNT@3KHzu%g@80q|7FZ(2X>lV3w z!@aJ@Yy_T5%<-+yK{Ryyu|J4Svs(b`Wds7<6fR{afgCKOcGxQ$ma>W2xgpoZE#Z&S zQO7@hpEMIC2z{Hq5TKM^4A)-8KGAgpoE766uH$>i9p4S^`0nDzjy;n#z9sTxB;%7R z0$+X4)o2W(P6m7%@FCCZsTx*5a=~5{vYhagWu?o{3|srcq#^)-^XxzTg@F;2vx&?O zTf%|b(xrdm9rSuqEh0LaQhNygo8Z-&veJ0fIY#p>6c-DT+R94p ziXWoG>&8Z8G-EbpCER7O=Dg1r<%vj@4~$)znC?I@82COB9-6;O`zcGHq)|$B>E;JL zOJM90W`8xt=g_*>-Pxb3{V)DJKlYJ5S+oBy^5on5-gl6+lMg0Lpx^C6yxMnmY^<0J zL8f9(^u~QE9x`1q78q1Dq2G9`>{Idc#72eBj641penO|7cd!45ABtEbOGDP&_njx* zhn+lBqgIu@{eDrfF>8*0)hlYVk~IvICPi0qzMOHUllmhHrq^aN1C<6dYcuahx;85% z-AA&>{p2=2kqli!RQ}52jlk8m6#{RmvDHbLRULh!SA)liUL~I&JG9j-l&o4ru}Z4D zY~tgdYk|Lg4JUMPT!$n}46e(`%9Z$-yEJgAt>(QR889RCbpyD_K`A&3@NBLU`P)@?<{A zBFm9jVTeQo{RC>UhjBG~sRUgq`V6yGbRm$#s+?GXJ+Br6%8J6A=ot|dXc6OK`Q&Qq z#~l^#2yHo)SBX)=RjBCLKR18+ycasU7iS4=CQL;`9RGZpju7H3@*`fA+u-e$9ADct zhz2}*!92Lr}@<;Y- z;ARdll$;0}oDs1a#)JcJE?t3UnOS*4=7}JePnB?jJQLH-<4S&a5ih~W>FnudYC$0upxz)&n zbTx6RQCpK1Hk~Jh{K#Yt%u-~tQ||d?vTsu79o^=R^93{ToU3zN4#o9RsaIo^g%JKk zxGxTkWBKHO$8fpHsSnf53Y)aI5{~ujG^L4bU}ny&!3`d*mQPzC(G;j|Ms*jg_c$ELHFkZ&KFF9rPNlUZKyPI zcw=sKnj93x7WE-#Jz6Crps`AO$G#lAygPi+AuvPT_LsOd6EkR>m(qD%h6Mz-7d-OU z-gu&tuJy$e_UqSi#+Fo9C=Ar|e~^jNE_(HN<2RjgKilogu-*`u@|9bcpCSD&wO&}g z-i9G`{3|JAHYI(rccd$&jIKPh=duCJYVZAA>T8RiV>*b?CVAB0M#7trBA?owWZ|W(>|mCB_jD7M5LQ#=8()Ke)^| zV0(IQ;CgLle0DR)>YzS+1p(~7O&mueP0?dnl)?b;_x5Hk6BYV@OXOGkC8dM{v~OAZ+hBJCyBcJ>j)O+Yzaz^c|h7M zv7f*9nA2P8Me8-N#BH{++*vR+VEZEP>)Lt!c=pBK{rDKzG;Ecat!TT~%qeV{Qy8+$ zVXfw{aAFQviXQm~=+S_RfRnUuTBo{ML=&@1?1kSHW^!N zYoW2`^1>Fg(7If@MREYQTg$)If1(ELR(ioAa=O`wFb?Tca%00dp>x=j>BC}qkN7w{ zuh&NKzBo>K3iC<2*35-$-2wFniwk7ViLl2Gcg=TwC zUh8apW>qsZV24ukr<>nU)wJly)03w8!us>iL1k`UV7lhZbSW#d)BXVzNgj!GYFb0> z$5mj|#)HS%px~25!Y^2bSP4|;@Y*|rB!GY90cAj=pOPOWOzJKD8THz$#-fKw_}}f! zcVF1EoH;pYC2>K+y`Bh~l(p z0NUlY=<#sUjMeEikP37PS}PRi8}_+6AvzHYbt2M9$>1DVDWBQiou?hn-XAY?gbLwL z+e_@jTSY7#8^$1G&7pjWSwCYKq)Zr^#b$5)QC>veS+GycspTWVK%3*A2K~kGeYzvB zs01B^TwO_BBfNUPtU2P90m-Nj)8|Ptv*`NTqF-`C!{T@-M(RUk$~M6hUWe#RgwE8d z^*dXiMQd|Q>gwCFyYtIk+MQCjJ2jT{r7$dIdi4mqMg|gH90Kj>wXh1mL317AzWhVu zveyjky8U7x~#V@)tQ5|PZ zE|>kj|1b1~AL9F7`FtAR6fdoesTHr+5=DUpe}5a;nT+bBxlCLuYE>O9%$?{HZslCx z*7MBP8&Ig;P!epp;WXgJXs+SY)*HqM@6G`MRx0b)olYW@4;yOxcT0REOk$^5u_LAL zHP#Gj$-mHaI@q1>q8KE-c}Y zT|Rxqw7ybk2EI}fJw;Uf!2DpdX+~jT*JddUhEo+J1Y00Mpg^>MrmI{OjbBp_qT39B zlx;M@X9nIj?*5g0iGA)|HW-F3ZrEF;s{3;M6GT9e;8D~OX8T)tkh8<4HPWm&8Xch` z(+p0)egI4lrktitV({~wy{W1qPtyVdU$VdTA zqE(LW;uo(ph0Mmy05NDQn-t z+6#G23|qSn5S66 z&ol#vVxv(;a;pqQRhA7%=E>TI{?h&vYsM;|SQ1g0&ID0Q>LP6kWsLtw=mA_S!>-MDDzn~7) zcF3v)?CE9VGxT)3BnT+we<1t_)5@$?E<4BTFZ|SYlSDJtTuQ!+Ql-!#PoflA`kL9a zh2BmK2i}kUSQhG2|&=7q8kHOo(LHKz2APg3)%N|m&!V2>Sj}L}#C50S(*1B? zZ&*MADC>z>hn8JHJd>>dRr~16uze{2;6U4LzyM+V`A4LWiR;GZnt?wq-NT<4N{Ska z%d5mF?P(zs47n+In@<6Lb&ru@zVsPeaLlrOmuzxK8XN|S4MU}z^ z6F#L%*31D_f~JUX@j^BAO+lF||h;e#c7!lh~J zcrxV_>B;<$5iC)vo;%cYs7{zM><9;imzRMMLS}qjjn=ezs|;pI+P*9MDMX#xX&ABy zOot4Il0o|$c%aH);6vcncr@Q$Dv#8*wsa!cM~$`aHxmUygZ8x)kdm^Be767?+{97S zlE-d)SV%Lqm)ifW)ttTx?V~Td!rr( z{@bz#SRdW5uY$d;1p|!<3T3b3Fic^iNCHMI<#YHkXop2(Km|E=IV(xrWnJKJi{Af6Z_! zlh`^Jt3czMamJ^BP6P{kGz-v;uipt4#G4a`(XWhw?>3qxpQUNJIXBG2U2M7SR*2n( z_&JwpJw2~u#`$5h)q6vR~t^$9M;riJ!-xZIo8 z%ks!HBKwkVF?}r0mKE?)vhGke{jC%<$yIkB!-?Pu1kzXu@aIm!S(Q?o{Ricg6@9wL0M7YLFA{(~=RzEm(hOMFA2-7MXZ~ro zUF{i;$!r6VW&;?gT(_^||0|w32I0@;>P3Nf+6`@D0jgX1l6hayxEJnwMxn&}W53$^ z2i5Ssra#3=enRVnQrX>)sEN6YCy&<4RH4hb_wn}~m){jmI^$fI(VVz_B%Y)sTP8~B zR}d~l7P6+7s61p%2g!y|I7}~X36*A5@?p#Oo}DcH1oTH(d*tc0B2$6?1*$7$QI-g> zLQt-f)y0UiaE*8>8O@s*EBsGo_|e}_zw3=>?|uBa@cQlE)U$X?cz02FUCQ9alcl1P zS`r3A$m`X!_~927GP>nQ>PGjFC_c(vXq_QA%>ByVMLv5p#Rqwj zhLf9qFRnxB>N0@qU73w{7RnqfP4WMYp~AM`A0S=4FZF6!(v-6ymVHF?)A3&C%o(Cj zm%iO=yMT{FVE^!eJbNuv33r? zl;y{oT1d6GvuzHk%I#L5A!3Eu%c9~G;vC5f120R30mJy7HznNIBa4yQHcQE6)fU$M z0uf>qTivm4HS>snjfe-Y@_ zk$0exqs{jBAaa{r8}nXs-Rx_=R`uKyU%DuKgiLQ6VH0qWN3ypsV})>y2_LZ$^D(=n ztkTHs-!xggiZ*lIkXLtijJWaOdEuruCCDr>DCUpX5D_Y0ZLV(@!6_mtYxXzQrLgI}-f+s=Q<$tSvj~ZR?b~ipa2%@)mU;!eWL_t=B!h*Xw-j-ZHPcUUP63 zJn4E#bW@h)%{u+HeKflArP!y$8=5%BbT+749kzJ}YD(&y7u(Dg}6Jign@? z;PhI}ybTqd#DLKnw+VG{jiIOCEmdQ!aQvssY~XY}O=Uj&uaCOTNF9^+gx1A9F5_)R zpx+ADRBYFX5X+FQZp8(Z*$ zN?cije$C#ZW9VgfO;xG*zqe2xG_BjlnAQy?8Kh?B-BxJkoo6;46C9-|&OGvGCDdsY zXXYI=^Y){vNTWDWRCtI`vec(goSAn3r#1VNtaNyNYPUqtYoJ|CV>|Q6TN%WLg2#_@ z&D*kl)H1bAP>&!wGY^YZ3fIAy{10{vwf4q!RO8{gw*=P-yYm5dj9Gz*v{SmB!L_L! z^Y|XwG3~#VMOF-_xK0xR%C^ZSL*9C%*mgxW_SFPc*=H*yPU9IS>;LztrXRuC1(0V^ zh_>noL?5MA3ePBFV%Ca;Li}vEaA}_iI{v$V$2Zh;RBX^za;Bkv<3AW!+~JAaeo_ug!!S?Ic641EOKX6f8? z49*ewOzAj}H$Nh8;`&u6+Gl$tGzh!6iCx_^)~JY8*)q7FRb9$P?kq?>Y<8%{Ko$S;Z1??K|P-x~=$z3eL)nq+P`=LfN-^A25eiTV3+;cu47e`$SW zoi&?LcZ4A@%4X(g*xW}hKfE78*aq*)yGI6_M91t#yh(9ChxdoZx6R0@8R5|2(lvqu zAbX;oW}-3}AbU2%pCMxH9-!~FL@w697}&M!vlYn5OUp_)fkNy*b=Kvz3a@p-3(xSTWwCwsgV13e6e2(t6b8H zLvZs4rVJ5GMZphuz?6t%6BK(z;pK^|#yfa|la5QZfA@I2G43$n z1FFZA;aYd>Ba8k{7Px}w1<2mNdrGjn{~7%$euJOTx;F%aeh%+0UL@rO=(9;qZ$p^@ z6I1-}Jgc4jC#xhdB38U=PX5#@^J4`eYjd(-Y+KV_2S^%)$+^H|BDaUu`0T%akwXw9 z*2_Njuy!VlozU8A-}EmMHx|1*B|nDNNg>r@ZMPriBUrZc@%xX|x8ZC(TF%@0C@%d$ zWYU&=0}u)ab}ik{;Ow)trsxKlu7$tWHtgjQnKpNR(DUQ>F0K%;$XArt)o(}S3*~LE z33M&{RH$NmjkR0yy^+*P;RB6Z=*XL)yk~mD2VTD(c)Tg#@eBuTWP?X=eD{o;rG*@- zv)>o{P0E~>%E>Qo0#D!;5nP4HD;5HTo}t{c*@LcmCOh?=0=h>}vyC*RY$=K813PBo z6+7)o*D={jNC)eSj*Q*SCWE;PP_3762Yb?)NHf=ib5YpvU03W zK|!;h=OsSkz#yvb{k1D!S{~K?gEAqtf1U^(*&oW=(|dkogL@OSUnXcz$k~`ZLGJ!J zgL|0Y>VbpS8_*I&ztUT*jURt1#}U;Vsfr`>6#;f)6s03PFA(IJSzNRqS-Uq6j%am>dme@VPmh#}L3nZ%VjbPP8PO@0x6v-hM zsZz4Ra^NRY-8oTTWB~>D6f%hsIb3sVO$&7YXiXJK{?tT<-&nb`O+OsNw{KL^vZCcb8$qvhgpt{1e;7lvf6El zRBRbIXVx&v7E4(z2o{3M$Pr}kr4F`9HDP>@1mxfr*lhaFYVa3@Vir-)LcvhVnyMi& zl4UeAAlY@H@<^a9Y)o&9(7`dLj4v+~WIN&NR8!~>);_{XVpdLhz-3jY^QCI?6uisy zxt6g~NxOhz^MHGXYp`lc>^iBO^UF=CC? z=E3sc{9_o`m2Dy8+Z&x3rj~#RaX?jIcKOoHde4>dz4n%&eV16YR7;hwEA>oS+Ho|> zB`u*6enzx}M(}e=OXw7SMz(}TqJ2hFMF@;Fy_8>oY7kej`^wWpwkl8K%G3L)u9rOh z?`pL}o__5oS@Lv&kSN6R(`%%56{(-(y@=EotG zN3xWFM4m>*T#94TO=CV?Kvb!#U|C1P19RGt4GF2{jz%ewXx-E^hdXP=2 z%>j=f!F$}#AREv8oC+H^OTE3=M2}vi=F^kE1~Etd<*!274ItS4Kh2iE{=l6(RFa7@Rb6P=4FB7l}QVUgHfX@({{eG`1RliHZQ?C2P#OHX#|<7gObG zau2cTPr!rB2Uho+(J~|U*0#j%w!?yV(W4?*UhZl!*X09n+m!h z4Z$(ak^T?l{VMo4Yihvj#Be#$3MC9m-{dd~>oOp|#2zbC3^TFqC;XC9{7)WA*pcpn z0)&7;*UIv&ImyOo{nn#L{2X62TPI0SoPF@eGLpsU=<$aE5?Zm3G_5H0MXbG&Bl{C$ zE>Gm28s-Q{F`CROl_#dt8OeJA9Q)^`;nBTs1-GOhWp_u%@42Ei-C4r; z4#rMhUn<*amkiT_yzDYL&&r;mcmeiOboa)}f7dC$i8F)og_S0!To9{wKm3k-h{;Cq z1G)O_{i5ckm@(?qR zI9M(qBuUd6D;WB9@rDh{m9$ahw(+{##+&?v*3FbQy1u1t?9a4uw+f!PUevNeGG;FE zju4kdb<-Wg9{WmRjC^K!VCbk{7EnQWzOLyuyAW=}gA|>=$FVIBW7-xu66ZwwFb;w)Bu`jPDTjX#OE%{ze{eJrp*^cOpyblP3=u3)=a( zcq$)HZaZXLQ&7%TgKU6X%t7^XuJV?rmrxs2Z6)Q0WI@o)Q{ zOaxtb{JZYwGGSKAN+|z&J=XVaJj~jmGQV#8r=;FFXimANbom4sHDA4_=Z{{&tPh)F zFBa5_8fy!@^CmMelk^h8plIca3kEjWX#F14Pg~JBD2HaIe5Q0c?(H(YIPY1XkOnTa zPw^V)a2uGV4QLrJwp8hktIraRO7RqGbNuH#plLYBb?Wc@hUX*=B@i7`j(yJ$L6a06 zPSMuWySK2**reAo4Ur$X(;)hE3cSTD$NxMJfoG{}saKc81qsp1v4dV+8>JRzt<*aG zC;F9-uUyhqO6`3V@>0@`M^kgX{ZO0Z$WduvkDd0b@D-jpGDY4|UY;teDcD9h*39}S zJ^W$G+;Jm;CGDyf3>|lX?CjvVzGU4`D^2AFF%K06}iUJ14sg(cA`M-?+ zPYbrl#?-MvrX>cmzmjWXA3Cb*l@aQ)Qu_%Q&x#I!~o#N`vTS(3y@ii1ZX9P;#l*?J>SN2)Ohv{7LH2TE1P7Ke8 zuCEE;Sf{}~L*(!*1-A?Jq@~G+ojK*q^r@z`xKI*%ehSZFIt@p#?efY%{1eoBA@6llui*sDpDCs3r@v>xn|BN(&2|NF%Ge1ZrZPqNOiQEX22 zAx^V*z<-wO_~f^6$1~O(LJ!H=*S9Z_!3V`(+>BUnc=DKf(hkcPKmGF*f1N5xkqnLe z(xEzYDw7O3To`gNIc*c9{i4Hx+M5dlw-kQ)adB=1S_ES%XQy4laon5le()mpxGk7w z9`W_x{Cp!b>hV8`f-gP}YKNF`@~CghorSm0k^w+tbj9EC_(371!^)-qBi;VnkA6>< zN_%<$D%12tVPJXTm+5?(HzDV*{f8e&Dfp=rvF$o=Mjzm&KV4&4cW*56gGQ%o9oRr*RfuUXM(TLlc!jKC*gCxEuImMh3h+dQ( zKdA7+OAlU1B>|{;@Dc!CSQ!9H0&Zkx+!4xv)V_=YM*4T0d<6ulI*(QgSPS{G&tN{X z@)i6Zpc@b$ewxoRi3qN^UuIEp#(?+`drjk;uSg>h1~2i|{bdK_fmQIa^^gWv+}gzx z+kw48;l6N)DBQ(+!kTt}yHF4MhhDylZ!k-Z_^wNJM1E0pp70-Q@d9M*Ct-x2lCnPg z!CYx%uh~vQAFKFUsYpTqq4TKO%q<1AJduC#X&r00iegSEp7(pQWAB|r8bG+TXV4N)G6f=}?oJqM)VyJ~izw>RF0*j~XY?tJ(S z{V*a?I)D0(@ETm&m!I~&vwkEsJN^r3ceO~7G8>|N{JPGrH(!mLU*T~tY0}d-sO>~$ zIU9qyOyTK;=_x$@8ewE*<9Jg@ny($SE`*yYoa0U5@9uV|aE^U9Nn_k8WZKH)V|UuV zD|(781;cqF|Ln5>1bRz#cT?S@HuiJx*h|?XYJa~PRtP2XFq~IpnC89k5(Do3e&aE% z)Bo*p>A_2}$)Z2mM&DGBq2ody{Gyff8??`$=M>4Hz5IKpe~$0s)8_IQ@n|ItLvsfW zW(X+y%lpj7hX2!VKK|=#srk5r@DX=D;?we_y4Z!R{yB`v@qhapzMS7)$JN+@HKtF@u<2u}K#K{NchrJ-9ln#%;gL)c6;! zrAB+r$3z4w{=sipnuPxq9y23d{DjusBr}cSV3XvbPx#y9bHNXt_N(6%MrNB`FKd`e ze=7@-l2=|7afPV!Vo`3TSa2{HOf5pWNESF1Me~9!lOCO@Gd1{MeL0U_pbc(EukQE{ zuhxwVene|<3Fjf+F-fk@7gH7zU@7>6h}>-aO1f;$PHd?e>Hwe+$|Fev-(QkO5Z$Fk zm$E74Ri3j?gx^2Z|5UeDpyxz zN$th4n@|h^W~FhC|KoJXg9!M8R~&y?s)zU^e@n`t3{On^*Y}I%OZg{7Q;VoH!J?w7vP`hx{EJrD&8=~qi9>W{(WQx z$NwhncI2N)l}{wElUIBx_E}?1k(l-(Bfa%{0`8V(E|zA-)>Qmi6D*`_`LS0^@+0!R z;^hYHgT23JV?o?ym$B7uDhmobW`Z4hDe=>*@5y%`d_Ld6%Gzr_pW%V7c(3_vSle$t zujbJ|aX#+{rT*jd`6;iKf9`yy^1pz8+5c($>+QWXmJMK1`W;9&71 zVc@3XB@aHb@hNV~E@R4q!i!QC9hjovls&39I7TEtXZ9yqEAL(kzWq@BeSOvc`tu~c{wMUO=}nyA+Xd_fD+`H16GREhtS*z8N=)1H8}_D))r{si zFvzowJj2wO%53>1*DOOWjzhnMQ#e9?4-TrhTJ*iBTuKtt_f=ni$Nc!wYol}HN3V}w z5kI;#8jc^Wj@HDFUK+hDezYPwHGXtj^yBfPfoNs?=)`D6{OASIbK^&^i=GufIw5+R zgU3u8@;A9PejzBT#I&l(2*5Op0oJ?s`rm8T@sDqOGpLeCLDfUBOP+N}p8yCt?5;0y zYpfa3yeE1{h9YrN;#4NVaSQeLv9c*T~+&49Lnz7+Y$N!raWo->yP;!Z<_ezl8h4NRsckw_@@V_PR@Eb-mks@;HiP8Kp z7ar!^#?f}AF6;j7yJ>-~UoL4Q6OZX*v!WZLgs1OK;rG!>AChD!xYsR&JYMm%;C~lC z6nXp>NC8Ydo!W`qjTLaTO)|eD=&;DYb-znYd*N3ea(?&6Mstyr%)D!tcT5E&j}u)K zIIa>G7z}X~w0B7Sej+MQru|!XQKIqTLDkbIZOe1#vd~M6LBb5P5-26@VKDL;Cy@T0^gJJHi{(Uz!3VK#0yYv0C*TxZMHGSu zQDUl;R|uKseVyON%>txpwM`m^)!(wzB@EHeN?%>f^a9P-$WE=QzAjeKk#|AzRAa*d z0jeigD!>)VbqCiid8=ly=9}QJpOLMiEH$`IJb8sEh#!MswDess)FrPGKTmge$46GP zFJpNfIoGYi@5*VzkKk=eWce!gv%ia~)p!J2-s)Rl&f?iE_b}X)hbWqXPP=7r%JWnd zGQC|-b^KZWtBl@MTa?th_Tj90E3@lmKVD5;o%Wl6q3hM^*3D3by-E8Hy83zQx>4#v zF)WH~LS`5<}GApQ5^^Ql#r@2JEe{ z-mk^UHGMBpdBHI${heur3Q0Pby2W2q?hE_eXF~j>zR<6HUH1Y_X&}nF(D&%jo}mB_59{%Qg9pQXS0yTywE^!ZG6&M$S@q^aY~b4I^)bE`X> z%Ahj)eRoLLw`nKcd+2MT;7S)N$e+^6TumR=8X88Jqhx(KZj@uD0=^&NeoyztbY0TB z_NSiuu(c|`q!d++O7SVchO!{m3sIc=?5u#RAX`lFn`fn}yJG2PeoQ$dv) z*LHuIcwa{#%wjQ%aNM$3yXKkF`IZl>` zZXjKXKZk5v&nZc&K#1>A@}mOcHa_d9sV>clf5o{VDp|IKkV?-F{gTXB_Rmw9u^5l= zqi{7YrJcOBxBev`fe;0ZaSTW}Sc_v{X|KAhf@}Uu^Jy7t3g&XvGK+jZLXp3E_ItuZ zl#;c?ml@53%xG2y(a>Q~5jyM5|LwfR?qwaQMMH6x<6pi)ramV+%JDDe()y!S7{VdF zqzelI1#6*i!@B;J>lW;naN#6cSm`WZY^?RqXMDn6&bBT>wR9N0zOI?_XD(mX*rUEu z33jlu8oh>}_Q%Fwc6iqKwU9eqhkn`6dbI=FSw}|Z`*h5Y%F;XjN5~`~eQ{w>sJp@> z2^s_zO(E^_3u(XNYy3jmxy66=I>}GPh8puT-B3dq0&2Tc_zA7^1L3x@ST|IOykJ9B zHoh;B!w|4e>(oTfV6EER5t-i?)X4BR?hqV$@+Ir`hV9TAQ=9FnIw1=DB zCra!e;p~{s!w3KManS0M`stv=CkpMA@|rDb(s5Cq_%GHdd@$EC1)pwQRtl4OXamjG zk5AH3Y?9keg7)SJ6Ei=XhNmZo3-AjmZW`ZQh|v|~Qu{y}xm5C`hBJdou?fmo zm-9@(t&f$>=YhxwbNF4y(kr?$rFqiT5Qb(1LFMGX`>J%&Rh-rV{jyQu%R0$Zr#f=G z4eps8R_wMo!`G#3x|I~H7o<9Y|C+#`V+(5%7hS9kh3hqft+zG$7mf6wp3EFJX?ZvV zT%3m^6`ijAF>DPZ0A*ugxXFgKyu4fZxt(^fS+M5cBS^I6A?t7zNIg15wg6rlKZF2j zS2^KcHPbJ!}XiB>}gx>7Ksa<%hPWrFp1@m;?a&OW@xYzrVRR~JpACO?kdPY3Pe@4rKZr@ryQu5~v z+h;cl{G%Ho#nL-6oZGmU)Fke-341O}W5S-xQfaCReq}?;D#->GV37@+kTq)DEYK7h zAiJEa4@>Dodf0Q#KTd7TN0-TN6TBWfOZTQ-7MIG4KkF4qZOiXcup^J-4@xDizg2Ld zj9dKK3NFyA8HHBC`CQ>p0Al|bR}HN*IPXe#gU7dHyB99q@kf`4x&;TXgx^O=4y#oQ z(chM)w|;Mx?g{w2gJfoUw4C*G`Mc#zMHYW|y3EYL{N1kqlmWn_gvZ#20lo}3_rMC7 z0qwYFt?a`edH$H+r-uIim`ijJJMB+XOQz0<)~Rw$_KbK)!R(XodSfqck@f52XMM8w zearW~|Iptj3SRhuPM6Cm2}Ar*xWuU6-Jew>VtFj2+C9wA=wo`E#ZGS#EhG z#Hkx&1$>em9Gcn|%WWCqefvhgZ>0wLenLYN6`bqp57+t{I22$IUcJ8LkcLp8Etc27 z95%J*N~zesKDGQ4zITtukvQm5k#9u?TPlcfH_YmV)uqextCue?S~ZD(y>8hP&NCULOsFC+} z%QV)w)mN8E?MI0`QNF*aD;K_xBLvR!^t<_^VUdS%ZphA1OMXd9WV8<+B@);o{%z>& zL?h;QUH@j)KeKQB4Tm0vRZ2H-w$Kw}ZT?-;jkUw3Q-8zs*8J%Wt&zKOy%t{U-@^ZP z{hHqb_7OBjo;v1<#Ijq#NgeE&m0OMG-*b&iqR~v)BPu+f=!kx%I18F36U%Z{^#>wj z4F?qyz|+zEQuHF`6IZFNfZIn`Pu&|EL^u+#wkjmM4v2e`L*ipt$u@>s#xN19KHB_J z4D*HbJ$tO0iK@XGBYs5Tg6l)t4o*Vb@)oXt-L=2s#1Q2>#rz^A+ZlCD(o@q4{;6({#($)+m?cMg&w}_ z(!`wM62V~<)yLwP-3>P zHZj+ioRF$$g!kn}_sb{AutilvLKY94HY!V8?sG`ou_=G@o*L_gT5F3`TtqaMv$1Oy zJdE%@sLFI;xWb6<7v?dILc1=#T0;Ln_81lrjJMI zeYDsctZ@&j7j{AR%uVY*bNcC(Or5dzs@hx*E|1nUG!Z~`X+zV}+MIvVENUa(G**7_ zX-s1*-Q!!M)rWoY=q2m}ws7c?+~|aqJX~XJC<>wE2<2^U-g;+IZEj~M{_2XF7O`rE zjd!PF26ojh?j{U_`&(wxlQ&?~K=s-&oVa&S*QfG0rhmuTwa) zvpoDS`Y+EP7`+Yg)?1_VmHiE^D`M6i|G(WL`yJM}bug@6fsmO?6cI(wQT+~5&6ZnL zzf&q+C6%JNNA{^)l>=^h#Z~1fGxQn31t~pON^zq6S)canw0*~K-z+Ut)gfcVVAS`~ zix?bOtW=dipZuRUnqCd$DLrfFAH=^QR)wRCuJmQ2-DyhCGPw5)z5jstxAXY;_t!W6 zqxkm><%h;-e;THYh~*12l;(im>IEVK4ig^o z>cc?ne`>!9rerMbWK2GmIT>rZ$oW5`B!5Z4sbAEJm zQ%^qj~+0nVHnq)V@noF*NlIF=l2EqgP{7&$#IPrk?uf+@_w- zN3Uq=`9d_@)YA~HY3li6^s=U&8=_O2dTx$>ys77wXk}B+tWehl)DxEX^N#o4wWmye$+JlpiY`uUE>)+(Z{)HKgw=RF z286l%5%?pgdYrKm3zKMbq6ICvPy*PrP`qkfY@|FI)R8;Reb)6INz>e?{VuJpKfDf>|F2J#Pl=D zeym^FTAB@6?GW;DM)M(FH+9z=&0Tu&d87Fiz4!u0#_2_a(Y!-1zGyT*p%*t8&5!BD z%|UZxaa#OZ>=NKLT&R)UcCyn zTSvAerUufyM&`eTeCbYG7aUq@y4!X&bq_J_eiECJ6f97JEt9*?TMw_mvjY^ME3ugr z+tkz@mIay@Q%A(}I&#Au(|s3rOwYZDWEJtL^VWS{Fb}?PO&jy;up7{gt{?Hp*+l=k zaC_9j#imi0=?jzeU!bu7XpD`QPI2~RTSx99t%W6@M@{sQ%5teFxxhi?+m^hVnF*6{ zp7KLGa&M3V&c-BJFk~=Oc{wkJ>m4tHEmOUhW$w$<ORJMEu&AL8+-X85eMDq1~t?^RW zOHGcy`3rJdotsD_(CvmD{aX5rvQBy^$|JAOS)=;%&6!c9X3G7ArGi2Zk53s#;swQn zM$N`73Z8y{^GV*{I`Dg`{Y+!ckT^JVR2(#D#6h7&aj<7Wyk}OwlftC@L@j?q^qe>- z_l!80_0%}{l+TyQ=kw)r;8H-T-$7$;f5*n}bS{ed<98mrIA+A}{Cjxd&M^gU`P1T4 z>SLpgHCK``;il+tN~>@y1xKh>nZ-*-IYqBp7J&&od= z8%9_gy_Y*eEhT&>FYOfk&_AR3C>y(vA{YrOgqlHv5Lt_lRF992uAUghR=1@^?9{6U zBR%bpUp78A4psN3S2IFPrKBkFe-mnk6+K$4?Nd}t>fOc~K8TK7O&yFQtB$whmraZ< z;x$hx+%khB#ii&Nm%pIhe&xqQe-i%v-@xE2Y5}*|v0k%;S7*1_yTga19bx1dgIe&j zRWCXvz@tvd`!Xe>?0T1rh*cCpdQw>lTdgl7%is@f5sRM~%+UY>8$xs2xdRtn8#C)j zE|_4y_go(vD+jWCL=G__D&ANrZp`ti3yhVkxR6g;isbuMLs)H`z_)WBYPsBJtZA=n z9l8mi{z4qSZ($rJ@!B}d->2d|pN(EAXf7gHZ4Lka2^Omod^R}_<2X^!+j;Sx zP_#@Ceg`)Ze2_a7>h*K_r3P_|At}*4ze5y2XVXHC2Fe6 z?MKv35u$cmgEWafl9&|;cH*(JO6i1Dp^o+2?AcU_whQlxuyt6pk0WVStbd&pj3mC$ zExrnETzmRF@y}ShQ1t<`)%oM+?#<}b``M@+@znp8K90R(Y#8qNzos`v^Y`FijSW{& zqQmju=9TEWTb|KjqYlaFe1;h;{G1n1%DDX6z(?TTIC`S_qx{Z~MERRjHl%9C zF_PM}Cr4M`6f4%7dtt@f^OA!?>b^)f1*>@QfTKjEdQlYJlPkyUmZHdV zna|a!sA20QI7Utrca=w0&G!r#gM7BlQ19>cW? z0uT-C^_i4%Lf=5$<7%$;vBR$Jr*ufYpKu)-hkkEe`?H4_)PJJyA47CH(fjI? z^zW*Z)PL3S>UYL9@+w76#hw=%4&hLC#@LX1WG^1R93~FkXMM&MWS5nXM89xN_V>=X z-M#KXbs~goWJ0u9WB@fwajt+0D!q(NQeUs~!==1yW;)IXAG*B9si7AZbHrBqe`>G( zrrtZ_9%M?>^OCxd`4LW!?;20?-q&}oq>ilbU(IfJfco1{^8Ue-y#Lur-nX9Q{b%Q& zc>JN0yg%zC?|sL4&w(5Wud=DO(8E5PLiS_&{ZCHv-k^mOegDqYCw{;4B<~*{;63_& z;>n39@RaVv#Cb}828AKm%TDQT0?fx2wpm!?lsATIX`95c8j1uPc~;e+*htN-LgoT! z#A7rG=dm;GlfBPn1m!!SKJsM>mK5Ipm-{o}!}ce8{f^8#@%%r3lJ{#*^8Py~c|ZCj z@87xV#QocHlJ`G2$@{xc^8PaezK?M7=N;dU)kuO<5 zSosJI!p2(%9Dj(*z=7DfRkPsFMV4d%pEEWL=C#Otl@;w-wdrNW0g)eh)qmfo2BG#p zY1d84q4^zCRCi76rj!cgz^IV5@lMZX1*ellzg%_SJF153# zwnr!FAm5p*NbBP&ue|2olp7mxx31$xhHpFm>0DOWu~XB-H=P~Kz%QvP1_zNGMkH&Y zI~_6;G}*V`sWE5&X4^kg;~r@J1QN5SSJw|ej*LCk;7-=Bfn9PzLlY}_p0Sn{T=hvg zp-ES81(&Sgc@5UATrx-T|0e9@ZL!_P+QAh0pR8h60MQ|9Ko!#>!m1OmYuNj*FI=YEd3V z*2Ku>IlM{!PW(s4@y;PNmL(4@-a4JCzsZT+AD=otHZp#fFDF*K4vtzlYw43nKi*ZQ z!=^>Oi^fP4U7HluyeiuJ4RR~J|8KcyT~cB;?Zi83exb3ZE@zb}!@?*}5W@!1`5Dr8 zB9xf#k6tMtQ-_w<@ek*kurtgvPar%bpWryfqGgxL?rFX0{Zf|e{abmJ{6+lIoap7e zbe5mRBJj|X*UnBp;#DjWUzRgIHYkoGW%L}`5BIwnJdid-t1LlRugGuX<~Dv7Y|>FD z_e#k=Z!!b-uKK8@@UQX0-dVrRQm4$o@3;WHiW?H)U)WT}N3U$^zARea5J2X09nd!d zP3^h%Lx2PNZB_oT2|e`FFy&OtDHs~GOv`{}L@J#)bK`by3xaVPHzChu8$tlme@eOxDxbP2uNwzlXCoxCkpH&Jta3S{p4 zPaZJDL`n1^hMulpAr<%GW_!Jtd_V)ecjXnTI2)sAq++86h@|{sTzeZygGMUUK7-hnz_SQiM|H=5awoVRo zAzV2>bfio2aO;t5yEt$vudSw{v!*qiPwmwByqKh_*`E1kp!1G%__&#-MDHe^A^Fsw zPjf*{0bfm#+y5-;ac#X9PQia7)8FVV{rjpN%;f)ECkNiS{d<-3#QS&bMcY zuFsWZS=0VPJvi?GE0N@z5Y4bSRUHxi&8=qZs4}rTA|YXXlt)X%0ttesL6e2?FV2*h ziBV;$FqH=_Jix7A9#aOA-|U{k%T1N=yK=rD1i2LdtLRwhpJ|CQT1Fx2;pvXJfVCFI zY=BT>6kY81L(TJ8_S)_HTOs?VZ)P zKO4!dE+tPoB|XxmJ#2{-e24G_W)pz{S|g+B(AL;ndce@}rf8u4m|6Wv#mlNp9Tss~ zG(cGn)%LL~fECy8vQv!672A5ptL4F2w{XG)=nmO@Bddygh>LqOYQgk+^=j`L^0~ui zX^U!#evjd*PhHe^%dr=IG2pAG*ahNZx@&ptRL8I9a+;OJ?o-cGn}wiwvjaDWua5KCCdYJ;;s z1LKzme;ZK2ZgSchT8psyfG04#0D)hr)fQu#0UU6+4yt=H`}9OYxN zSJrgaTKTKm?Lr=yaz@O)(2-rdNzaw%A3pOR^ACR#E_mvUe#$`^59=ojcbf}S(56z` zlq@EQCG6^p4(G(97r?AQnZz%>?1T8D1Bm3gR`4PAa*6I;kch`yn*w{MI%0413k|Vq?3TmwOWPS}tis3Ow zH$v8~TI+fFxCnmFY42JJn1$=#TbD1`XiEooj(OxSKr&mm(|&EHK=J`$C9B*bnRgw$ ztFv|x$zeTBxGCA&T#l2nrS`b()KhPBuEc#*?D3-yxvc1sH&WF%QN`rL$hdqHln|G% z9}yAP+FMugS1-R#$jUEEL@GJ-ZL571#R=5x$jO;qKkD3Y-m~$kMaIfF4WL{pirz&k zVfS1!^kkRHh8ro)4HB4fVPd9FDMp}u`5B2hPKMu-bh2PzK)<8L=aj-|-qFE_saBSxK$(cAxM5x0*2%%BVx zt;pB7HLZYaJyFw%J-SvN*-RcUT$h_kW+Ik~&daYZijDD3g%ec1-SH2hPjWs8R%X?( z!T{pff$3#?*7#I%5T_+%2MRF0?e>>vNh9uRV|VA6j7^1R(_1(g9SPU7*zOx+rDSlG zbjqA547mwgJM1dHiw zTCH@~yY7u7UHTlBIN_}b;0T$OwZ`LHjmP)cKD;E!^k#5+d?j?q6?#s@>q^anw#LjO zerq21g1EU?BBHN>+ujo!HTkUuj+R69G)E}1B(A=G6VF<4e%%`VlUNV-HB31#`l88s z0skT~siIK^bC~v@B|e|`WxV<|uk2^#L1Nnf;d;HSHH21DVn8dC=NfB;W{R41Y{TjX zJgaXX#NXw&7dKcTRd9(|^A}_7l;EVpb=DIN!0PO5c_Y7!^UUP)v*4#10^PB}K^3xd z8?5QBGD5y5yGLx4N=l*DsxZt^gEfV!Vw`VAx6xOWn-wpR4+X8SD^3kn^~F0gqqU@1(>B;K7bb80sx%9DVVEX5-ud((8$A8{bq1Ult4NdQX zRi)NzHxd4$zv-HFk4*&Yq+?D|z>#jQc=iqMid4yp1nu7*VgYb_Vwx@$nl#0wNx?O_ z(TUmn-PkZSXl&S#w^O2}g1N_n@xQFVGZ{5;@K}ck_Ae`SI=@=*ljI_>YmoW{f80o; zhZnKd;Y?GLrKyRTrq02i@B`JqJ>b=U!2Z(=>Q79ws9HgXWSQryI{srDN`WL}ZNOMd z-sbnJbhZi`0>`3x4IJbmQkMuSbXb@{*MPuO=me%Y>lFPiuz$^h@U9O*mk)vMC{3jNXY=a}NX zEdSzR`BMI^5IR$8zc6OtPkXgDIxM1c$`{Fg_M^x7Ce_|YLX=Mzf9|y76j%OE?Q{Qt zJ@TJz-}`6RcRYWXu6?if<5^`tXUg}sZ+6}C#)m6^*#PAgKMQVloff6NY}_r~Te#03Ag1UHzq>vT-X#G)s>mjrP(PT`%>c+&R(LPfi@GLf2Gk%Dwb zh!ia-TIwp^N2-w7tkT)b%%3M;j(J$%rJAaht2oxsk9)~n28DvxOG zGOm1?(Lwjg2tY;;V2a0em8i z{E?Q$x-TEZ5Xgsm(#i-WxEMT7*LhB7E-Q+Cw6|1KK}Q+!r6vdcrTh+rK_*?1qRhVXjqtOsow%WOy0Wxy z!noOA2g(MPaSn&;k7Z<>`_(m9WgK`XNuP8TUy6(q(Hi>6IHvTYmyDAo-Yg0&2wlJ6 zT2MNv6gH>sQn*Qe1v3|P-OlN`{Y;x$Oym{JM;w|X2)#6QC{QIH>Uwn1K}kcU_@0W! z)h22=&h;uza_Y@}eXUqVPd_a?NuG$ee&Z7Yco`1L#N!`}UhK-39|PXV_fe*92$NY8 zW6et|+Ylzvul^CI1LVb5c+U~;6Us6uA0l&8O0;X*T-oQmAI`HD%?ySuC{d5J3-bJ? z08pCW(++2tJ~wZGk}uK7EOeQ`XNJoNRGNGRAQT__Bb@TalldZFE@XNuKZ7Lcx>HOL z;EL0VjOQF3Pd#wtj%Oxz{(VTv4ChrDPNek6YqUt$;=K;xe$!wkVD3_#g{vEnEif4{ z5dtb-(K=)kqhTo2!oMxc;kP!i&}W?Y+p=90s7)-&&8DB|FUa=XM#t%qB>5e#5ooCl zzK5*$Dth7pB0YXc31fpk_dXyH>fW+;9$TG!cblu9m-y}miSPcb#CKmO@!eNTeD^0M zzPnE1yUAaDb99EpcV8;;-BTpK`yz?&zChx;$4h+oIEn8rmH6(l65st1iSH(@)FzF! zHa6r*gRyVp@EWn+Ysr;J^uem>ayHfEZHYgY8qT&FoEN`FMz>dk0+YTf=q*% zpcF_v*y2VF$glhS9vu>nYacLd?FyL`MmtM+O1R7hHhMPJHlMDOBudQntt&V-|$*weg%#LqcmlfZ}jv%%{s&r8mtV>Z^ zSbQFPxYbRQ+KU+Ra~Erq=eS?V(*eGEP`)})C-iOBHaU6l#$zFM?2Z{=~od^Z9PHU#{`iX z*s|=upqG%!Ox)mfeM?&)N`0bU)Edp>^x_Lf^J#iP-1+(%dJ!|4$LIy|>V{qri#|v%!bbDo=_RqSM)P0wqCR#eL!aeT-$Yd49nn+V0fNIL z?=!@s`W4~s;+00sQEX_4bG>gen*THV{tlygw-#I+t4dXN0hLvREeJ7T&P-ZrxXxCq zYvp01`2ju%>@Xq+t+%`G)sN>&DAHnM<=41#TUq%PF2mMv`QE+|=}#&{hnIDZc;ZgN z)wbC$Phv6Ok$Q0+$O#-XB1fnfEliF(o?OQBcg7<##Ze-VLuuh6xQF-(f__Kx^Y?IU zSe?7Tq>oPyuC>l}zn!!El+a^*M+3Eqo63A$Z*ZMi++ORx6cxLi{eE%gJ&TW8&hdw7 z+r!wh{54>$8nBh(Zh--Db?fz2t+mvD^gZfllKHpy2i?fP*fV_F0PGODWvQnX+o0( zX-ia+AaRofk%FIvk5YV%AE6+5>`y{X+N227q2#v{0@^DM zzgTGKhVx|v-7e8ARWiBF{!eN_%15y(lyY#7;{=|t4lFyR&U#9XrO#q9ar`UBF{>!T zN{Q=pL+>wT)08rpA$x`k{tTy85^!9 zZt@QPFTP{Gq-_4|$5bAO78x6`SRZT6OP)=V|K#b_<70(e@?>KsM^s-X*O$q40c=?7 zP|y3Bykd~VpQ^?KM`<$uw_-hq@Ch>+WXPI%KXvb_2{iD->wn7Mk`(mr5L7Vv7VVf8 zM{0pK?&cc(POJiLY;;tb9+?gw_;?okTPn2_JY=dx(Itm|?PC}z`Hu4qL4czBxZboz zTSai$S2gy?;9x-$)ALoW^gPEX+n<9itXZ`yS!rx&3v@M@LB>4TMoaA{?xI ziA)iJveWZr(m8$E$*bj*fcuadrBnDL50-?_Wf&cmzH(23kv)x7(qxTMD}E07d^e>q z_NRztgm79hRyN7HX$8@*NISPjDt3h1dvY;=F8`MZyAv!cD3;t5;d)#o+t?M7+OdB3 z<%#@UIwx}!^4c2;FA*3N9Xw6CALB4x^87V*(57~9UuYHn7y~$`*W#OToLAn$GR;{F z>G9YK|8CL_;Frw&3V&F=T;}=rT+~<$q%9hC`3?00x;E6O> zfn1H3t8uBePt_`r(?he5GqSBXNUvikg3kt9r)FzV#U>WWyA;!e3M(5q0>`z5iD#sihHnZ}0M zKF8m3Hc_|T^JABY;w{N^{3$j;UZ3T@zQKEqU*4u%sNlNf@X%xMVmSm4YwxU%;Ht^B zlMa7ZHg9?8zkQ)2?=u5sHCDIgpcj1tiPfWXg2tM+14<443o)8|c@m?Pn9`Jh# zt_pfMtZU~95}LK z9Dia%0lO_G5K_LvFA(y8499qQ2ak`Kc?XlL1yU>*QqC}syqx6peRm6x_ zW3?|CFvTh_Hv&yiUhIBHZ(lt6ohQ_O=Lx;v`Qd8xJ3oki=W4s(`LI$&F&2Fxivq6)P?~?L1aH&;6g1ECIqFpK!jRU z62kC*TvhF{v?PEl_^S)Ww^drFkE^jC&axf`$1S!WPPQHnmZG_MfKHt55@|ir+6WMD zh7ZCL$g6oc`XOEo$2S%5>KomrQO(z5(TPxJj4>aMq~MDRuXfHt2MH(0(S7a=`^sZ6AX>L2ckbp1NSo0OUA90rce#D5_-iII#v6@;Oy_MO;#jxIw&B)H; z-Dc~BiUT8Jt=EEkaV;`M=f=qw@aLtXV^ZG0*bjjMoz=02^y8Kbh5bON9@m$|T2Iik zcj5`y=gDn24=WVmIT4Z67+y!%+!2eSVRFpMHcV-$i!8?a_2v+!OmAZ2>;m?86jR!u zd4$t9*`GMw##V#U)T4yT1&*E37EFLPWM~v+6$V}(R9^0=NF9T>s=a{o9dzG&COi zTTs-L!?4O72-^8|yz!XYheyy45n!X>@pJfM35KDujM*o3plNKj_0hyw#u}taWbh%r zn1@@8=o?PI;Hz?c84Hud!CRO5F-_|9!Q=SMF1{hqN2tl$FvCYS;mM^(speRkNp^yh zU=C#kZHuWPwOZPL4{xSxj&L1PC0&QKh^|Abrt6Su={lsPbRAMLU57M>u0yJz>yYy4 zI;6Swbx37=4U(=6tfFfJ2iD-)z-wzeuJ2%SdXG; zBgnjh`fXS>-L?TOhYvNI?xPYj{K0SIvD$oL*5B#v&=0%uDi7MmEo}YC)W#pc4l9z3 zB^XBURpf-COFN47hdDXhYBH6Yiuu>Q$5F9CLzLHW&K#V2rLAJ5^SxF&IEssj5Tj@_ z@o6@gQ5fyq6^V^yj5(G*y#m8&tXu3PGen1DkUq;fT88lYld!*{>_NMN2{Yc4wu|5n zw8G?UYO~1)xK#>Y?w}fc{_(tqRq_(g4b`}6@%H>SHn%UWFcx4z0W;b2yWze~7#%9x z63QtG+)x^d6lLQz@v@dsq{rKs7o*NnGOUh=dK&6|sBojZ;paTJ;b`Z;+BB91#i*St z^Ktz66+ElLv%`J(vs!xg7~78!t^ru5C7isyCwj8SzmpV{Ha)u%_VPKNe7qrJa|KxQ6f|3=!zoysxORbwv`R6KO>g zm0zTjvKIZXJqp450=x0uBJA13GJMD}h`}zXMmgteeE)#?bxRSZX(MV3CIOglZ={kh zh9+39qeWcY|AyK@yYesEt zrDYy|@CjP3qtZ@BY~_{hEqoLKuPB^{YQrOWLR3NebTpFwIy(bE-3<_l&cdth&d>x7 z2mTi80$hwrvZ>Gq)dJ%@;59$tC&tBn^R&}U1;dYI^Of75C{y7|?4@D~IpP4$nQ_Bl z_i>hma0Lx~HRnAq{B|#%TkDO~$iy)L_Dgl= zXwmVW0k+S0Zhp+I5=H7$NAVlNR{Bso z_`};zW7o$Q>a;cF42);s({VkY!rIst`iMsM3pjj&>DCJT1}7gk9qv|p99{y)iJvjo zwBz#~4P(R*@z^f;5?`eQ!Qgrw_1LNBHqA%&*y}cprco_ksvM zcSZx6g2+$Q1w=2^1~fVN4DH+3cOLw1Yv;(jgWtyOD}8v6MFT}@xN(0T4G{4L)Q)sy z3>5bKFLd;DCIh7+%==%cjV|jJi{d?tvMp@E@km$MTLlrkU4nyMk!|>tTrA@zlBR~^ zH&P8h2^EU`Oop{{!`vgS0{Wo(kNo8vT-4eX<>LHTJD=mjcE+lGJvc zT^=dND9O-@ceQCe|0Krq_WRmxp=jShFpQU^Vyp1S_#gBIPJDR~;#4*$eHb>#E*qEE z;kh*i%pHu^YF^}9U=Mb~X_d3ISosM(D}NC^F1NH_7pJAQZWySuv<&oa2k6uh1~@o> zwv3yAfdXUyLUAdmKZt!9B&_GkLA=WIQ>4g}g2*dkxS(W>HFl>Wfo%GqtMfWHgQoo;{#*U{9@$;6IWp;)bjlVK$pCvBeDY`xUNu6tzeJ5e zwBJO0ogmcE@8i*3FsbW9H8p@@mdWP|fzW3E3jpYcKU5-;ek;)t(j&En|NoHPjJfD3$s& z=P3ZsI^w~k0EfA(0fNgVarXsbtj^A)B2(Xtub#PIXW;q8juH4sFZ@fsSK3^h0O#kc zgZ#w;FyUpW8RBSl3B7z9>E|cY;k;4gylp5AvIz9$E_tB`F9N|z5afMBzxPLV@wIlv z39*KLuj5ZRcVr0_k33xcN#hyp%h0QnX!ZNT6Y%iKI1^J$j)$k8`Kc2~;ecYc5~s1> zAl?q_Y%)DseKN)t*f+tM5Y#UHyi_`U;jS5V65EWp{{*@NFpDpZP+HI_lpx(fXUeL1 zoXo;{k=2Qc3#aKMc?tWP+S|yej+79p(v?t4Cd1S;X=*mi&*tUk9h~38TnR>ggFy@S z)aCkH&nLx|*Uh=NhGVc0<3y-h-kmJu<7r+|T$5_WLb4CzN6adyH$ZayiwDCVI+sU< z6l+?Mt=J)EPkjD!jGZSe4v&-;KZR(d#WRiw=LYBy61O-YEQY^=WHGk=GiiR8(B5rm z6>0A=GZ%;9XqcD(<U|^N?Ls@vA9GOX2LW(3)#s#U(K_!-C@RP#jn znuTc5#O#`5m9MM7F3Z=IBpLN@zXFyeC|}P()K$JNJ#3Y)f#|Vi`FaBPW-UKsXit%!b-21-`szVf6Zly^K%Albmixd-&^_lN>@8S7myR9#l_q;KluKG{Je-a{=B*TpXKMNbl4~| ze)u^uKktP?nV%D+=}vxjq$F^o&xg_fS$;O6eLdRzjBoF68VGyi+WSraM0wSms6UYNKQ=%n$U0cg#7TeFw)1D4?0&N-vT4H&d`N(!D_D1FhZItE zJnws8R2I_8HtqU3)_U;^K6*_F{n2X}Wby$Sdbd#Ymr@NMq|7Jz2!n=n(R_U z;|6#Vu7Zp4HV~Vh7mIzEf|nV1fC^=vz6cUoVgGG zwtmyIm=U8u@fE`2z4QoQBOFX4u7o38kNDr4$m8$#$tgU39ye6KBUJPF3q}0Xc>FIT z{&x}CioCJX7M0Tq~54+Y=ZWifppp zsR=8i{9+u>nul>^b)e^2)jN8gwG^L&&-}WetQmumA20k7t;(Y>E#1+Bt|NUky=`xb zkG_^0Eltn8b=-hCJ;KJnT{5Ro?P_E&zSH3B&Oh)q2rcMOq{D}_K*EJ zx~}ck&OK?kkNdyqOTAnu|GA%In0w=+Emhn8)$@zjzUg^scSOAzo`$!J+d}oPz~OZ5 z16jM0?vE^pY-+^;`Ax0GLd6}=!xGemP-J><@uYdJZiz5Mys&4bkK2>iGbRlBS_nS% zED3HZjA8;(5V;&LyBJeY%(J^227+;2ntxu!qj0X8mRF za`_a_*n5;qcYDC~V@xsaM{3AuY+akezO3L8phVm2)K(i}@%L5vpGwZZ=a8cR7H@vc z?;iuJ72O-~cZ2_hGtnb7_?tmqej_$89sN^m;BowS&Cm4r9R54Ve-G!s$MN6&`R{b) z`AGivt5`3N4Q%1RU*f-4bm)L5m+;>q{`)la>-g>iMr*NwY5aFO|9u?)-HZQT$A3S~ ze|JOc9UIt-|L($n2l(&%`0xAq@4tLc@jk?VujRk@@ZazA-&@4c=m(uU+P#hC@+$Gs4$v(C&qIduw3k8yRk^gdz7yD^2;HH zH$+jHCLU@%fmMUY>1zT6(558j;xr=RtIL?I#Rk4{n5^2!f3N4iAK<@l=f9Wp-_`gn z$_Jl!rZ!_HivAg?q8S);9g5+uaT;MX;aTf)v7$2j7<_gLs~~r9?qxQ2By}l&GG9JH zrv%9w3PrZ?>lnmo*S?VFODX7iP>wmbKc6PSn12Sb?dRjz@4ZIH}JT~xlUd}O_E=C7C53OP~TE(UE$VfrtQuNB-@K@jV z7Dgv_F2D!HBinFtk=+kZ=0z^Y?V!^;`wr!P@9T`~voMf~1XGI2!kvHEQHZ_L zvMq(Dzm2a#Uli$;9*Rs%kAzY}ohGGxpWCTtO^=>W=60&z)fT#^u+x^p5nJ(0L8`bBykiU-_UI3PtH2M!iQzRryt;^V##>c8rM zmH6tVJqreZOzb685Z-TPt;pdSIM{%pggDWFzO1kz6v%4`LRmO{s#J#WjelBDb_f|& zU$O-<|HL`P53!+7d1~oS4-MXF>CTO9Z~c;%L1=FX+OtW!HCTxaJpTtO7kj>=Qb*;2 z_mUJ}s3DYM^`%+(#yLN|iaPn@h>seIcQh1#oSXCU^(SC}mm7O8)Cuq0VnaOkG7>bP z^>aHqqzLJ&;mNYzB^7z~4B8`9r%CwW7b*Zsd@N%w{oUX%&g9Kw0THZ~_@fg$w4NTS zKi$XX)2oQl)YTyDI64)Mdt&JCF8r!to>ds9igd{Gy|i~>LrH+{0}%TQBQRFpg_lus z{?T(~HY|wvb5H9+pAcPjI@z-&FY;A`e<&VRgd&{>X7L83IHfh6zBhgHGprNY{8Srl z?Y4z_KGyF}wBfa%;bb)5U5faZAzXi`V@=OzPJt*p0_*nv5sJ|u+z2R^apgCdjNn{2 zeYEs2c`fhiu^>^ec_&)`U5EiIr|r178-NCujcDmMh~E&G5Nll&#`F@H3KM2 zKKs|#_yea-V;JVA_UD>XIF{NK0nTy=EQL8oYW;;dZ8d+!JBx*p&sy*1d-xe(jb;27 z*WurB2KW01APwXHj^BAXU-ex185w!Y_(u@l`w#p*qM3j5ss1qbLpLLA`zeC8KDVc$ zU)i3T4+Zd)Upi_kf;pROdI&$gi+N4!1PsQOp;RDOD?m2;2ZIN`^Rab+b2itm0K-JV zK>Z>64IXdy`@uzoDcVHhQy{x+Ril0^?adFDV067k9DXWg;CBhU^wXjvAclTfi{e?3M2hSA)+EE$DuUA zl^c5(`-}eiSf|?2w$_)yjBew#(_fE#K<_osGMNAHnZm|&i_NmNwF^>(?T^j=FEijp z{D__w4#WXy+Ule8Fu1Msi*b(~IvZDCy*Gj`1g(5I3KGUM z{C9}|9**BMW8$@i^rEpoaaydBFp?*MtAUkb9d5EjxUfYi5^P!C$nDi9lW;IIxR z;zb#nCuBn&3TTZP5Dp^bp&;Ndyf5S5(x2)Da;FgTC=^)2ry+U?-q@y(-?g4br@y%Y z4gNb}KnSnd@?d>48X^Cw^eE!LmPmuYwI7A7TcQ2?p?kCcB|Plf+1I?;{~Z3JQUrl( zdXYdL9d+~9%D<~|FXw)gG5^_+<2UND6)4OjUW;YS$8&gK>KSz4tpb7i@m;`#!YBA< zfDi2kT(g0q;%f!VN#@2)WbDhafm?td&3Tkx6nG2wh9rpJcvux!qlU-a&IXEV;O6qeGDUbs-DxXVS+ zLSJthkMxiHqDNuQJBzzTa#K3JRG8DU7@u&%TRksk=I6Y=csIqMtufH}iLQl@rtTi| zBPKPkvH2+2Nb6}ugRx`ULQm*>1(f`rk>G$A*(pD?)bHxRcgo~Qs~TR74V=w?7xLc| z@Ed7^ZYuEUq5AQE8h~Wfc8O&~uoJ9|BFJ~*d;@a*>f=xX>dOb<4l(1M~=0=V@mnnPjPXfX8fJis}oy);(aQ9!47`r@(mcW+_=H{Nd&;4!UKPw-Y= zA-1rdrWzc>B&6{49igX3$mj}l{#l5jU13ANeaCk375wln{$luxq6$)n%SY3fKv8U9 zi2okTf4>16Fuulbd+MY7p^We9_v6dXbjv!*Oc8GAL_O_YIJl*#=lSne6h`_Eq#fC! zoOhR;FemrM-M+S>=xSeEESCFfCwf}jRv3XSY{5)-Q#$(oAioEY-nxnwg<1c`RJd^F*jiXwg`iogp68WYi@-q$ck!TBC!*X;MCH>JIgkN{^PpXLu zUKC_Abr3nE;vu#ZYZKn-D`EYU$Tu0~!)&<`FJUuJ(TtC?Z-P-i#Fn3AmYoG;mJhNCI;hJRWwQ3USlaR| zpD4dc@Qq3ECKDdr!qY!rGeJpzw3+bhJaO1R!K5E}e-keB_j%Zzh47N|qu5gvU}@|O-e$n5ystChB)`glN0C31|7sg9v(T|W3i+W%Jd>pv@obj% ziFf*)%?k?B~Xf{)hv-ElajRn6}R+V9{2bAGj#X_ZeeFQ`8| z<8b~ev(<+dM)FIrJdDvU?0N~jBi_N7_aFOX*h-S6g!4}&flTUjBUj)c(ML5{Sb3d& z{S~dNzs^>Jp9?lg!A$jgwmAKkuF8jTx+sXluyi-!)KKWf-JN0?7h+&a3#Su<_!r{3 zrXb0jpjD6} z(m`_%+~0;F9QV`yeeQHP`lD2S3QhGTn{CvM)6y3$%4W;|q}t|Ae+g?Z@h-oSq7n?_ zamZ}gmuS|kM$55J;JsrR$C~g=cG-1m9B%nycAEje@kN?Cv3~^Ht!7h~zwyPV3BTKM zgMvrN*{qMAL^m#yIQa`Ji3xBdai|H;WLIBnCozG1G5f0lmr4BgJUfY$P4-WmKAGeP zXWLQQy!1x`{$_GfFUJHA{%FxWHguL-tE!JSt-bw8@&{)c=x{Q_9%d6v`lGzA^oe8f$ZzU%4sJ2| zBgnQH@oKith-b1@M!cF;8SzXu*@Q>=cy6%HqLx=0-=ls9*qJxk#WD`R@x@|V;oh^^ z?Fr#Fg}(^%aVY!nMq8QY**Jb-Uqn}|=;Px_uJRT~WgIT;%hT1^F)RGY&&EC8<%{ZP z)$hIggJ{uGHra?*v!Mo@>hHlrZr`Z(q5R{5Y`ZJrY0&W&Z#Rp6t|=2 z4YD=3d{oz_wSVBK^VSCqAy#6*$zK!VVsPxG@o;|=F6IkIR%=Gu@{yHPT}6_Pum3Xz zkSa0e*zCyI{Gq5QPu;s|Q*Il#^x>212 zqO&MC;!1N2m+>gL@W&)ic{#uGz#}WEItzaxeH3U%`m)*K6g7QHe86^U7@4HtBEHM- z)AC1}Z4-qb>04#g$J^g4brw|~^WS^jktw(wZ+glLyt@Y;Sy`w0hXUlEO!nb1UjE5s z8#T=BAJV~c;!L)EvbzE34v}Y}-8s|G@w2j5y}EMjZWF z7u6Ro`$heFL}yX$%VI-K`bBxV(oKMzp z+npscX9dyqRhjgmEKWA!0k-XT?#LAVvi;Ll#Nn--)#N($J+hL93wHZK0jeKaY=b7C z=mXZIVQ&BM@kgIHlRf=|`hS-pedtfN8F8$SOfc0S)DI($^`Vmu^1OV~e2CrM$7WOf zA#gcgsX57J@k*~K@0UBebpN3TM`U64;hm~al=#qorwwq&qTtc>R6bX0;!b|^zG2(x z2Kgeaf9t|9P|**3f&q{4_<0gebl4nu8!ur${MJsQgVXq-J#MhB;9`CESw~j)AC+Cx ze1z8Xmg~Z<^kuS{MjY$k2AsxE6YzS4J_2*>r?rv(2Au4laH6+7t!Jj4=Ehy?VbSSW zKtn{Yj5$oluX|%(@xH#*er*2}ig{iM;1`{~IawjY61 z6d67J4&)GKn7HdQ7EZ@AfA3+3V>mH{Ek|WF&0RkFlbE$MLfNB~A2Q4LfqT5Gtq;N4 z{e#Hb&xExX3Twk;+tkh6TA2C^3V!=8H`RZ#WtOt%#v_)$9RKt6qYStI99-1D6t;n` zL(tNYSRdw#ym7cMsPe1&2oJE!R-5ol)=i7gEuYN>8Szjot*;8K$Tl2oVd^zc% z@%+wnRa;&9?`lT-8H$K)%sUTi-KK4prjIvvgMO`+c?XY74^a(b7ipTb@{YA`;t8@R za}J9o>(=*N3!th#vA%lvfNGgrAMup1IhMZF27SE0Nnu+@t3FZUgI(J+%;m45kVyY3 zkM!TQM);H5%JMX;ZFh?GbG{yrRbi~>OQ9*zmy0@ z@4U^OSk*qvPmMUz-)xG1DO+xo&tfyZ@koBPz1~z!>rEvrD~?<3UnoC@n(Ptt_tpk? zk`4L0^94itguc~D^+onY{Ga^GkGW5KgMT~za8B!R`~Op%UyDxXfslTay=Y$#-s(=g zn%^OIaHAo;Sf5;HlrLthjChz;8S!FPWsE<>CYx|MKh>j9(kI%J)?3^z@XY^ewlR)b z(FcETeBSJ@OyPc(`Kfs!dcnLfBt$SBZ zmB;+#I}<*SwHxJeKE@b7`oEPX`>WYxqyBkpxe-VH8FBP~&zk(dl+85Shx|_>-`^;Y z^sg|bAN$)z9Od7Lqx^3$q))Vu*TtzY)VIlT%xZZ-{Wryj_9@LMkN)yDgS{9#&ots# zA9}_lzm%=2H~Blns*>Q7li^9?PczCFvoxbT+W)5w=@aJxAGt|OqLM#R8h^8hj%%5X zfWnXVbGa!#%1`CU5bvJdB}jO|m94ZYRiucAOK zBlR`ce87pH&lld?V#3k?xb^GviKzd_8hr+#>PP&vhNYxl_do6ho$ifCu>aP)S#zG| zAHvrd@er#s;51%Y{-is7iv40gJ*Q49Z|3qe)TpnH%4h2h|AxMu|81}j<*zADnPPv@ z2;@KBAoB8mw2dD|dgoP@MU@{O%Xm`*HUCGW=~%UjW!#~$sPe;*{~G9$4|Dk`8jB(y zC7k7~)Lfw8ML3l|L=$%D&*J*OdBr1rQNr0rD?Iftjb;3|Cami(LixSRgu}k535Wja z23(9MAGuw#&#r%2{0zQ-$}(C1veZ#M43$5szc4=jyQU00DvtiagbRBHU$2#4MP7_= zyX&}RheZ{B%$M6AH`}w*gbRCCFEiV7osL^}SX8&C$`l{ki^(Qjj{o)e6#a7if1PHp zWrsx-e#E!)F@t~P{7=`X%2WIQvRe2NiH%3{2Z;G?T7AU;n%|GTgXbKLVnDToLi=pJ*L&XPviy#rk-F zZP0j}_(?vk!7Z=Hk39f!?lTKbe=OslFUpm?Z<}4qo>un9t=EOvMNzp{`a&Wh-JWeo zt=8N-`AzGtp&MVUqceO@4YAo&`I1=1d(EyA;NVeS-eMUWG!_S^6_Ry>ZN)N08jkow z6#p@&*=#DF#adqQ?(ePm$9l$(^CvghisRzPepoEy=NCNFhZ?~5FSagMbvX7#i%yMY zJnxow=XZ&f#($w`&_2=^cWVXE(FcFwJwmrW2gi9V+`sFMlfNenQf;LqJMzFSTka0n zY$~3`N;Vq&P4U0E(c~}IbiJ)OvA?56d9h!l>2U1h{w{LMd-}T`Lt*mw^IrarM%Po> zdqf{HtMQ=)eC2u1^hV`)X@kb1$`{8nR%)P@A9TKMr#{$l;fO!qARlG0KbA38V{ygr z*Fcwk%=b<4M|W`jA3mqLK-G`Et3PX?OF!02>b=W@Xirnvz8bXybo2{6oozMZz1dnL z9$>d=I84-j?D!)2A$xr{B+e6t6G+6^!@!(H>>uuh6oPX!BtT=Afzf^wG;y4`t^79FcOzFk>MVK&r+i}lIwaV%ODu**Y&_O4~2 z+dE46iaY-vVrxwNs6ROW6~|$UKOD!c#1DPg-;U$3^>I8c34U;aJB5n8SZ{k>XHjtU z2+i(;fJJl`RX)h7bWoSadBe#@9Or+HIL@mj!4F<(NI%-UZAKjXpWe87{u}QT z)cM5w1h;~+s~s`bAK3qP09i_Pe1Z|j{#O#beZDEZS!|~fNB?KUvsqITe0dUlrV$UY z2}V4d^-qGgS0+in5l8xscqVHy;&}hl8;`7f2t`8-kx*VJfKifI!@AHBQ_BPP_Z=WX zdBOP>15V@Tw*N{#9^E=m^_dgD7{8>mwMM)*yUmCP*nAC_$*_qUUzi1r_KNe6Cu{mN zc|LwVJl88dB;T8TXvDGpq~W%qasIc)ceiQ$sy#t&&wL}^n_XnY18k5t&eGQ<&) zy!;{T+iJuy|1;v4|7p0lzi50pGmh8sS5)-pIXa7iqdfQ5K}4qG?GA>CohPe%@!qd$VheIL_;O;M_l}J?AIt`zB~V8rAmW7)PbsUd;c?RiC(V%>Ruz z^52Lf|25n*{}YVw!wDoE`{+FF&^QhqPxHp(;wxsm%2ZDXOI3Yn|Km7R9PPgm$Na|| zSH}x@pRP{iALcL@X);QDVth7OgWc{`$~PUWZ=dZ>p{*~nvISAv{SO7G|G|E+k8O6u zY<|p7OW68{P4%S&^&y4b<_Np$8{z3}z7a?JXT;I|dEn6^ydQgyXMMr=80Q;GJ^jJ) zZAKjPKO>I$j}gcDHX~lbCMUs%Cc)DTIITxh{_HDJeW2wp&!4SE9QEIbqyBs0^1hL^ zFBr~~7s>lZ#D2{=7!~n*I`aPNwO`}d&*%SI+*CPK9Os=5scF}7>JM7};$6OJ{b0fz z&+?UEJ+p3>J2urGim${FAK^g*PW{936i<6lo-x1p%=>+CnxF2R>9$by7ur7qPWG+& zv$wwpU!Fw1B#C^`jPvq*vKEJ9KjH`8n;rhb?IX%}>qq4evz7tl!y<#EDW-serp?*1nH zt~KZz(M2{>7rAGHYPD6Gq(8jgFFEB?6h-^}5?uYn-!CcnlWgT?J|HqD@%tsBJg2Zh zm#cMF^_M8`-S(*EUBNZ`2mDX$zagRh4G&2BoBn9DpP#?rRd()Wb`li(EW9_n5XDCp zvjlh+JJU&`gUk6scSkqnii2CP`e2#q%xCP(Q}8I|FMD&1R>b4*M=rH(*Y(Tzulvxp zUB{L9|N3;I_)$K?dgPk@{C%=<-t9THx9Lgbo1U^GCgbpFBfC-?hi71;~x3sOoGhsGp$brL*mz-j+?W{&E6w|}Zxx^XTTDOqRY`E6Nm|;xD?tYmK))u3_e}-t9G?Pk7^zmGf{M#Gap1 zfad2VpzO?Ewpum+mlD=}s#e8SyqfUVDW3Qa-oB;Vy7lx%qg?+Z$9v*+B!8u+JUlvC z2Q`02qujnpp8BbMG|2-$*i$o3(=XT758R^_0o6Z^WdG~OX(|*P`d1nB%ln}sCi%%m zc^>~HlYE*%p8EfT_nYcRn6=%lC0_RrAI*1n z-e^i6ov-A5^ba?=*`P`MIQfb8z~bNR@N4}8bq(VDXeNpV?mxX-b`nqgPU|Er<)Etm zOjZXzkN!H6pJktq$)fWyOIi40t!i?ujy@XyEx**=pQ$+B7xTs=`2jn=0L3?t?V4oN$~zj@b(r{`tkmEp}T}B`OnucO4xmmxX1iT zbyDk-7(WjAtyW|leR6!>U60bit@)SORvO?DtHV$Ezj2bc{c^ssT4zz^i`jA=)Z2%< z)}T>AF|THKueDvE%Hw>oL0--$`oyuQ?o<7T`BU3(H0Npd(D-WGMc(O+@T-2R*}jKu zV_oui|Ir{%`l}4M(4Wn2)AZZzF@N8N&WPi_YuwL~k4wAL#qHT$?~tu>f258U%hXqK zRDWXqXPS3=6_xkt>nw_Vl+Pa@DR8^U%im(G_WD3=5b5ZPM8h;5yQ0yZC0_c_9%jWc zEBb(^8*o0})Q!>dPmV47=q#%KFkAm?x3LN?-iL|mEIj}CdKfYc_pKCF$1<{4@Nyr< zz0Ulv-;*QgTJ%^(KLO$7`|;v0%!#^+zi?VVR*#SS&^NijoE^(p17Kxy&%2uO=}>%W z=53&xCl*2%Zl3b@{oshWKdM-{twrmfg}tAw(vlQsFATU9ieWhnSkC|A_9}Mt*6k?J z>?r*(p&eExtN!EVYxU_K<%Q0FO}N+4-bNWx&bnWyl}|@M?FY2q<1H`0x6;QH|3-s6 z>2EUN)X;4_O-r1s{M}0Sua0A}T*axA9@1A8$E@IDK0GOo#X}$O@8g&qeT284>TN&m zKkmHP)V|bF`kswrG1#-p7$5G_FydM4;MJbvqeyl3UQRheoggz#ijkj8E}C#6+z! zz|)RA;X92u&I8|Ns4r-5*WBhEKaF4O3^>)7jhnsoQ+qx08qe|+cOEs&(&JL2r8jyj zpZ}k)xy1HIWF<}S?ezu{H>HMoBC`-A5ixQXP zEuY2u>!7Yb%HO5yqer3Whktj*4jIY^{BOd=S-fX+6Z;G4=kxW|9X->J{7bI?@+A6m zO#0i~4e1l(2D#{w9NdD&K2vNmBlk;3Wo}+S>`b@ml`NvnqXb7A611 z_rs2y=aqh{|2nAY=lcytJjj}o;LD9T&c7LOy1z1bv&p|<7D$5olHj{mdh3sh@$Rz| zJpG67d+DIdf7NVx5`3l+$NhFj9OwUxIL<$f*Nk`BSHgChaIxR;tj?m8FO;7q9dzkO z`VBaZUnalrZ6EDNSDmfSwV+;UU#b4}H|h_v_Hictv|pUUK8)j`c&r~Kz2c(EK96Wa zAQk8R!6a{-k531C;wbNFItU{a{b&z{#@VXk)hsOu-gJh+pLeyOJ;XSF9y?~W&F1JQ zU|o*MpYymsZ;Ruxd`Z;NN9S*5#_=k+XuorG76+$qH>GX&wnz3)N5*O_io85Oa`Jt4 zVm14y{n@AsyK$c0`!pW+cut%@`F_w(Vmx==%rYF<$=WI5%;w!{f|16WmAo z!dZwE=S4EG-VqE%dj+xJ(V3qa$+%IDtOv;iSZ7A;^s4J6B+u7NqP?b&3fwbLC*}9u zps$%Y=|TUC`S=Z}63%XU+<70EMe}i~?DtZceVb_8OJ{~ z9$00>MSnhMr#7H>iZcJp)@Sbr;XW9?AB5fi3*FYK{gwRQ>))=^hK#m8Yd)0oTP+C= zPWD&p!mvoe`FKmTkDu5{Q1LBjG1w4Yg@Z@sef@WM#*h8~Yc=Ct@pJue>MU{kFZb3T zWR1FTBK-?>mN@-Ke&cCB)_-;3MEX;8mN@;ZP5N7|N$Q`!=`3;jb4>cHb>T$z7wIf< z`afzk_$OT#PNe_9QZ0#b`mZzTU#|-%(jV1X;`H}1=@09|iS!TAS>p6R`)h-L+OF0T zpGg0kI!m1X%T4+lb>T$%7wRl=`j4zK*q@~fC(@s)v&89NZPMRzRZ{=_O=pSIpJUQr ztqUizzes0^)BjP_;Gc9|IFbGXwOSJ6^j~Mvzg`zkq(7>&#Od#2(jV4^UHbWY+Yp^a z)z9%~Z};+#*!VqAQD8;t@X+z z`rlk)_RrtEaH&5hiT;IN^3whyFI?*X=r*%|QoZD*{sW6W(kJy_mqh>HyyT_+s248v z_er9^$V*=8AL4~e{m(X-{d3?~9_g3*-}J(z{>zi-k9x^V{R_QtssG5WX8VVD$xHpI zUbxi1I*I-_tIhuTn-?zi=Ood;&`Vz0j}3Bl%Ob9Q`{)+4e^R~VrTznp%=)iOqW^DR z@=|})3zz=slSF@!E{`ri`*Hk%bsRof7^|I8a&W$X_D9WG(B$B>4s`HzH?FNW@WqKO zRhS&xHfT7G8GI0niDL$G&BM_z&SS?ix-C+teDV0cDi0j%dlU4*qHh26YQA1}+0AMi zbo-FTSjMH5K3^kG%Fhecr0_K9{89gQ@AMSmRK|GCSj`^1e~J083D087^}(YiFV6p9 z{coiyJ@{TZbco~(jZcniKRW7v*E2no{}c4es@ucMSBPEfu^x%{iE;n&LN)UsultkE zk48F#BH@%!107l_2}ST1Uc_yf9>~Llzc5Ol0h%7b;zKce`>$5QrZ2GbN!J(kf9y(+ zj$84iA4PP-AF`tR;z~O@m;F)yN3Qsk@>?_y>0jVVzlvi;;kzrn@VNVEOJW&4-QINh zlNaJ_#ab7hpgtz{&r#*aA8`;(6tq|7+YZw8{cq;SaciyUE^(s!H}ZqZbCp(iP#pF4 z4((-#ZTOv9ztsFfdA?%4SAOwz^R%PTf2~RX&uELZ^<*V}e%2tC@u9||;Qan$4NO?y zu)f@#zt|JY_zK*-%yh0q25Rw=Z|FzQPZY{JoP4P1{A#d+klZ@Y&iVxWQU5;-^#lG) zb=&Ktht5Bz)v0+w5vVxsyD+c!v37kh>6S-+V@#(S_TuIk74Nzo+h#m2!gzCeAcaHWsy=jR2*ADC|kM?3o4bS0|h)z6#o zNd8h~`)DS&0GX)#xb?aFa~;?9rTs7K+gPr*1!Sfkf3oq$y8rSnPt<;=t#{*Y{heMm z`7`Q&)rBkNRh;E&^-z_nyX7^U_dkQQF|C8+JYFp0lQLKN zb#T%@GpT)N810*U6!y{h(y8-K{M^3r-u7XBgSIM`ak9pu%G3VOZ141Oc~&%AYtmGC z+%LMx-WZW}#9cm4dsojNH~udd?&v4~Rz0AmJyH3duwB=$%O70kme+8e|6{cR=-?v% z-R+lylYK*z+E-b7KBu!Z9BByu>U2Bl#o6;Y;ktjimRp zFmrp#&8?0PqH?^nR%24_MgLT*fyhu5hdmWWoNepnou70*Z~5yc9Al4ElYZa_X1V;K zm)A&sHolaKlM(d6)Xdyx(tSQ3=1kd`Gi74Vl*Ja}q>dP)pE`>-&boZmzss{fO>Dm| zpEUj}nymQ$IP>3(pW6E-jQO3mCQ*GmW~X<33$mF>~>nw_W^nH_0E>k0S$!Bx>9?)16`RMwNWd9vT zoE=HinjBT0@1G6!wh!Y|9dy~30{d92*ZvPbU-Pr(T$g+?Pv1)#i)!CJ9Dm%1vp(MG zqY}mjKj@i$jz4mp5f|lao$X`WzPR$-Y?tR9Hn&p0Q67kc<#@*@HK3cEU~91Bv*i0Y zcNxK*YI%%iaerMqRV`AAJj&|?!~CD#H}7x4qddQlc9 z5oeRSd!~<J<)KUFj~jkd!5Dcue>k+ z5gjL=sXq!o{Fio?cDNXfn*ZvqulCh+g{PLX6&tj9~^sDK^_x*af<5zLKAJE%`E>G=Gh9>O7ale59r}gTM$D7iJ`;olk#~T1*yo~#) zf*3Dj@*9ZbR{X*F<$YE`y3eYZwWYYNSNOq!``_X?RR7?99wQ!NtBg3(*UN2ztv^xv z;kBHz!s)~HXsU-SNDQePi$eKejs*v0K3CqBa09p{bP_op;dx3;H3O(iOV{Nd^K zy8rYXn3>@I)5&s|Zf22ihV?EBskH60?@Pk_>U3X{c-y95qpL#M{K(G`YxjGnhtj*# zfJg4yg@htY=dmBk?Zm43(Owzk>HX2=Mm(F%G~kiD=sY@)|LR2XTlR@#@6#L>D=Rp) z?M>{zE3R-k$mS2p^Yp6&8i#_zzsu7#P|Yu1pJp0xJAEbW?y`jb#dy&mPc2$|Pjmb` z4Y(bDke!$)ehU}f*0-~56BGLv8CA?0FVYt8b$^Kdr_Yz#E8C7gss9Ye$vgE)>?h`D zMv7Akqp5i%eqZj><1m8=MKeYZ?f{Y+1YxVQapgl~OJ3yl!l-`&o@_Xt>g&i0k6K}C7&tkt$F6wFR6ZGz%MS9N{de0Ghvq|qnp%*M;5QOe^gDl-UE)cr6T`P4fV@*4K zarYJA_VbSJ+|%ki?qlpA?_;F(@Di3~;zxPI{ikspcKpEczHPPVeHg%(vY|#ildbFE zwpi7V{TU;U@4p#w^pDOA^0N9M@iP?jdf4dU|K-%Jlk&`k7|1HzMEv71!u= zo|Uw|3;SCaC$=BuDaf|zpv#^BYg*yn&r{P=%w|4h#wQr@YE14^*hMQ<9jbo1|L|7I zgEQ-G77b_pHCbx-R2=UI-*3wQOjdQb3CH)|Ot@HI%4yd!LWw`x8?6-U2y`^hNmhD)9Z(8L9#$ezZ4Dx^hjPM(9<~dFqek7mCV^@k}ArWFJ{ubc?IP zsPW;xg}Y4t#QWezd#c&NpVYLt@-w=I%EF|Zv?$d018kj19_4+N5y$+zoQ{SEI$D-xHAT}Z+zrP0_%Z*%49rE9c zspITIi@wo}2?KeDd?5(yHcaV|^YKJ<$bULdbefBA*E(c(e>{V$?IzTY7HWf}_U~C- zEn?0^5dHBN11!}csrpn)b;AC5<+kDtACTjj;Joa0yaJc-B{?8Gl z!i67I9_JH{INAdvj_*GjajgHu;c@wQ{2a=^iV2i|S5(W)`~DKjylmm+4saw!Q{6yV z(K$-yrPv<+V!X(_h~;5>i`1Qe_jAqX3C%y8&Ncf;^S_2#`A6{~|1JS2ipH%{`)Esh z;{2nmvh$Aulz)89`89TENf8?iue|hTyi*FPFT$pY1Qdmg^0VxCI@1 zt@Voy)8p(>&mRQo$iWFu9f}o3F7I6snRG~8xJuuk;c-P?9ybSljCCi6iqnaO6n3(QJm$->jJs4>mw$>#9{R6Qc^v)ne%)vFA&81o8x+eJ zu8J%E7w4liP|qLH{!IE-%K}@!^}RY;(!}@jS`ZhlY6jTYI}-bg)-&7xr72V6v-EX# z^c{h|FxzL)x6YtXU!SGjuDUpGr98UUJBdG>m_M>F;;+QCyna`EgTDlST=6pHocOW* z6B6gaSa)5Cg7fb?j8)1GX;AU(SVq1H$M>JV@$@He;Z`NVs|+~JmzsXECn9m_qZTx4 zjQ9Eq;b}(wxbN#WwXA6AkDG6aj@SmF_#$=1j7yQ$67evC!x$b(nv^6vID#BO^` z_9e4MCul@n{PO!~e|cQW40g-7`O&?gW?g0RA34_*2j^GTtEc0z;T613Iv+CDVs+TQ zc;5Z&61yqqC0@saN$@}t+-JnYY}Y7L{3Wb8 z3BJaN&AMf>lZ6eI|J^RvxUYQ2ifb%_F`2L-qUMYbN{A z0~qCzexp3nZ;+?`#44kFnE4Fy^8CR^`?V_Uq+jCC>Nqc?$}fc<{#X^qtm3fOh~s>w z5ue9~8u1dAX2fwmuT@K|tGwZR6(R5S1!_lznsIAA?G`5*C;gBI&gW-yy>VJmV0UkJ z7c1N2sy_PO317cE(a~o!%0C=_TK}F9r`W-%|7;oNUEXPbqy1I4VX8dtzc%7{zvKdM z|5AOLjMFyW?IFIWY}Rl2;}}PcYF~-v|1WXKNJitvx&Kd$i^g`V!%ypbO^%`j{>J*Z z5y$?Y377XH>nv*g_}-ol!Uh!&uy$rAG~Pa;|D!k-JFWDGqfhqd-|0mp4u3BR{@*&T zMW)9G{|3)7l}DU_NP_#4;Jb!;>!#6liwFmv*3kH2C zAB}^&>kIX-2ha2F{|FBo<-;t?fXnaCU4B4wnPR`V&%PBeq$o|2f@3XZn+|IJ;^(6b zINfKRmLz>u51agl^q*z+Use)4Jqg~HY1ZG81Ye&7Z}i6F=HGaGJ?t(GYW?H$ACtbY z-F}5>eYu#mTpX{@H6O+rqx^mnE<%>yPkJp=PHA_Z>6#pC`A_-VKGhwAQ+}xYHbR3^ zxLoofR&B(Q|4HyHBaZWn`DXjt&M@28V#G1O`Kwufy8);Bh}u8bDyQZj!gm^Qntx;& z>?8RmqkNFH4K$@6B&RY%M0rn}^7Qstb*Vq8hGa3^{10o zjt?cg?IMiVqNxoQ-YD^iza!wtmRNIx|Im4U-^$l&PkSarZoi#4Fs8zV=3Cf}PaKN1 zb{x?hYVf}gE@-;J(sTj%gh7ZeJG41(K%gB-iunHwMxl7MP<-Yy{M5<_QrwU?0L``3 z{w}u2r1p72`!9s{?7i$XOS_%kQn0Y}*Kyh*n)+IIncimbAzT2ty-!FPnf~dN{@^Rz zKb4l%jl?hfb1vZlfnRFj)ee3l;XZ+re&j{w-mrs{+gM`(_wN`B&vNh&2;bF!(=yT2 zvn)K_!8dUEyEuN5g}0q*+ehAGfvr5fT`j!D!S5oxgl5pZ+FYsAj_>%&^&

az>o35mka!>^ApAon_mOM(nb2N zvC>x(u=8Ur;cIZ_6uc){c+kNoBWBj}68BGmg$E=a@ecwlszm^Qp}zUqzv$}rXzJM( z^S@5vz9)Y~{QYhIUV?ujzbYWqFk?V~eF@G`WCzuxzNn|Mj2%c_VYJ_+OUcRow!+lH zhCZ#`L!12@@HhR0A`nDuJGbw53^akFoG{2Y;9FHO-~C@K-xFT)0{7oZ7QWuWmlNJbUt5l*cD3+E2cJcFP}uil zS6L~l9X!b8f6eXNYvExBKb7#hP29c@EIiA>se@(B8@YY2S$MjGe@5+TSlIWBg}3#w z)4z%EHT69Hhb_Ft!Kt5RVPW6B7QWuWZzg=#%iO*O3vUD-^WO#tUa7~^z02{$YU%C% zoW>XPN!};yzs8aeJNhSa{m=9CRa$tKgAV~7%Q){ucs80^Y%%nVi_RL)q>{cyp>K@E zzUyS$9|tD@575`G(Vtp)vxC1yc=b)(Ung1k8V6s`T8w|l|QYLS%ojOY49 zd<7PNGx#A-;k{4bZ|+~=zoEq6hI6C9ZTlOEU*M^P2VC}7JNQ@Qfcpe)+aGrD&k5hP zfv4ZLKZ|gYp1lL`w_?vH;E!dT(~H-KrB(uBCkiGfd)^36g@yPCQQm;8cI4(WL3Eew zJMdADj{$U;y8WS{XgK>-47z=}&kP4cel+)e8nM4HvOR`@z^-#~z^|bX#sV`DEguN{ z0)NmC%1Q8YW%$MGAN%VvECWPS+m4Y1X|7|J^w)GsZ`(6Gy&qU?K{8AD^De?$1pbKzmwPjU1u1_#oLj|r!@TYSA6T$z}aKYc*{$vyGPF04aJU#W}J43)%6JXDOh$aJ`y(NQk zAoU=mN4yh?6r{v5ZaPkQOZBFx-;mwg3vHQ9?^X(TcEZn6_*>L1i9gEuC)xh^J%v|P z9kTFM6wY(PH&A%M3&LMizA5~mqwiV@Z^GP9>c0`;P;B6aW68DPr|{kyi=Xl@l3GhV z`j@PPSGYeSsaHt6S16KEN`DW2J5;~>P%L9chVBLEUp^Z8^PKqarSQmZKUwxXOyL1e z_!$cKa>C;&yd8B<+W!rOH(6nx{~zE{2MnSoj6)BnxP&X)br)ORR6z|tR0eVM{{F0k|a z2?|e>VYCM~?ysYoYfZB2hui>$4z<;x=fny;YXsp=jZNO!o8KL$SufNT*Pw1v0s@bescDU2{63YXD4b4X$5Er&-37-k}>e zks(_1LH;_1rw47J%&$Y|!u~wWJ!N<=g`c?6PTz+Vu5rSzQ+V2Qc6ok|!rRf7Ncq1} z_*=}qWVngK<&ONXDLlXl*HO5$qwiM~Ug7AQP2mR}eN!pi%aPBc@b>w(y`w1n#Iv@2 zXHa;gqwhotPjmF8P)@$&T@9(Abg##W)nVh>M1w`CP-_;)Aq zZ+hDH$6FMB!U?~KFzWY*sj_}kc<-GK{y68y+)n!MPZS>D*mEa^_f*>H{R@RxIQ(}} zIM2~{3x%gWDD=78=VW1|=VFro_9;6(JiJGSk3v2`@=rMOCsFu8C)}OFn=p40>GgO0 z5yctrKb!$KHTaJV1p4FxYmfop(~)>mZpo|bS)qvk4S-_dD&pTF{|<$s)3B0-3KU|? zZ~_x`FZDsf(120{`4Iih8_2d;Mov0!AhTsRf%xtKymx?YU+Rq%-t(mGk0lh|?u4(Q z@Fpuv?REY51$ajN)8ER_bEALyGL837m*BD0Kkb$)3vvC^FTjNIvKDxP{xzCfN%2jy z;)|v}L*YDxQThL$|NP~6n2n$9lJ1f8kfhyu3B0eQS&{}NeNysmlGIAjrmjM6ouv0j zdb^}oNm?T51(Ie;nj&diiqP|pq|ZtEsHFEx+9LUPOS-+gkb6$jwURy{>F^AhE=hYx z+9uQWv7|3ax=zyjBwZ$HiKJ~Z-gZfQbQAgqNIFu|JV|FrS}o~qG9Ak#oiFJ<5`R$A z^^!JAx=qqOk{*_{hfHT*Ne4+fR?YlUkb12AOz$Dm^OMB)OKRbhC2oZ; zk^WpPX^EusC0!x;m&tIUq&LZOv_R5xB^@B?36ge^^w4p_uKkkklys}4PfNN^(!WT0 zr=&Max=hk?NyCy}An7Nry|CDQSO6Pmr{Sq#Y$alrHQ)DCr(aKa_Nvq%TSO zq@)i@dcUN1NP4TJb&_5s=>kb-OM024VM#BLbgZO9B^@AXZ%KPd+ELQBp2Ge;l71}d zR!KKX`h=v9O8S7LzmxQKN$Vu7k#xSKGbEiNX`ZBGCC!rb3`zS+nl5Pk}j5Xo}@D+ohIo>Ne4;VSJLAoO_8)cRoM5vr28e^ zE$I$PUzPMZNgtQ=AxWDgy;IVgBwZ@$Y)L0bnki`yNqv&G$@XKrq>oFwO46HUdt$Xm zVcDKq;gRBxI_w3}Pn}4euMQvM;o%xdmq;oIHWOdN>L-3?ZxysnQVYN84e|U@N&CMk z!eL48lXQ>7Ly}tZb(;l$M;XsS8Mg2*UKjXZB(TE0HuP>8&q_=UrrY--{x=Nzx`smq~hwq~j#*FKK(TklQI~v!uV1^eRb< zCC!%fWJ!IJ?w0m!lJr4I&ye<6>3Lj+122ns$4Oc&>1~p3kaWMKy*3H{LP?iO`a4Ot zOWNxd!Iv%R3`y%GeMr(4Nzu?X*%bj2qk+?Tf4@biSEh5r=cJ(6C#ON7@-x?9q|pNi*U zNpF_4zx0z;E=J1s!U}KyUdXMI^fF0%NxJ4cfrljB|E&nGk@S2?5C2O%-yrEdl7=Mx z=^KHcFKPAHBD}v%(EB9a_mv1gAZezgYY&R&<&vHuX%|VKJ0S2mlAbH+$NR zRfLC2x_h4p-!JL;lD@xJJpYTN*Gf7~(nCzjNg9+iUDAiX6nIe5{a=W1Z%M!TT!i0{ zv`*5olJ4Fk@T(-vleGOa@qCS>7fJflZt;Abq{Wh+A!&;&4`=@%_?Ah!P14?n#Pj)* zZj|&}Nkcyh{C-IfN;=`Nc-|=K9!ax~$ao~(B5ALm#PbqKAC>fwqmNc(j zJYOT}7D-3O#Pf1VZ<4f5`gykukMxNi?>0%dNqSrd@%$c1r%Ad&(rz6EzEg%r%J37C zZkP0sq{W@2K1q-3EW%SHeM8a{{NnlJlJ1xE{4U~olcZlrI{X;%e3_&#Ny;Qmm;L=| zvYaoM`mB0x(|eDp!>i=JfTTf58zpU%H2prwCuva95=rYMT_b6;q`M^bt(NkV1|_YQ zv{BMElCGDuMbb7&)9)90vLp>lS}kd#r0XT!C29EgLatiUU6T5mq+OB*B`uM(PSQ1! zHcProQr{n>yre-%OC+t6bd99VlJ1h!w?@iK8kDp|(mF}kNZKsvE=hfVl=6}WB`uM( zPSQ1!wn*A0Y5D^~K1L`F?+qbl2Yi|x6PE}h;_aANoyZP6 zB>~v%wmYw4N#$I)+0s7|?wVS$prVYtKXG1Z)!d5wt17B$966Oijo>Qt+KLsqiHoYQxwz_=iz{m?pn2k=1yxq8V8V}@ zPM|X9mN?I+)-GIFy7-#B(wb6@e_~}#Ud8O%xm=6mPuFALbz??lotrg#PS%i-bIu(( zWaRL&(L+irMxQ%m_=q`U%ErtoA5~E{TP?Rm$OP2Uyviljr8Q;qcx6Q#?)nGUdgPqhBg#gP&Q{~2f>2pg2~qyz zj>m?f|MURrVMY0`~>jOGlShj8XOfqP7(J zZU0&2Zc1&{)Ecx=OKK|1mQ1NAEk{DB+$F?$_ATb+#ARP`Wp&xqiYiD?V$Qj$osK5%#{}^d!q|!`B_{5V z|4BTSCfMnYXW;^wvr{U{Dk`s1Vxv?cU310^8$PD2v|`ApF%`pyjI0=S?vODkVMB(a zMa(MC9y7cAT&+A6$7%GHFIZstd1~3>%Icc%g4(&2RTD|ST_0SC{e0qu3l_~TU0~G* zE5G!oPCj0^xU_m+Wm)NhODZd_cA5z%9*x0~%dK4+Uc9K{25ev%{V{Nf zkI3xGDlLr1zF7rTm9!RBTXkjCqN}R{kXel8!&h8TIIHmD+`L&u`BSInUYI`>JT;3& zoPqHZ0^BJ4I$FMhswFkDCCjg>K?L$geZCZy3|uml%<`$vEq)6l(b=U-D$8aqsaafE z#hbL#{J%Yk#@XeAaav6N;8HE$tq2EXm~e}4jf=0@gKvk6?@$81j?f!8+liOXD$GDQ z07^eryu`P{#n+sGkJb#C!gmV7TZVM?$*$1WNAWZdb>hW_vM=+TuD%c`{aEo5eF)F3 zAM(p~gah({%D3Oe7syJ?myPGv59upUz}E%OS15dBpKn;aK6*Y6&#fOENA}Ga-qp8D zKCtXdL3rc{hYts2d@TqUyZDH|c%;Ke_ANy?kbtkz#nkJIm;730{z ze(}8JvrhbZ%5&m*iR81w_ej3=@_G6JA!p%%V};)9hGmzRk3M(y$RWcj=9CT@i7_Jj zL9~;jMwbmk4=|#9*q9M$|EJBXToS|4+b=0Ud|1 zn~(5DI{hktNbVjFzAY}k77xB&V|9If5e`WG6d(DS;%UZn3f}`t^r}^KldhhM}YbG>) z+`sG7Z{9lf(uKE7->`S~!kFdlqjv`@By!y!-T&g}+}rCHKCZnVYLS zU;EG>{@$g>fA`sUaq)tsmy8^qcfK^o$HOC>aJR8jr{zwW<{O(oIgfY>F$AevQW3bM zVlky=yd`%D2CIt}2PT&;tl-az7M0g7sE7;OVv@h0TxytBxv*kMP3gjF8P2aNTYOD5 z%{Bv2C3I3;dHGWVlPa#^TqB1L_YKTm>ik=hK>x%c!pnvnHn`SVk+0yr!X9dOvib|JOF05S`sGMCpXUVmJ%z@=+1uB-7RbXkP zoQH-6rc{*ImQ@6gMxwG0;dTaJ~9z}2No0%eO9FRrbwsVF}h zKe~z;&A>Cyg3%_s{YmDB{j8I zUA^?0YqRXmZ16dO|L`Z7p9r`6M=Z``c!41WMiS_77Kv^Kv!j|tivmj)V(oCZahLh`q!ajr_TN^ z$E0-a*1bn+TF>-jk2}6s#tA3(K1pakxliAI{Z9#;dfMp&2A*+d=2?RVpFL#gIpji) z{}0liSMk4){$%l5UUKI^<=sVPZFEtoR{Q?{^KtLox#uRqkA3(3{q}*$+?n~$^E~s+ zoH=u5=FF*TaPGx8ueH4+Bz2gq{4qZMa5&`eW*ZZYDRvv>dtQw;x!RhmIT*q;f^N39kXzwE;!C_Tr_Z;f5|9z zw@U=^nyBAU65P`z@wgWdcC+6F3ztzk%hRj`n0EFeUK8auAnd3Jjbk~&29D)8o&+~) z;ZnE7^J{)Z&A&Y9d?ovu>#lDsx@&K>vov?X-AkS7Rg3E9Z7*GR-;UXZ z^Zj|*8*aIFr*Gz>&8dOii>~qBy7;CWW>(i;SF?MyQ+xZ?m9v&+Z){psc=H`)Yc^!< zT;!c|L(cU2*$b!Lx9g_a>o)juZ@z!;+WW5A*_^#-=d2xDH{6-MY0=68?=6|TtL`XT zm^SU+eL22eTN@W|T)caB&fN=qwR4v(xpu+yyzA1+%kbgmtuyaf*KmV(Xa21D&5Lfk zHEZAYHNGWPjT^4nIBo9jYi4+BQ?};Mt-r2x_5Ih+*?9k*Gs?CtS-)Y!yfw3K+C8Uy z=Y0$2RNpaU&Mxn@*_$&1o9}5VzU#(aI~Hc_O1&bn#y^3q!FEWUGd_RIp`?YpPX zz5o6#O*gO1oOkW?8(KErpEq@d`O}ja7&E3;-*Ea3SoV}|0=IWBh!p6H+ObZmux#_m) z^EU3zTD*MchMh}pt6aJL_Q1x~d#=4{ZeAeJl6hNIN?`R2{~hIv(r0bCal@*Nocq@; zE=|3C!HWD_Qg6L8yJXc}3+CN%Q4dZ^yj#RX47$n&I4#wxDL~T+h6Pd$!J*w_(;zzWMX^ zE}fOXe*WwtZ{e1O4Mq2DTC?=Vh0V3M-##z@nl;mQ-BuD4sIcO?S>I*;H1wuHoJtv+8#4$j!{nTXsj|x|u1S#>#2MbC!8p zytP?ryXrP=ylcz0ijA{Z&c1Qpil%kV^Y-ReRApx0@4a+$h_1jZYb}aU7PEFZb zf9t)ad1?3Ev7~bP-o4k~l(OTd%`-~3PR}a5X6LGTcg?x=&f2>@cNJD-W!%%e(%G_a zd0^p!lm&sCtBY&zTDfz}##xJRxXG6`uQ6x$9jk6%w5isgvu;~)Ufr%4c{vS*r3(TD z_coTzuHG^0_S@DubBY${?U}!DUGwxM-kC*t`{p&=xVXA;R4TUYO_ zzhQlD+1^=|l?(5y@$B?%+c<4gPR72*8=M<%T3L42wB_0J$_sXR)8}rwzC3^3O?R$b zzr6nblDl`^;w-y%+48&Z%vo8Hk-q!Z8(Z!w^{(4It8w1VH`On^b=9pa3K}Z5SEt;+ zF?~&LNlMG^g|{r+SG93h)!lWq)y4j;J9CzMnwHj8ZQ0l~vu5UsThsDq%qeed@iwO} zU*z4r;QoS?jCn=*3$JGc7~`>%TRH=5W_9Yffye|*8fG2bPtpVITSDcj@u)gx^3)3R*+*mttuOo8J( zZ#tX<=Y#8m`wiT$;eG}83%FO{UWWT3+~aVhJ~$uT2%G~q1lJ5V21kAaa5ZqFa9+4! zxG>x}9A);ymBF2X%Yr)&*9LbHE(2~5j%zUI;0oYQ!X1LU0!O`{fGdGJ4YvgD7+etU z0vz73_dEqx4|f(WAMONP1nx2%*TRm%RlvOfmksv}TnF4GxJ7VJ!!^R4hua8u3hoHp zYjCvPo8Y#>eF<(c+@Hg>zb~cKfo=8`wO^MxPOPc8SedXyWzeG zw-)Zxa1X=%9PT=}C*i8#{sk@u(*U>%igWCo74Y)OM&%yP={S1z4 zgYSZ?g!^Z>9Js%RI{^2eaJRvI5RP-3--X)*_XW7e;C>CqYx2!-#c+QIcNg48-~w>} z1~(7xy>MLL{yN-hxM$&d;QkAaYY6Xz+X44aaCgJ~72JbxKZ4_0<)6asgZmEL2Ds0| zJqq_LIIexX4Q@N!m*JMd{UzLfxc`8|i7TEzhT8-8Ex2`XpMg6F_Y1h|;oc2b4fj>J zm2iIp*9G?q9M^_F4A%trGTaupFTyAJ|<7a+`qt1gbRjZxCcXrJ?*#{!X20a zj^GhPa7F#Khq2cv77;pxM@-Bms$@}atUE+{doX`qR99D8B2}b5I(}PGd4-NI2w+!G zFtk39*MdiJKdV8IwcNn&@wbZS`bKH-Rwu`)_hX^9#gA$6F!oJ3`Ch>@nVjF3UX#gR zzoxaozb3RM7+jqfTrYKEL9rDpj%4X^pRgzzpXZJHr{iPtbDXO3x_YOMQv&`Dr>3_h z*Wre*ymd}x_3k>ShE~a2wZ^Fx>odyYt6Tl~-cW&meL;ROzaWp@grNM;+SYaJR(aR1 zU$=UdcU``Yx73T(TXe1}emy3p-?s7^D6wm+Q;>(cYQbXH);uSxORibvvHv5j^{-l& zw+@>*TM7yaur*G`BEDel8tSoj{n{XZ>G(g8KC84o1?yJ_*W`r)*wnUmb;}xdV}jPM zg?(1RK6z^cYXg69eO7CIlGRJHdi(>~Cstoc>Lpn{{(pE6Nmh@4Abq0sm8@Qp z)#GoYPx01b^nd2{)&B6NOcJwjgM}gVlb+C;z`8&%7%EtYje@Jz@pdgJ znLg3W+FECg%}pm>?}_@z>%G|Jde6t!%+=_t3j(VP)(5;Cz6nZpy(iQEcho1=ehOBv zD=5fczuvzt;9b+YHmXmO>phwNzoS0U_LHn$lG))8U0=!SC7B(P>G?a_Cstoc>Sb+` zdQ7J0@2F3-zOHikw@DB1)b{d1Oypw*JmT-j=C3eb&In;voP0eT2XeQ-aa?={+)}uiaD0xs4vrVj&2W5fx*d+sCo|yq zoG}-U1C5*D_*`)t+!DAHI6jA_!EwMbACAwRi{S2py9SQW33K3hBc2Dx=YoZBeEysc zw*rnv;`8Q>aC~lD0LSOEX>h!c&Vu9f*bQ(TVB8Alz>)YIo(jhSMmk&pTm~G=%<@qt z^`cJHgR+SuvD_>(`LQhI&$3e zi}|P%bzpwth^LO^Lmre%qFfU3{7pXOM;@A=nU_47mpsUq{F#SxnU7)Sqb%|up1diS z`6xr{LmniZ&b-V+ex_ZBBOm6Y4C+Io49a4D%4dG2GhX90FUr+rqAn!z)pjHwVu>R^ zVl_XFrwnZi>PH#643x{fj3b7;wEc)7FY=*o%*(P+24xY)bmpTBrZJv8h#^nrBY&+6 zc~T~MF&~M)b@??9;>eRSm{;qlc~dUa7*Eo=F`Y8WTiZg*Bp>Re?autfG7s~UC)20{ ziCF4DKFmu#Z8k!pF$sEnUB0FN83~9)8$|q zc~TZJ9CNB7e%#ymb9Ao;YoPEtmX=CyxA?kG!Z4 zi881Y^Ap4TS`Yk;rjxfWk1jX)n&l#%JeW>?)Ir;nJjsJ)BOl74Zj`TiXdS2zF}mE$ ztL;lH^N`4kGRTYZl+C_)Q>!M8OVcp=A~>B z^(22{h}ZHdSL;uH#4(T76F&tXtv`8C7O~{Tc;?Z1;-|<@8oew0Jq$zS`FNnNNX$1mFFn1y2zJ%vpD8j4tFOU^HCP{W0^LwtAZJF}i0xXFm+p`$KtZnCt=+UI2LW3u*Zx1Y({>tyX|vg^Ta zpZ5A^E?=4iIl-<_kKBTN2=BGh{DP3I-&u4R!S#uf!=3&E?SYE+7QO)>iShb90A@Dx zZOrW1Z1d;!w7sHyYq83&yx3i}=9EO}w(5bG= zb!xcF5TFpZvtzdy-!cey`ClubxbZE!B@VTi5EtD z`0BYJ^_=Yldqd6l>X7O#y+!G&V0o#Ux3t*9)j!lvBviW1^& z`8MCl(jD+vvE>N6263(Px+mHB^tygcWvpE6g9Z_1zd>TTZTb2=tkkMlKH4K6VFx~m z`82M=!iAIIeAV&%nh|!$3`BmK%6_8uO?_MTyT9*w7@OZ2C9mc?QeOIts=xob^d05< zl;5oUu=4wqKcK_kkom^GCH=m$(jQlR+1{HBJv}1cus4dhH_Kc};Z%?RmKd$69#qa@t80&pKAqEfl!=CcGQcqPi*7xOm zR-U4o8g9_`lvP)j64QWJl{yc>7n@X@c9+&}t*$F=s>YAnax792Q(Z+K_ygLDsG^Dr zxh`AU`LHgr+21`7#`=0^H}+=k@5Ea*LFZwA2f7VxY30jLq0WcfySqBs8zG^)T`YNt z6FLPckBusza-my-fm@8b?xhmo_zScdP9 z*O%7SODWh_Vc3gtlZ9=2PZq8ys@lE_@3U)pHr|xSd+DB{+N$!Z?U?TruibJ7ajbvF zS_Qgo9GcRLHOpMb+110+M_IB%j0V7q_d@kLKKzwvMl0d5`OrrP$_Apy)Pqq9A7y7} zn=Cyy9Bfs5a14Nw7dj-`OF4gNuakDAQPY{xmg)61QgDIRO_q0c-D!!Jw7h zU$B4X_-(}KcV{4c+3I(7Ki*gq-#_;wY~Cj{ZpgxoAnbrIN#7Sz@cW#BBj@~`v&8t+ z#Bm&a1o4_UR?etRJRg9#QN(K^uA?rIAIrC-K8Cvv;Q@qoU#ImGx9(jr9LL5Z2$#Vp zX&mJq-yOqIZpEHi9v^&?iA&)}i4;-pGYI#qFmaucwH(%aYJMvF<$+h_ec_A>kE^h@ zvv+TdAM3FIVTTMrB|Fp41HyEIWHuPpLC^_;?Nq0jZR0fl0bF6xG&-DWjl$ACbrL_?oe*7xcAMDuNYMq zXkw~;Vfz{b(H3oA&$@p*ac``Dj=U&Ki-E=8#vB$W%(0v z)V~?=nwak?7aZ+%%D_>N)Ry>mQ1CN#AGpoEpO4l*@@XR9GK3u!VcN~U|3;GF1}%O= z7Vf0h>rSbc_vcbi?_DySOt0)fqF&VZDa2FH4)|=R&m_SW1SKvVKJ8Tl-+@mu%Q=X6 zP2@k63}=;d)CI@-^n_ykSfA+#JB$ZWuJa37{`5>){^QCXzGX3cP>%uRBfbs37>B_x zLzu*PO%vX~*XBiU;PO6!&J8!N`pO#7|LSp0eJLUo@jX#-ui|JQ@8NdthlgRgcubUM zL*Ug)fOshAL3H~E{PGNmgiNwebbbCFCiF)X{zb#f4}wPC3-x(L|n^LAmc7;ysOEO23f0;P=WEDo*)$ zK4rGdApSEi=aoq${a>3hCXU{kznlw;dxio`&X{f3kEMv5CjeB#}Q^Iu1e&s9JE9KUq3XI*v54 z0}3@oaJ&JvaOE>qTtEyWjW(8q-&Nvpo^r5>7iu#76u+tB)MYQYxt3S)n-tfL!+9Ro z72wi-rk36$Pu@(Lf1-3OKTRlq;`9KH0FGYCF7hW!m;Go{04FhZVD3N~)P>JfaZ_z) zQ^ifzZ%>*Y-=5`Bz%J#p+Oxu0=Z3oEn_Bxw+D>J5)jVAKugTI2Co8{vE_O^R&&Hae?YX2Q zaXy&1KE!JxzhQ)ZD#9#B>VbG%0m3$p_>%^X7DMlEW~Rfzp^B_ zW((Jcuuo+))W9*vc^7`fFA2x^ zvAi`1JMc-GALX_gILaMF*ax4aar8$F9Qj>BIEx^V#*tsjLovDJmyfUyKFP#2TDYeW zw)rvtDFes7J5G0E`y;L&@tTMmcfnD=hMpKs{0k6v;FC0t<)|@mW09Yee({_^%E@+0mk#B1WO3rTQg zZ;ZzcBJ5Kc&GIgJQw&GD)FW)-2GxAqn3`YnX32Rr#VUGUb3v<`FNB|p#2WaH0#RO} z3XENm^LO?>n&#z_Z^NrHz4>t&9#!FyM`QDQcmzfyL4t1PES`P7f)Ir@aGpCEkJ&ol5H_$1mh+gU93gB8Ljom2drcf|8M{+g20 zAFB`Qm;aV{`;{QwkF?yzgzX@>2gAgdHjaqCV$-E$uY$ZfPfHK>DLkN`F|TkErmdvg?=%oApP2M=W_y zSn@o-QT6g($)9?VXm9S@ThuS>|1$D%J?aR;X8R_Vr12GRm-r>{iGK!uGCcL$_>P!8 zX#Wy~9rz@sYtrqp&A^N25`-~bEXWOi&ca`r0MGg;duL1^_G`@u`viBXk3H{ihvWCf z#nu5fZaz}DV$0&$g9xAb&%U@;%Bqej}e9^2lDdBAqD`G_*iIrgUB zUNML5wtmX~ApKo2`*3}y8DR%LiS}nb(Z6iqSg(toOvKT?*@)M~{$(HuZq&jRygQK} z<(xshChGfI65OJJcw9Zgj>@RZ!TiSz9QC_`u-TqMku^buiO~g^p2E=P3|0fW3RD>=E^?usG zaXhx@sl;(U@lPOL6ZsuO*ijLhAN^AnZp;NoyIe7Fv`g0e-1*fYUK8bpli>O-+;9@y z84EX#u>D-aa&){uCYSm?g|Gvkq|3|r69$g;a|vO)T`@lGk7N8;Z_Nlh@JX5kB_Q;63@{gynPs2_1b z#A_mM)CEUG`Uhh;wyTo}JMc-my!4$9#c-@&AHoiNlE$&T4F-;Osrhi?a|82VLA)lG zf8$Uhj`a~iye5|848r#H#`;bDvlx!~du;dl~VXSYIh0jmJ%RpTnULhj1^)mGj>P#;>Z4O{{gHb*W+yJ1OCp##`f0$K2{N$`2C4|=&6b2 zt+({n{qvB8J8j|Y@^Sw)zj07mT~%IRT`RulWrl5hIqtpK(}g`uVml(2qVW}tPWb>vI(oy{vxygN4)pR3Antd=)=wAwW{BY9d`?kk&p{NZ3~TP9TEr(%#2&Y*u1mEChD#3Er%V-5iKHT=>HI-`J0hJ>;lFo)%5>#;P-NI4m{^7C9~0 zP9e4?*lhscGLhyIBRqL!!Jyfq%AqFPBvr*{UYN*cfnBnwJ$yN`gE2HeTPx1WhSgjA zfd`$KaaFs~p0G3boT4GY!%~ZGaelr=fikg$>0KGvo{t?Q!63grgN^A}2aXy*F-%%6 zeAGo1OMDx{-x1!Mv15d^=*Pi$&@Y*U8E@RIrpR z`zZvI^iz|idvnD<+*nv+n z^{cUPkt8_#J8#D=oNSlHT&iWel>N8bqfMFi{nBnv#E|s;ebHa3=X1#6cqAWThX4@u z*QD_y3O@*+_B%HLp7nh08OeVbKChfB@E!Ohn?Lb`e=YH0_|)eld_<1d*r)~;;+eOJl<^aT9bUTXeEmNyGN%i9LOOetaO&+Fk7(lyau zFCgrw2pdoSCBu^cBKYLr2tOHr*3T)VYvOn}?UU~IvBxiQ^A@YT(ed15-)o!fdu%*E z66eXu@2nZ$SxETqn)RVIJ?}d^?n`5MpFi$1W3ljV`PP%p=9w)nu@JrzCav-R(|6rA zKxK|U%WIk{%8N^@@M@%f@6n7B1zV}U+SY@;g)4Fqkj3Q~1p5lx!?_W8G_2@H%EQXa z>ZS**+28Q{a>;PG$#T5Pmu|Wb@Xcf1(wFr(ZC$vNMfY5A7_UCWsXk_cfj(YzLhctO zgSa<{_s>(?SJ`On6W6ErS@cum9| zbHP#0xPjw5P{D~rew=3tBVN-|{5ba9Eb+|(#&LW?x%AI|I))?vD+oLANpc+1C)N?h z_4?Av>3uR@VzmBxeJ=PJIUYR<-;t1n@gMCnigZmJKaE-VbDmj3PR*J`dBkU*jL%YYvqgVeA_aFDUfpP07Z3(%E8O+7?8-Gh|geIySC$y^yOj}PR-8A@0f~- zm;;yz!>kM{wMUOlAl`$wWjLhaMs!YcaB>NAKgQuU54VR7I=ivqKG@}ygnHWdcRF>w z*piCF5HJ^lHV_PPY+AWheDkwKyqJqIRSb%6T5V2Wb*M>)YDfjcnaO_4MF4h92i2r+YBbfFVdPrx^r^VVi8EPEk0F`<^JX zn5x6~32@{*27b`a&xs=Fbig?%-a91|8c}oCHoDsHcU}#i3hQRoql5%~8^h=_uTTLfzzm_mHq#yQe+aL{K?C+nWF@FA+Gm>xlA)AYT*v z*$b9Fy5C#$#Tbt5y8>ZHWz^RZ`#byl@&hh7T42=RHy>eVB%WVQQN4I<)bdA>u8H!; zEWB+uxAIcI)W41Avk_rOG1ldvA2e{Z(-Ri1Y`VODn|tK->pUQRpYofP->3W$}c?Kdk&w<&P^r{UM3-D!)egVdW1fe^~jW${$yLdbi52{2Jwll|P{T zVdal1e_Z*x{ZxD@zTO)VcB}WN4IJzJn1w@>AiKTA%}1<}`zZ81lo{~Wp`5Um-I;tU z#rjLGc%>d+p+^4}I{<>O!i&X%5T}K+9V~G02r|AH(Ayzk(|w2a1CV{E>)Qz~IZ1ZIMX0aOKK_2M^}*$-DDVE|zjus)ZTdEqZ*_ za=*;R zf^_vxQqjb5Z0A&>N^%8n8cSy z#lyZ^Kg#|w{qJMf6R(qv2;0vE#GSWrY5$P8&QIJEh}T4Z#}Rf^1k?5XbHu`3vT$;| ziKWoGI-CyGR90G4Qd+C>v;WuQ_kl0V@%u^m4&q6aqbcJW*}sQBCi|b!C#CO+NZ5nOY_-!)W*Dw8=w@csi4(SgozxkaqoPJdLBgzlIONJd)Zl_m<`;k)QTV_~})Q0-;pZzX@dfA)XXU6zSs_*vf7t!hi45O+u?Z&XSaKfDYau8 z%}FFCd+O>->gsDtiz*$D`1a^F#NnsN3lHfsu^zw8v_y6}f}faI#W<=if+g<*atuX2 z=6ILk*t}{GGdGwfiEVvRQw!LqLKbSt49 zxYsOP*1sgm74uSv*TnMnSvb4@;hHwj*Kuae75xH#BMeBq^_I*o60vkO%wo!~`s7MrA^DH5vyS=tW(}uU=2`RPL21h~~2~81WbS zx>$bKgMT5H>)%j=JN^(7N3E#N2>BP|yi~{|Mx1M-Qw+Y*Qc)Kp-c??dn>d|GoT!AY zv6=;nhDEc9Y$M89TD6;HLpjwi_wg3n)6=7|`eb`Mjj#isWVW}muf^lq5VoIFi5N9- z+=mi*F@C=?+d0Q;i@qM?$Nk;|2%G#6B}iXC`gyb9>?{x0bDH6s`|#~{7dMZzN*=8F zIw)72@tfP?7hCso0|$Z>1dmD1%7Eip8Hk4EIwYr@lI$1c>Gyt2I{8~TDUV$b(HSYd zvy%HB4`N>*cjEHyRamHIutdu*m#^gT^7gK-eJ&M4T^0&U4w%=OdTp^T0`!K9q4y zpXN*Xx?l5tSI(m~z;_T&a>I=(+!YIF_kYRyIouPlxvXkeL(?8i8T0&bx##KshyI6l zf989!dKJH3p5-|wtQE5t?N)|(P0SZT*ijKo_s)>@)wfjEhiyOJD+uRxOZ-ub->}8+ zxZ*duO!D()x${ldufAsScgEuNb!JL^$CpdKx}Dj2C*xlaewt{HBM6(hJ(8-e{2rMvH@wV{%LY z@sKy(e-P*YrQS4-kki_wfQ;us{-qC{GoMg zb5^ZxT_0HA8e9_!w3zKSVZ(wHU|+8ZFmcu5I$0MAcnenhy*aIUYw~jP{i|@r6nMQk zp-}6({MMG$fpzN&OnGc*IGI1BE)-i%G+FZx1=p-!Rgf3VS-lpQV17P|;$NG;K4;bX z{FXJr7XLbbXuZjQXD{9+iX7JV)9t#px06%NIH|Th&{K=I=tQp+vtwMks6O@@3H??> zdpJ;s4L+gnVxhUx5_ZT71pRC9ar2zK)oY<=L2yluKYvXKfAU-NR|O03BHCJ0&lsm< zaw?!~sMC`4TglO!T*`BxL)C1pT!Ks}SL^z`RqF%(P|lk5p;bBgp*3rB)}w{x;B)eM z-eAG{mf+gB_SBHTQIt>BLtUV|Jshd&=-uDmSIi?{LZ!>;a~y`kPvT=^}$oNH*R-BndrUsPY$RK1O}r|!?=91k8d?$ap< zpS8vT9FOq9@v?#A_W_UntNZgt_V;7=eLin=And>=aa^OHOO9E%3rTQ`{wU|k9`P=9me&Th-i%+yjzCTX%AxL z#q`SPDY}@~FD>3xiwz=-uiIOPv$**Szq?u^8qY9!SG2bz)Gtm@4&e(eo|XKH5^ySv z$*@qMR~#A6+!&AVDBarxQMIL2^-a6##9o4Ed_{F}5nj74DlQYxB+>ZdilVx@(z>SF z>T0e#B#Y+>+G-lnlZDgBxocxNA{h7kkjr{Y){ZY*?aBF%_<1b0V_wHKh}XpD+v5ly zQW0i5_FRnN*xr{Q>{vL)ml-(DryWg#yJX;a{TKWokste=A;fE%fgk4)RztqH>n!Dm zF&y>qB5cq9usmfJ?uZMHa-TAAlzR?gd;Wqr&yQkqiSr>GW;{sSkGM7qcMM@SJHKGy zSdJ?Qd&vw$es({`Hb6?&4l*vq^dmnX!VWWlO#K=R9NWcF7aZH|h=Jq2&LjUh%hL>> zr1>%bq94cP@>c}mEP_B9N4ty~Z(dWs7hG_}r@Ru+FAZS_@g&WUeusf0zX-yn{n+kB zeIlOtVfd-=J>!Y|h#NpWac%Hf{*y^?%|DU20{F!B!!LtR()zKydS1ozQ#oIp1>bC^ zcKzD*YNrbUvu4kA_(S=b9f!o3gw%sX`J%1%;rAQS|G>!z_jW_O4gNf4Z`S8Igzfqx z-zx@=`4;^m(TyP>S4IKNeQH1UG#qSWO{yHW%fN(xqg;~$kkNGOD(Y1s<{0O(r zoSndrdWL7kaMa^zgdO-KrVpxlnBniqd6;j0PVURWNVS90|6+N_(WgJFBPx(D>smQ~ zGxl|v&v#b(Ln=O9g>}5P>!_iRxSlM1GEya9eQx2H#AVExBEKo(GSa5VZ;Ck9o7w*?nLXRX`*Q_+2R?~*w{b%X zcV-gYqB)XZ34DjlKyLg76>ek_-261jZzFt1aC7H3pl~NA!KGoDm*vfeKM}uvg&Up( zmvWutmkr;IUl{S4czyI)xbStdeup;7_O7q1u^VIgc%7fJ@?BKvSB&rY}xoAnVvI&r66 zaBl5o3EGbXJc;F?J}5|38(WWl;Kew^%4g#i-7NL!fNzf*OnuKNT*fVlIO-cjye8WH z2*QqvpuXD9CoSBl3y%G6Mn*ipEQD=-Zn$s~oE}G>L^`j7F&CU!-i?@@Vf`G1Z^}iK zptc+3_3zvw`y+j>u=c~#WW4rARk+WJ*Z2VyPdiP6gtKCHNXnvp&s%!n{0*DS1xF7I%j3aj+KRWhvkk6HY+U9=p{->c+= zm9P0XuZibBzB-#){ex3~HQvFnZPEd^mm6^`w3OvxXaA?<3**Yz`C)xV?g$?9LrKc_d4K^Em_ zTkvv;Pgr^oHyz5HK)j|D{5YKi z=UEt!OGnsI8EJpbuf)RDTR1-N2HJ1{8D1=I#cnsnB5D49D}ORMr>2M-PlC%@6e}O= zw*X;BDaZ1S-y-X4Xs)a;?{(52OOyT?l|HJ%kZdUmng*&eFADBe{ksK+<)_+{-JDL8D%5Ur6oJ9YTY$>l#@lU3| zZfE+wd>r+|>tocaCmWZ3o5U5scYr6czBMj_cugFKJYnHv80D>3e@D{q*@Vzek6aU!(Yr{~*5p(IfYz^QE+L(AH{Llh?kS`bcVQCTM%)*PI||={PttPf+xMZdB)HVOV)f7dHyvRIK8bu% z7s=~kWUH))h6OS_s=|F4GM;uOzQE$=v-n-SP3Cu0Kj~Hcy|+m|UKOveTU{Uh;6r;3 zTl{R?xWZ*Di7$uNuNm>0cpq+a!SOj@1o14-ApBJL<4JIbG9|7CK5@t4JMc-&Pr1}P zb!kj4aajnP&sFl?j9q%_8-~g9^;`Mu@?S(c<)tr6)Pv>K_N+lVafe)REdK?>Q~y)& zsqg%(M1I5#A)dHH@QE9B!BLJ_u!Bz`?y2R8^SExfaTgrrMpne^$?M=4!VY|rwmat+ z>hG5G94YYUBK$P`GJ-%R?nt)8Wy5E_)9`H^uaAZtSza%E_LpJ!4t$d4N8AO4JEd^1 zx!}zDIF>7)KVN|FkQqqxGjU5+N?ZkeN1!CG;C6Xkd3`B;%$KghJ{8v2X}^jeQ1Q(w zJfyeY5fe19^mh~JriFXPm zzh?N3%E)+K-s6bZM1E%xw%3b@&)*P_Yed*l8BKl>3-@#q+zAUehOk**TE8n6ZplV> z+(yJ}VmaE9;QB1wGcGvV?*#+L`c2!ExIRYQM#O6(?nn~cGZyYr65OKA@%$PQw%7S+ z@1QS+V|hCecHooD`moPoekuvhQxwlH6=6qki|3cNH6Aw~;UNo0yObC>_KWohI~I<5 z4j4F=V=xKsNO8Q}ClGdPry~~b48m@5Q%d6br6KIr{>m&|4Z?2xZ2KLVB5t5Go*zsg zNVoqJ+v4>+g|MSAv>$Q2pI@F49vG_9E=SCux4fjVRn8eD-hWTyVrMxhGz~Y=j-elQcj2gBETm3C=$E+Os2( zAN30(o_x#TvmE{K%ixo=T#j=tB3{#t_~EUXov=TLh%v-#nnzsa?700yI?cW>#l5GO zxAymT{&d!b?$2d!`R?bwezNw!2R~k0^nnfce>t4;$ng(9J2UOMg+Hto=TJ{{E_EUH zYu46#3QMa>sJlMER2?k3NteesVpqf&2l1NWXf}l}4=N7P^IcWA6yK0O9OkiuJW4mD zV$r|gJnbE!!y?S{nllRyMI9~A_xGA4^P-w^_j8_!)9?EjdW(v?+Wba2r<|WQ)AHjF z??s!Mg8s}OJ1;;kd*X~j+KWdnVF#o*BP$1Q<2pFVFw}{&yTn0EUBO;_d2RMAqtJY# za`r5v6mb!%x(e}7uITFZ;}<}bj)%Biy>ov|MGWG9d%jqKjByeL#H*)R&lOCks;7Ks zl=@LIaay-BpVZB5yvY09C0y5m9@njN>lF096}BR@*%V?SbF@8xx+O*M$;%T@fo z4BxXN{YHAPEB(9qLJ3Q<)`J9N7bL#Lu}x2Mv7*mF;BCtQc8sCU1oE)@1u2O@aq z{9#W?DBy8`GaZ5+oY0oJB7l?Gl*c#+uBR98^@4K`&P&IecH&)lrm?kRnq3^zURW5t zeqHs9b^^$U_s4m}9p5+?3%TMOGX^u!GWb~;ap*)_2p0msp@R~t7vT9CyWEuSxV?am zDiNghc4p1?FlwTDVLe9Ag_uIU5I-3|yIv;3JJ9cHTG`=v>V`o{@4U3P(5gzIPVrm;pGZ-Jz0&xyO;0Fy-)aATMG5h)9|V6CHM|}665u_F;X2ZirBX@h_FKtNaNUFoiuRFv8X0-Tur$hh}V>cAEyvz zzeO_nE!i2v@mD{>Xg-28j{Z3VNB*ALMEy7p%Rsy)&PSa@*dF(DJegG&!|~TJ!fh&} zmMi4c$8a1s*C6azIL7xIxa$zk*p>L4b3Jj0C;zP7vpu)NzXX2(K1s{vxbgfRiK}Rs z?cx6AbMS5bSl&Z>C2kBp$Cr)wV*Ug^N%Ir;9mH$m^VO1l?shIgye5`EjIjAU=}iSmw2fTz7LAf5S6!Kb8@riuA? zAYBvrM<&42KF16^<(;tb;l;APY8J}+(!6P3;tQH%@)&MF*ij(rt%-QrbI`!E9gZTL zr9d`b@b|~~v-}MRA9umq;~n+>S4p)vjkT#^S9wVj&eAHc+TOIgsG_{2sJ^_q3Va^* zD$1d6{n2TU;p{COIF7+C25daUHqzZ2h&b%K3k%8GY3;^NGel!hH=E~yFHK=rKDON+Y9UJ2icmMU5u3 zt8Nje=aw4>^cFf&HRy_0r+Pz9olqWM*pTs$W_k-6ax%U7_8-Q>9&Yyy*565^zSzFT z5H6D}MLT9YAa2~kWwa#XSpQjw*TnYIkFeRVXnrFGj_v*e!j8&Fd3O7Vd;X0*{>t&m zz3pMX*JY1`$*wLGdQctwQs~r1zy2tq`L326B1ULbe3LILGpug);y!=%e5=K#5Y$R$ zFxx57CmvPu1a+qh2i_a$(oV_hWqu%LN7^SJVTT0*(LUOa9Tx6r65JIFwj zg5|ZZdt4uQJ+iyoe^}1d@VeB`xy)9FFi(&Q_6E@1@{`()U=S;eccvqgrTH^_5p`if*&pD!}1!hKug5*+-yUd^cUG1|w~uoV zM5|2fQ@nz(LjZ{FL*p_YjK>uq><|PpaYroN(@AhAEZkTU+!YJAq$9rn(E4peye78u zHiUgD!ql(N!ab7&_kxAHjId+z#U!}&&Uk(m2>Za7q{~6M z5es)5VTT})i5s+q;T>?0= z73YP9LxJ|z_JBj%IsS(+w3gFpm?F#ML5n=BF)jzrbPZwpH5lr|cORGu6LIYrhVzXI zbk^#N+o6uGgSo1jr($pFIu$$qw)UPfe*n=x_8cs9>hR4A4DDFnL-AcC3g%2v-^FNz zvcD~Kin{kF;TCJFQ`M8EE$KZFX1|U5NpWRKQSJ7+^2!=~Lzxd4ycbQyp5#*%{iJ!1 zC~~Mr4035|K~v$gF^&&zFFkmgaju@v(j3eeAKaH2ysuDv8GI8O?A7Rz)5`piFIkAJ zQ_-JCV2XT(z^UYOFd&@@Zn=Z^r{c7lT0Zt`xJesYO)Ve9O-V2Ehl$l=6rPD&51^0g zru8;0&I~L@4yI9d?~CPM?vjJdZgtIvF;rpx0(AQ7|ztN608k~wGG=N3%HSx|!u7~BoF6&oz8=y!w0*%gW_{A`pFzGjCUQ3&X23_>~@BrKsW9&IN}O* zsr#f{Pm%g9ItG_=QZ7F>qhYu)=^1#jM98}pO)?oyp93kDl#pRpXS52I9a$D_&{_<> zt``Z(R>)Ruv}=4WSfX(isk@2hL_G|?rPUQtq}hB#^EGhG9#is}KMsh5xRZCK*$6Y` z81*KuOOc*vcZ{rX1@X&!{P15g`DT2fp_j>Te|J}JxW`$RwOqH_cz(-`daZU_QdAz~=+q*I1hHRdB-E$DPPrh_! zlwm4*@V*BgPypG*T{|-Y5D$7}Hd|yC*000}_9+`Ukam&A}YDZP|o+`;sO?XVT zJX6Kt{v@7q9NthJJw8`6Hy9Oge;@D5YS6^TG@*$(PsMtjgpblbetwJjjB#csM)Ejv z5yN^pb4<~={Rs7V_mL{%LD1I6k)Q4qb4AG79)vVgzsx{pfU-zeEe}I9@nO(7?uq4) z++%i#DU6K#dP(#d$jzcoc_eE4mQdti2+y{-*Yf6_$8b!jew0Hr71>S>7YY-(>Z!(0!h~&p&gO|4l2x3`X{%WM3J^!(csA_9Qz2)_VxOVG zW>cjKKFKj@I~4Z+Ef|{ZhIJ~=`RwF`1ix^LFYRH%K@FI@!t#?Kyhso$o4B3d=vTA> zW2#M%&$Js_Q0P#gqc@1_k=KMeDhE$cN~lZyO`x~BlwH-2yKY#1OAoeW{a`J6NV~ii z{tu_OVLR$Mi5`>cO&%oOAmv5R7K_I%-DpFHaL_cj1-f>NVme#4?idhtC)z5m!d5=# z;V3BXqZT_z$z6O=Sijd9BD5q)w!ZqomQ%j41?>FEQU`IXp_ z+V;SBCSl!oI_wjSsDT=ObKlSq!Zq+o)8M$SO58aE$92K<-o*7o?pr88ye97Rc*+Gw zzLyOg*J(^-Eo=Ac_X5cu!I^%+4{Fs4b zyUys3mn+*{d$-;JW!K9}JoV^L&;!#g zSTV+0H=ghC{!4A>;dVYM6gqjic?F{1Bzscd6S+lF?+Cv1;l96=DPn6THbPOutHoyq z3ps6-tLLt+Htc3E+Trp$WA@^>aLLj5`Z%Yq&*mBOerwl<8(-E#ANXiuJq%fV^>uR6 z!kxEpw!FA{Sd}m8Vdm|{9*)gP!me1Z#Y?G4?_&}v|HjtUS`J6B=o`j9e{pl*0x%{w zi0DTkK=2sm8A8ZAEqUmG}sc)UPLUAauZ0zu35^wL64e zikPVpuP{3Y@l)FhorRu)n~YyQJ|rY|7X-xzh#bEoK0uB695Tb4kJ@(5&#JRnmcE6B z*fP=6Bc_eG7>pHONeMWxKhV_E{zyn}kee76z&^`Ka4mfCTQZC;LPIpNRbi_H6EQ_5 zJ5Fs2YKHW!;+1i9T#ke5sfp8-v2|>u*LOuQugYj3GK0=a{>jP=W@auI>n5)K{aK?O zi2nZF@$H~y*?;Htw%5n9;x1P#{qbUb{e=g#<>mW=hY&WezX`7+y!X3AGL-EU6NPQ+ z25FDW^muw}Z{R_ELmjVacSf-C6x7n)t|#`_CoKKWBkU-dOh2dW=u^*K`h5l4ugQ}3 zuDL^AcVo9nf8ciM4=LZyH>z+G+QE45fZx@{8x6IkMHLl$n@Y+{m9FBt!=-s(zZ^o? z_9;?tP&@uG=TXJo-QmP*cQ3Zl$afRum7OggKzi|p9N)d!i5z`@z&da(^!HB3=`(lNy8_6~X$|{c702k>8Mov+GA*w3cAIe93WRHaYnRuSn~M!W+!GY_^=}=IFv-rRug9UM;_Wel zup@HBkAKfuxYsP)sA})&tK9E1@#7Wi`AU4LUJg@C`*4g~6~c)F^08F5L$&`(KCa^a zpr4Gz2kh0lauR+l7w;o>9u`;BLy~+BaA1H_HL&=ogdtwPQ&3Y>FQ%hv{1GuC z(D9S;6(X^GW^%?V9}be~>fDd3Dmp(bfnv3d^_?j$y&l)=f#ZUU?~Bzd+pqKf_Bacma{Bd=B%Ht40>QiAHv(hIbWcU@8o}SPS1qgp^{`$O@ z3T$%PRkU4qYaZMdu^YL)M-96?cDfy&2%p&wJJNMZE#B|F_F%UEx*vaT=d3@u_4I*j z-+lRk@S2YXe(;g^1wXW^|2x+mJ9+-_?LYX&SpQ2e{_))vpPu!FBcFW3wK*sM<2|>1 zaUhufncIHq3_noz&}aYadqvMb@z~jKFZj`g?;hCs+}X=te#_V2ynC=?-pKI>gO9x0 z^6N`q3B9AbaoYTsI%Wm}o38)m&*rAS>x|R6;r{gYiVH=}-{^j5|DS*D!ZjPWpH12E z>$l8(@xkY2fAp8fpIg&0_^GYm{L1IQ<9qegGym3cZdGsN%cWnP`_1!vH+}7|p7Fl4 zw9xatoqapMb>?RO=og>6eB#c#PCvW*Pu};ruU`MrFP!|)$>~|C{WF?A^-|hDEcwBl zPrmI)*UB3z+8X}qHvivl%4z-dK+*Y!XFl|uX{VeQ2Unzjzv{XE$>X!$pD}pdzkYu11CQryI*|Iu9l`fZYYhDR<(&^ch|jDp zI_C|&rOMOt)Bk+m%#KGtI&I>pkl4%PhNGdKS58b>?sD-~ZR6C!4?Blao^Ne{Q>GbyLOckALOJ-0yCDXhzM)i>5D2PoMMr zTb;D8WIorn=h?S(IkV2Te)hu`{Qq*@tKYkS@GCDZUpzQEeB$`GzI@B3XZOBw?upzD zjbHf8Uw3?N#Ch|{|NQi`U;IGY_dk8j2VVSSWc~JU{P1rMzWBkLmVPJY%{QO#xp7Y5 z-=5eId}{Lp2j(8X_kl;|eD%5uAAEh*dzXE}Gy9Vty7sY>_g+8#;zv^7*7nnBxBuo# zGu!Xm)AEad+8^qBXl=)>|1tBy&W9JC{mt0jUw`|(k9<4*g?qlczw71CzWVAb&p%oG z{AX_Y#*@R%7eDgNZ@%HZU;DGuUpiM%`_q@Jf3pAcU)#Uu)L(pe=5t$ito>Bkx9^^N zu43Wrzxnw+*Q{-RB&F!@UTJ=L*US5lzw%^f-r?ulw;g@f|4$3v+2MC@2jKFz4Jpa zW#9IR@9i7@Qt(fg{xopu>5n|nJNvx{rhjbatf#lEz3xYE*?(>Kygi;7Z@TA;@0C^`Z~ULnymJ1?oiBf9&e<2g{?@JEKl_s_&wjV9^UdGB z+xcSicXAk}O#kt?Ae{)LJm!7?5y?^Qczx>6`&7+mu+snUmuygZ04}9$t=kNXI zORaNW_Kj~ix32CJr#|-gANu_F9{=j6w*TPu=e&!4`h_pN<4d3WNAG)|t^13QoLDkr z?da#;JM&xrynN61>c6=ErMq$;X?^zOJ^s_v7q;E^)ZJYx);&Mx#GgHx_IC?ko^kKH zUYVX_-)HsxvHwG{ew5>d69_x9yAaP;8aMRec-$z$Sq83g%L);)`#I*~Gds^*X@qkN zGfus~;K{^qc2|@2b9Q-bxpul9_f#CCG)cT~96{JoVLd*gf6BnoE*FyEmV7kEkGKki z9rz@ZU&O*aodkE=w)6MA#1$rEzDee&n<9&^| za9ejYDAI%e!y+QnyQ(9Ie8R^@?5N)nr-Y zPnGh=w}^NTd>R)tS-soo`un^3B)Xbh9=DVSC;4r~>o0+}!uGps?p}rCK~}Gk(Vm9l zx_bZq#P|}7-#V~F(p}gc>cH4Z!EtWZ6wY-jN_wo5W;3Dx`sQxGW8>0 zlT5h-$TNcUK?`H!&l%|#jo-wPhbB`l`I=BGivV#q_2SswB=$&@?5Jg=mB&RG}}&wEe?Fq#VRJ6YL@ zAy3+hWa>dVCYgF<{5i^x^kxfVQ7=k z9Q?Lr6Hi{6w<(|eP0|>~6?`Pk69&IBgTIO8Z_4jC(ub1BCoj$0lu!O9X$<3q#~AcD1im$f923jm#19zhL&k5WlZPg={N!tr zDc3oM@+19>g|YFe{}tnrVf-eJJT#ed$=4)P?jZ8CfsfDPW#VbWJ|le)zirvXlb7ag z$|rx5G=_1`N7FnPkv?K!67ZK}JkpKdnuo@la>>^uQ*IgLHiJ)r#mmIA+=q~9YUTlq@T1fCZ6)I80qOhbC*wEnzt#R{7upr#tpOl;8y^bj70g3 z^noPu$xHJ#<&(cj8pF7AEI-muS{NID#Yj*6c|1Sz&}7ObUz1F^S$~n{X#gLu#mmNr zjr2a_H*w^l$&^dJCYf@}kY^O>$1RMFzi6aiF@6(A9-2(K?H?GI2HF??&X=W~5D~OU7TixBFp(hbf1;oH5dpmD99i4P>Ogn(7%d@|isOn|KEp zOQJbg%SurM}$&`3XS{3eb(G?{YA*CbQ!81h^}`lyAm@#(*e z@o^ z3`v*gxW$WUX1Qzr3hf`5Q5T%Xa*j3q*D;-%@q41O_ZwL9n2e}{=5CgcdYPpAl|IW3 zZvBe3gD&5&i%zryd66{kToRl_VI1{LnkVZw(2Fh!k)_W_uQ7fz3wdZV%PaWm1o%od z5i^23L*R4B;$^@E{-lw9#`sMfd1x}_lCMdWdr?L7{dJlrwCyw<$HXllUk zKDaTs;pnb9of`AW+wHzec_xhl=XPH`ZsBwu@*FYJCey|FM6CZL50<9}E;Whn)WtE< z3h=wx2qRgL$=9^E&9@EmHJP}67oNnieuj)RTeiuMc$UWpXY(`L*8p{xHp_F);EODA z#ByfYA z|AEh_#fxd0h)$C6GI-FOu6K1k|}o_dBWgRX7Mudw0FOeK7`+AjIhR%m*#EC zCx4TiVRt>pEu79no~hTwc-XSFOyV2B+Xrlhf!BPrPke)s-e&wJhCDQx#GAMw;KqPC>4MX|*>7Ai(lb(Kd6LL^AcI9Ft5vQa_3D5BU1vk`eKJ zM*4v9n|a7XlPQ;cO)}+rk!K9)CoPPPzhb1P&WPtn9-2(KIm<&v*SrrZJK8AbYW3uEIi8tGSz-^7uJCQ~l?nqqQOWHNprhM`@Nn;r2JAwK~`k;j|@sxkgNFT>{6_jXe!IyhFU{MO zPyQxp4C988$2S}0hf7AH{6=~hzun}Mm*#ECCx4SPM#Oyv{6_jXe!I!{ zfS2ZN$|rx5G=_0C$m5%X^1~$~QGO#mjNfkZ$xHJ#<&(cj8pF6DmjC~?a?ea_iu zsMF+cXekqxkJ=yP*I94pU$$b~p?}#AB`%}1y4v4I`&mYlsQp2Hp7nPAWh=HF`j`Ds z;xbChY@+?-Z)hnKmXF#W#gS;mCNG4ZTo||Di=%C{)s{RS#Le3sBH4u_I9TS zbyY6*t@SE(HjtlhDWkktqV@;*m0(?EWFwYvd&+Jopd9uiIOHdkFpgTK z&!v(*ZbwU*xV*~hUfyEL#FE!KbB6G~<%S?XF4s!=(mhZ~*iZ5wN_<~%I?ls#?d}Vz zj3jPHrNx%d^?#$e-ax}wiuKX(ACd+0E_kcLz3PKOAqXe%$AZ^?K>&T#Il(6R^Q5#t=M+xU-m}L;tcLN?b;1Y3g)Oq5Umo!tzo3DHF?L*4z1) zt=M+xU-m5=Wh=HF`j`Ds;xbArsQpuEe@mILypAs^ z%EXdkeY7#CGP0?dC1D)$6G|9InL0)CSGAN0%PWqJLH^CGk2V%nPd3}dAwQvnanx_& z{{J-2r7dOR@+zzQw`t16vX=GH&V8kzTwH!M%D0}mNy2_pJfXzTg)#&amTUK1C_WFy z?Yg4%XYhX*k8;tnkb&NL4Jw# z)N`D>WlOf(#Up>Agz*$^=e{xdH@B1t%PXGl84Vk0)(g)g9@%aekNkxa#*@5*_aCso zBJdZMl|Aier8fCnNlVUTyD>M7=if#2O)NE*=PQb#-TtPyLMc(M-E-^3ZG0(i*~|uc z|JhjM=YdJ=hWkV7^T6==o62f?wtKD@J}-;QWhmS3d0CotNxZ$fXEpbY^1|(!`w92I zDU)tfPG#fmnh)|fv0h^duVZqv|M~4H-|e=i;t8crxpv#L8=tKbZ_iYa_n(cme5XGz zsqe$>xi0V##-SKWL0*ORXM5Hh+n0@Jv#;&8K!uQayKN2p_?+hLt9?P^J~?OSzLLFo zyu{@ek5VqSt!<%x&y7+pjxR2^Zj^GdJy-2>TPRa*Q%+^nmx{a|}-O4wg<+^dMCRl@zNi0w_3DYhx6dU5-zL4GR5zVu(TkI9E(XxBc)6-qe1 z;<%R*ORK0{%@QkjasPud`8MU^dOEI^gZwS5Ki58?y0WeQk%Zet{z3`+d)?i<|1wOz zmQh6IGeLefSXUL}u*4z!dB%d6cBC=*MD^>+7@Wh=HF`j`Ds;xbBGd=J+@wqJ3dUkT-oE^I?`&yK&tT_S;=g)dCwTTcupwwlI!W z*ls7XSqSn*iy^it8$0k(p$^|lZD%q{({W-;m_MKE74*^U$A)CP-El(xLJ8wZ|BUCq z*ymfNVUebF*<2OmuVek$Vv)^u{VhMCgmI|v)z5q*GAt6Uhvja@w%d*OpW2j*E2{i- z%EYoDSXWwP!!pC|EW4qEey88d?|;bWn{Hu|rgimGF~~2o{%rjuo9+5ZenJW3$Wq7c zPWxNRw3eSvnOGJC>q?7kSY}iETgrug%W6OQd}Av%yAz}K2l-{zpRN6}*|zzuMeSHZ zJJcSiv@~`kS=pP@?dGhU;D(m>(UxARj_v(-SkiJ`E~=5!4*&NYmc~^_=!`)923g`Tr7A0^4H$`LFFF{_2rclO)o-yr~B8Ycg*(s5LEejQ1&)dya&XSHO6?rs;o4 z7OG4hs!kEA&1E<+yUPt;nXF9APssIU$EUSjR{Rs&rC|QPVsVU}gJEPMR2)Y@`CbO) z`!p!uAA#!EFPgsRT%W%fs{Bi!+V>$0&&pW9>2G&g_cR5!eQikrP?&?UF^)W%iE zR@5&W16%1`ye+q@w>1IEjwE^8=oFwk=tmSn11;&-G>%_m05l^WCrN7Rz<>eQb9^#j$udzkOZ`wLMQU{hd(T z_5G%Q8mj!aptkchrauO?|LnbcqrcqkU8Fddf@)*Nn1ixeFqWX|R*W^HyThlapw3Pi z({oV!QUMMv>~({QUEPHG_*zeOTxD#&GuM$Flip7Mq;4PQ#^Ct*_RW8`T;AG#9hBS- zqjqznPks7%DE$Vg_TLZHhrcy_>K?uiPlW2Z(@nn~jzhoO^!`2lv4_u|NB#D4*hBu$ zU@zQi`uThL{ClDLYti1$>Fj?rlzs|SzyA;_o?D>ey9Y{s1+}duCHh)Yfhtoox}W%M znKEXeZ03vwIJ7I<>+a@lvy^RfD&_vOeV*FK$9N)?tb~g1n^1i|;RRk#L$&cGP<{S# z)6a&A_gbhH-e&qtKDwzs-wP^#kaL^r&xNwTr`-%b0Qw%{d`nxyc}t!)#_h{lxr?mc zSAu#~)!V1n?ZfeD4~|dsIX+F_Hc;)aOo)zk8v;93tM}vm2m<*jl>dPloo)ul$DVC| zezM_?ajw9(s+3o@Z&qDv`Y`qCN?XKnIMeHfCw97tYsR^;9DBp`R+|-U%3glhvk3Fp zP}*0a%AKN;tS_-{zbQ#l6G4>|@aEf)FHvh@J2DdR+#ytB(KhP4B|ug-&f=3Y>~l`okM zRe!PRL*qN#_$A}AUFK`C{7>(s4c#McP+Bap|5XPz`_Eu+DTOlT?=Egx?XLogr z_r)6gWa30vplwlKywLakcc5gm>B^UU49do}rYrW;!OV|XYKmR)E%#$KOi%Q8GLPtv z<`Ak=r2I<`VgFrB9gXwtypOlJw<$d_rQ1!REmIZ_)cUIv@_o7SS=CJ*%Dj&ytGa!i zu5V4h>s&dpDLtX|MM>9pWp_3ltLxN9!8MHZSkx{pMeJtcL|CP*8mAR}YFs`BC36n* zy7DCtTHpND^w4iveup^bt{mTVy`pr9{EjguU)bzFUG@IuTV*9l(qcVhajb=s4?@{1 zTe|8?4ukS>lIa7px|zTAxoL~WyPjpySd7NY7Gjd^Jmm_9`!?PHC6Adtz`0}BMSX6{ zvVnXu*B>1R+?{s(p~@3O8D|gox!!xmbKLB0%1`u; zb-hcvDxLX`+?Z_hF)WKee++3H2bCrXx6S4F$waCCPo4NQHsWoe)GBGQULiKE&pq0g z-v`RxA*Sb`@?}Tz4AeH-^)9db{z}qc{q)yFH*0mHztsNXG0Yi`q{R49x9zbC*x!I5E{t+~&{!>hpE9H+O=M=Q1eyp6R~M0Ar=! z^{j7Xzc!&dzS5KL&Na?aYc0M!{v<^xA7v;X zRjBytP(G4B_3>q(YUJTKSTen0`88NK|Fd4<=SIt6H)UUE`nREM+yFHnowMBQZ-Q#a z2OwuJx8C$`K*iAiO5g5-pkllbD&|X|DN(0BjBF_&fD;f#I!gu2b|^3guQ z{3-hy#tlo>%7(g?O^^7tdrm`>@pm5APCxYf_;cwy)t|z>vb)EmRX<03>Z{Z}%qgR} zO5-@Q;Fpd0Xyx_3+|Qxp@20D6hPu(5{mSM!dzSii!^oUAwbI*oIh5RZy4QaOCEcsM zzAKdMVftdz-)Xvh=H!!mt^?P%UdcJ9Yq_=fX84WxE?M0N%+_sh^u8W|k~`ky^#`Hk zx28W~`f+de`3XP29NB*9w{Wk8C7JQtI@FuS%FIqTbN#gZ)ZCQx@F3Tq+nI|#JkIsq z)9t!9_qfjGNms|tE@$w47PA%V3C%_9THcQx_K-ZsW0rL)uVXCsq%UCkq|!9!+1~BO z(pO5$vTQWlt$I^EbpT~!+fD7zxs3VJAakj~Mcgx5n9R4{i`hwU%qep|_HI{sr*luT z9PwiH8#~XUmb7H2OWxDVd85a@^<8{VnPp{EmhU(Rdg8clcO2IuNy;U$kzCkUiF~YN zjN-jC45l3wl8`FQ)+?JZvnejev z7E`pf%$#+nk0d>l+oFTGLABiGOleIp3MYy6O%gJr0dyJbNJn* z&+WqgH|OCVH-oX7 z|DNR!lU8MYJIj0dXKvBhThrB)rWmpoLmtXbQL*su$c*!*)(2~os*OAGzRhKh?Q!3? zvT~u1?RQY}1e8rz^z)-V);G3IMSe6tUFPSfTLQaTEB6K{`B+e|Zt*7X^YNzPv&HLv zGkUzKPxyF_gOXQ4#rt~5nZSMgBA*{04~F%ua7|})zo|mrv7e%EkM_rpW}Vp~<=mn! z7p5l;8s`q;oV5qnDarG=7nEh+#7}91bKhZ^uI(hx%-x#OrgXX~q>WuPrYU`B);Kre z3(@lcyL;^CVhm62k#L>2o%<>rFUp@`o_Y!Q5|;W{Lp?Fn%QHfjMLlk)KCL#TI=P}N z*63WjhI9F%gbUBRL%XtXa-VN-eX>5W)>rMVbmzzAy0V(XEyZti&Rx^Ny%}G3XnwDo zx_pwGx@f>nT|cQ7KM7FzjLO?w(#BFc;(CA2C;dGRKP=(+4b!YnE}CE1eZbl#j9yJ! zU+UW$>NaOehwT|j)AQhkJP+>Y-V(?9evbA1tH=9!0>{Dh5BMJrEUV0Js9PK(bFuQZ z+?!_ktd$M*);Wpx!JNkMDEAs%XZOhdR{EhIj}Jh}zCW_@8Qt@;>w_7+ZpIq+U&h%C z=0-CZgEJORtt1B9%$EkoxWQ$~bYe6n8}}?n$|y}^mq9j?HZkEQEZ<;?X=XuDFd8WYfux^i5kf0MNB zW=Hv<9@g>OoBM^_kM;Gjc_Z^UmP3ysgj6js9)b!#Z(WNk zM`hQKsSHo+;#|*pJ0OWx|-0W9g`tPk!P8|n9xwpeNOf$~GWsg9e- z2H-eHJ%@dVeTRKNXK1z`_m?pr?Xzr0-Mg>e?%ZEkZZ@Bx9&J1Nf^FybMamcMaPD&~ zJ5*j{e>44sZ>8PI(s{g(y;TqEX}>G5-$_bP$Nh@-!>L^yt9X}swZ99d^Zb@zAGG+h zG0Q*k`{2`1a^#)t2k;`ObI7fx?|_ndRiD2vlpG8d%Th~!g{7Zj=`H=1R@s{3+@;&? z!oAYj9J|A36UniS<3-^vZ~I$N@+;G2gOBjodoDdA@3|}v>L%~@bq|D+V@ywsc6^!B z=jL#noU^i*`zJka&LZZU3un}(SEp8nhMC`P8(-&4Rf(T-#@GDO{&P>iEBws4r&+E! z!Ed`zAL4#olKEixoK*E!5m$6h-rn!JA5Oa3eVmiG@Ci+`5$bAlN^K7A#pHta79a5K zy&OuuZ@Tt@D(l->!t+p=HnNT4b6L#bxGev9{7Z@>eb0M2_Kwk(-o|!|$5!z{AJg?v z@=K_(wZrtpFMa+_=g`=Hd^~;*U9puFQ}oVIAM=6cd+=_2BevYHywBTfiir8fue~lC zRoMuh9V`gzS-G>JcqJA3 zMpA<+<9=>^Y0MaN#-gzd2WBw8;T+nxy1UwCPb_q-F8vquX~AN=-eRso#rFrOK79tN zPbdD?>w81>;fqW!n|_1ogIj%{9u8IiOxOj_H~pJ%9Qq@sPyC(FABHM_095`_rk@EF z&wHWd!_fCA=LY&Fl3(~fO+nenK*gMcLwk>%@`|QI|`hzgahicnXP%`!pjkXntT~dN7Q-P{e zGr9+D{1`LFoUv#u!+{k4muvX|&y13;e|_T}9F3v+f58}P?YE4z<9sMt57mb6K(*mU zsD8N5^glz5sqR1e{C7j?7nuGa9E<*#>3xs+F*6s+-bJQwH2rC)F*N^AKL146js6zX zKL&fyZ!`S~%kQfD@-w0G_cr}iQ1QPGO5O%X#vuJ0$uDgTLdBFZ=8Oen*;s`G^ZR(; zZ4&Rh4e-8O(k0jT)q87xAJ?{--q$!6wf6Dz)k_xZ?=AK}L$zh-ao^TGp|-_h(_aPE z_ir%$cy<)&CquRCTTt8L9@9IY@Y`Y#D*we$egCxSbGQ5aeWCJS0+qkq^!Gu<^I<5t z2*!Que&zc%Wy~0JP%#&vVk<$#R585{2lkra_F8#>+kN>Wo=xxXCMWiGjo$V5cQXG4 z{ad$q$Nz-@kX@kKlQ#VfsCIt{D&}uN#ryzN%qKnR`)3VQzpR7G{~A>JckSR0av4;= zv_3B?(gvkxm`}K;e3>~=GR#tZn(GIabth`BNhHh1HmA+$VjjzL4$}3EJS?A>IL1v} z)|at$5NwOXmU*QvBWo`W6I;SO2U8NIVTk`~MpN9?qw>0R|UX=+c3mj#bt z3FoIxX_P6EzqzGMQ=05_V27p2PLLMGra08bn7U^Yx2>h^+6L*G&eb~KOyztt7@cpl zALj=e`*Eo&5pAzY<1|W~J07ZTL|Fk14HJ)z;Sc*Mc?{tj;A+^5xh@xW7c}+*{$ju-JA?`KZgsY`yEp z^LO_7-qrqHzg+02-^W&hlG{y>?ToO^y*BwsKIF%48V<}G@8+$X%^YMFbC8*A!>P`n z<@`2W7x<00U2VYo|H35i|7s|^cbT5#dAxG6Q0WrI8B=*xe8;-_zaHqC59t3IEr40l zmqMkvuW%0uB>&w%=U0+M+f6oCp3)O-OKn$;0i~@a&A%_i{U+=P`-B`^!l5i+Nd^2PJycV7O0rNVET70e>Uw>+{Z(S>gHK* z{teCek>Aj431TjquP348g;Tum_`b4i6=f@U*5M{M+FZBt$*I2n45&JZ>&Ln4*L1s; zjK^{3>CJE-SH)ti!KlW=Hs^)viwsm>7l| zZ97zd?tsz0%!iHSdoxsj-eLNEP;HVFh)Ys}vQdGuQG>GKenZ?m@90|=9nqq9-Ln5g z%ysiMX~@SiZHA9+7brgmLix=>$(x{$jB^#fCD}GHtZfrR!Rl@_Ti=1QdaLOjGaI(^ zX1fUGuOc7Z+nBX-2HR)Ijc5Pw%=>-6)W%o5jZ$XwI4HRoYVC5qfmarakmw_ zxmiB_olsIRy>$+iK1!@_W(nsNO=*-# z%}Ka@S>Ahe<5^{x=HHFtUMKe!^&a!|h4xNl%ez7aVyX^%-%mivGp2{fm5r1eijMdG zU90%rZlz09Q~f9wUf=vqqkClMcN%N9T`BHTyLkUgq2%3AYacQFV#}8u$pk20^Gpxp ztSZiy{XuDxFvfX2Z^=Zd{!g||jA_!eO;n<6F;p$4ze3sT+ttT>vcS_HWR{tW?{k%%g3>){EQ#>E4T7J(w?{6|xeeb7vn=GZg@=6v<1?H`M(dvH< z%F?$@zuEGm^UbM^_Y8~J8q)h5%tt5R-CvL2MAV#Ac@o))xBYuhYwp+NZ}~kM`HDwn zB{kxS^~`+Vj+gA_+x1?kwF^uix4X}m9myOhUoSU3-1csdc#KYD%yS-H@8={+mxOW7 zdqZ=a1?(-ROdOZW=SYk7^a3BpYAD$bwKlMa*XKZ$l^w|iP;p*jx*d;3u6yF=U~bQZ zo5Zq#c!pIm(Az$v;`(7|!C0QTT)?}b>s&v_K;7F_$^AYONJ99j@nz)eb#$ZmW{;gVn)}g}Q|yFtn4 zpw{j({TG(+``VskulK)8Om6ktG7VdOEp6kgxS#iRC6sK1THAl2*AItkL+f@`TGo8! zp-LB_#!p$k^^D-|G10j_-^Op;)|hqklic6?oddOYJe19|pym!AH~rr%{~J)U2`VN@ z&Ej&u^Kqr%z_d=@+fFK`yp97Ko+YNL+1g>YyB7Ia4uFc~Gp28Zl5a!#jjs)~p2epm zFW>5mD}7&7+W1W#;Op-LB_~3yy~p$qT7J82P&7Z~7C&3t_{kpV{hR?M7elRm#q=vI ze~5b{{VTa=%6snpSB}qXpNo%&Rr!goqnm$op5*yoye%_}y`L{b$*)XLoZRhBUen`V zxqO_P$@A~%T@2k%ud!qA*z1PC`LdknQ%Mow!$Hq`kEbMd( z*YI6F+Xgj@$35D-4Yst2rS>A<&iEsy`(}JBOQ0kR)kYuF#OT}>9ZPwCAWzScp^Su1xIlw2IttF+Wx z8`P^>xu>k&&=C!PwYZ)gk4iy*X06=YpyUTZJ@*I3L-g!;JkO4s=Xy5>HfmONldj(S81&TzB-WzI)!zsNbZ4qkQmSHp}{eUsZfs+>X7!#iJA1s`+dU9UUJT=S>t;7u z_x+!S?fSdg#!ozMa%S%;v%l9e@9#LM{<_rkjZpGEOW*4i78jJf0jm5vE&W_e|0s;( z%@Us^uQ-GL-O(n#vem1aoqs@inzY>eOTpM~N%m%SaStuY{e}LSX{N1xRwU>CFt{ZMOnByagFl*rF>vJ`6?gF*-$d})s5Iv z&thXkU?XjHE`^dqS9lv1cl69GgDpu;HiQ1J1a{(fR;>PmP||avZ|77f8HQ?O>ve1) zwr%Ivt%2=|)p^it{N8lgDYeu~?$+3L$$#;_UI-;enI5*SVr{F%cI;fWIIt79&7I`! z&4!YfLan{Z^w(K_Y`@(#mD}d~G6hvP12z70FuL~P_b=UdH{Ko1wTUB+CuZt3KCWY+ zWChgPze3r*3hG$)chmb$_W3iQWIj}14h{2eG0*g)=Sa*gqx&Hg8RmJ#r0omb#E19b ze#&0_?q@%jrOufw^VTxIjeak>XQW?3+M!CruJS`YtRsI#>x;759zFkT+`CxUrrp(3 ze4N{$WX@}SI}U}CqoM3ds%E&@2*U9Lj<7M82d zqJQl@cIgkYTob3Q>5TM8NUJIhd&&>>raI(@b`^V8vFo_V?=i8L9`Q1Dt z+?RW?d_QK^qA9L_n|}hT-##uN*0)nO-j=Nl?~|%*YktMr({;Mv=F_0|q4iKPTnZ&$ zgNprHsJp6jR{4I|A4-3P>905aC#I`S616{V?ao5~JC5<(PwI8yHzTrbVobi#`}!P| zTxI%Cp;8_*T|V-%-E@uB_&(v=vPPQOA)o)L5&UE^5rzp(08C#p^NC=z6y<3wvSS^q58T38YIVvXs&LVHHZR!vUCl zvdc|^X&9H!pvRIWeJP6SOlBEmQQH=u!+!VzJ&SxL&l#5UEHdl#EK>X7biU#Cb{Z9r ziT`Ov`}s2e9VNw5xBh=^-fy3GLiP0pP<@;{)9=sop!VPUp!)Pt*attcn%^qH??Cn8 z%`gccF#QqBANTe|)TeWy`t;RMeU^i&|7NH@UIVr5-Vfz}J=7TZJk*%@uI2yO^6#Wj_zYm{&cInBBX)&lHrr z0BY@ID4QRGiuv=Vf6MZ(g_4`0Vj9|W9N*CxKZf^s#!RQ9#xs^R7fP|Am0z-$D;9Ij zVs=k8$6S0KG3URjXfQrc>hP9QgX|Ab^?4)UfBpbKI=EIxXw8g#0`<@LY$3d;V*7P$hzxBC)p68G9Rpyyh z>TGZ0R4BRB^kLTX=Oo;EmdzP^roV{iwqY7&YUqjgHJ1s~n%>i1-Ta<*#o{PhoAa=O zEyeZ-lnfPooA!kg-xhza(z717r*DgU+V@)x8|m}Bjn_fddKZl9M(51vet7hpC~b8s z@@sV-wX%OTeVB@=_b1!|EWdca&HLzwFwKAai{Iw){m|C$KUl|tHc|Tu=isZXca-F3QvtDDp&JvATbgloLWy1#=+is^c z;tcDx?k{PJrAiFh5BV6r2qhCg?DcRv$16L)&_jS{zyAHN0ivR3a*DDm&lAMEt*jK*Br z%9bdrKB+*Jtifn3{exqu*|^SZR84;zs%+nW{@xw)}4Z4&}KI{+N%+U(Woc zu&Xi|C>uE_e+8Je`X7aoFPeTmRLX-eifx1U*8>~=4#wZ&5r4(Feb|*$%wG*=tlp=g zbVk_4I|>W=UWM-Gtl_um7bo0>EE_(;{!hJ7AF0#Hc3i?f9lQ%< z^ZCYaDdIA%4C{pJ7RQL4_WH;-DvF;=xX-af3$VA&e`UEUStH>L4g{;;0+6@9-U7q?H_*#A1nxfFX%LcXB3RSt%8 zf$|HU`+6z)c|UIUhmymf){cg<`Esc7d=ixJIF6lY+sls!z8&_3gqy{(@dDqcp+0IK z+P0IQqS`WBze{L-Cvr>Brt%kkOh1JZ)vHiXQiD-y!uL%IsvQ~V&vlLWG9CBgtUV*| zn~kRDzT|y=0!qFNwe~Hj{<<2<&kaz=tUI9OE~xkoSmb*oTy>#*JXqYM6t zIP2zbuP=N5he53!4WsP}qwNY6=V?&#MyMF$eKy{n71HluS$m=1o}u2fJ=^bp(es$p z7~k$J^mE0=cbT(o+LrpNkL7SEc^%Z+8Ky^lX*Nr;Id!a?aB9ob6D0CefvXQanvl1iZR*Yw`m%-zSm!B6I1JWtKmy_r+m%Fwh2mZ zf=a(1YCBH4%x}ltq4b4NqB7YwzHbhEXDO?4tAaWO>PSjZmMTzoYqF(#nl?|Z2XFJ&K)1uY=j@h~a%IaOFKV|u{ zoi*Ees4~S7AB}epGHrZ}wC8dk=MpG69ct}rsCGSLdiOVc{t76+lCt@(nQu4N$B=@p z@3^gcmKfq#3TFEf^HqcLn)#-Wb;^)Pi`cR_=%^y`9UT zuSFlLUUdJY@y(O&{Tk!AYGsGN?d$FX1e(h)Q|h9X7$Ej9&I)UT=jAanLB z$5rC*;ix?ugZl1!zWl|Ty#L#v{98NIiHY3%=UpM*DUZG>5}lujrG9n7z3Kbh)BY64 zXU$3Zy^QqtvZRzoUo`9Nu`TuFCpnH7N9G-k^HVj5G5rG{)2pGxV#~)d%1#D5lKhB0 zeXpotZ%1G+-iDQHyxreI$)s!jHk<<`vRgE}6=Tilx{15xo<(Y5W1Pi4TA9PP?4Dyj zzGObjrr!*8Y`e$wKS1q6J4{#I6uu=Hs7P~AbqY{rOHlh+1#17Q8C{RpQ^pMR`%2?^ z`qJmohSoMcm!A5eZ}SOI@=2(*FF?ip4XC&`LB)OHkNk0A2h)mS$s$J6)odfhz!Z&QkQIVP`fe63vjX#T%pJ3QBRs#rffZvC>)^}e58 z2qi0^`r;i>{dunGU$Ok(L-oy*P~)!S2CvVB>Z8-4dgUFa-v_n*eq;IroBj5C1giYw zQ1<=?C9{6)?^E0ZC1Y+(ME4^mLP;9x+1sI}pAGfw>}u1seT($FBn?$73)QB)=@nxQ z`t395x6hT$+h@anVEee6{5X37l)MOP?PRDJUU#$a*ZZLKhoJK3+~WITPbhr}RR5k} z`iW4Y_PIXaz7$ky2Fg|rYMT{cyK9}wKhd5d{^aX6^ZTI1@mnZgk3;$TE7bNId#jJH z2dbW=OdOIbRBj!rZgPT;GYv=kR^`@zBG!!gJl|sY6qLUbl)uZMV!a9~)*nL2_0UJk zF@abl1sK(Zs#}37Q-iAOCfe~8YW!xPYUW_OK2Prd+~XnTy$#YEf6x4mm4AlOgg99^o#(HJr zYi>HG=7Kome*5oc-r9c+RP0-zw#)BK@4v%uuM|{%7OD?Uhw6hfq59xGP<`+LsO@qg zRJl(;)%yz6x$k<@?}a+{)u8(1_fUPb9jed%4plGt6aBTh4*e#n7;EasXpZB5H={mW z_bh#w!Irl3DQ5FBDC^%f{RybH|HJgC?^pZpt+)a2I}KGQ3sp7`eGH9nWt5*KhIo6H z?)0(z5K10{TANt)G3*VspS;)fe}&3l4<%|x(PFA72F6qL9k})W3n=P~Ep6hcP+xT) zHamOYdtS{4C*CvV`AD2-CvnVL7(tP<&mz+W&r4 zX%bmfpNU8CJTe1gDKSRE=fz4dkf!mh^$PyA{sfdH?)CjU6)IizCEtMZahvIiKer{} z&b`0+8EBZ67}U3@C%8erLp;cLhzD2mzpVy3<8SUp*Y@jZgZ$LYuA4H>l^*cEpMjD| zKld^14<&~~)rs%fYCT20@SK=Aps`O^9`xmZ4JFT*Zu>$yk?C}qMeL&s$29$JyWM?W z`O3&wv@IInidhx<`?dG?l83y%`=IKL`0Hd$FQKnv#`loheKRc1Yb_h=t&Gb*2mO6+ zp7Z@a;mRzzujrluTbO5C&1szXgEAvA=Qy9Q>2@da9?Hn~)K~I%SUJoVjH+^IqTmKKu=6^KuJ+w}qz3SbxB4y>LiXTZG%0hCg zXBzt7&Kp{!KCK1)5yw@t`V;@`?d=M+_EytZLvNjJCA-mn)VL4ZeMn>b7Om{nR`(9m z<35(1vc*`1@>3rr)^uPujx}cfFFvNvL&^7`)^3C9v->UmK^VuLnnvuSe9M1D;LAPf z>lC5n%ch&}rvGVBCGYnvTfgS---WvTX5=%N_ZI>`*&W``nNac}(^W60dM*9DF{oRz zvbR{>2Tk|$zQ+IOs!~Vxvgb1{p7Q>Nq2w*5NAI{T;=N^#0pa;8b13Jpk^79yC71bc zoG4u)E9z^p`|B;|Fyi0o97dXAp}4C*?PHnwS0BsXP-};oek@ek5_KfsfbzB3^f1o6 z;%xa2kJ2PzjF-2>SjSdtj8)R&7;_fG`z@x=K-v4c>Cae9gVTMC!{k-T`wh$9m)kvF zj?H13@9XGzn^XDp-xBUXmTUIY{}R6)oY{pjx#*(8wt^JZBO=UAM?w<;AYW+_zl4pGXOoOt2km(0Q z$x^8DvM)IUDu(k-58F^x8#pH5S=RqV;Uk8d12{U_<{;X8uavN9X%ZgLgOn2aT@# zlrK?)>Ra*9Z#8eXJZ)J)y5azlWJrtkY{JKQxK66b+o0?eOn(fjtn5h6fhu{0>8;1c zy7ghj@@ufr;cZ+FB^4+iKe6=IcBc-f-6{Gn{$^Fp|MyI_S$UGM&FOM;o6|FPZnGmT zZgb82ZsvD@lBb~T_452kQh+KeJCehpa?Uh;K-*-|r^P4?}NHVyvX!hEdLfh_!vB6uj348+ zUg75hp+251|D8*W#ABXnqadVe%qTKh~#Ke_u zTt!bKIrgyK>PL3F^XQ~_E|g+^p?YUQ$)%ILZuukM0rUS)m;a;7v&kv!&;0-6O8jiH z%>NmBm}TRYKJHL|w*Px9zcu0&9p6lBH|LywRAYWP67#M;#*?AsL#BTWYP|f`^pR^9 z#>dEahj^z?{!;i8Gx8hEu`+G^)#rJCGv|AM2SL^S7bt)4G<~Gc;%hX%ak2~V0!-ll z8BFl+v}RQ=hfhhtSW*npHB9sOl~%QhCGLad?mmX|pyZQKv0P{RjZitu7Wn+rp?pg! z=C=k_$IZ5V6l(voH_-nAM;T6vI+ko&xt}|l zb80{RYVUDLk43R+S^K>nmx&W$a;~5AmG|>8{2WT2GF|zS*--TlG`)3Bkj9tVkg@z6 z%r5jc-wajvOelG;rH}T1ms;Pc%bV?j*)BoZtC%j9_V>OjP2f6e^4l=q*45>dV+G2MaQ?*b@U0u|TEP-VVz zkWar3s^4}xe%f(6zIT;8WQBYxj&m2V zg!iPvv=JNeIb;-Dq1~o+s~3$6`eyJbie<<5ZQCwtP2+qKl-X&lOy>7;OW40Ba=-pm z7{(pz=aJsSeg0UFsdSZ(`GpoePXC|gsV(hT^1non8f9$zN7}F zyJ4FnLFp;j?%PZY0{f%QPfF(J8S~qJia##y1tt4J`FIOd$~vgy<(Ez0X!$yR<#J=( znJm%qOZ)g5|9@=5bbl|f@jheb*lt%o)%&;~N_Ks{*Q1zuMjY)AyV&*guGVH_1`f>V z)Ga?O;xcuT6>eHdE0M*lJiXeG}QUvdeiTQD)B4R)dxBAS&+|3%ulxYXSh4s z_)EXR`#BLx&Nuy&P<}pddh~z4R}OL zy1pehDY3hY|9hS4FQvZ9mKht88dM#(i=88(wqpiH^J`H1d7bG>t5H6Zd2H)w?%22&UBPzi_{tH3 z>YZ<~Tnc6LD$_SvzRILzGkC7FHLzE;a&@aW{!QL)v=5y6zvNtS-p+A^?b&pK%{^(S zBqzSzw__HR901in$C;kB{C2;st(m<7c5+tk2UhQ1)BQZh<`?n#w~O(`HFo@eGW`G6 z<~ow+hQ)KnxX-X`(Qn!{dbz*YoW?i}%8Z=DNBiHT@j1TbUQ5&bD?G2O5A}bs*G%Lc z?+N^GY~IsfJ*76eI#Bt)CS858c>@0jx{v<@J)UDQ`s%6G=sM#6hV*D#1<#FJbnUAp z?W?o-)+zs6yl=h#c2q~sf9tAyS>rfRzR-{F;i4bkk3!XtzRSKWdVfAWEc@FzFY^DH z$MRddEX+|-DS(Cy7RVk zU+H1K1Iu?GN|b$wW!{ZG{$`ycI%OSY9c3ML!+gbAQ=Bcw+!aA=^^%We%IAG-E56`$ z)lJT)Zi@TZjsLq?(XZ6hzCvK5V0Cu?qPJ1}inpQuuCV?ymhhUtDUC9zuX6pz5`V)% z>xZ+v<|f)amh&99OcIspU)9&cul@OEXbz{56+c#$Z zdcU17f|A2;usJGJ%1NfLgcA95yZP~%h8mw)82Mb?@R@lQp9O5m&X>*RU(H9~X76)4 zl-*${QQ5rtEXo&sr){8P!@4$p(m(e0kAsrapzNJx`q`E*yJgvHIcAjuo4Fgktq(xS zznLD!ko*@vZ>n01by%@-zqEQ!Ld7utCU2)7%743g(_*ui+@0f&m3xoXyU6rtyew}# z8?x;g?dNIg$#xbF?Agn6)9G&Z-9zOr+t75j6RK2giK-oR=F7Imx zl|Mk7 z+uGAlY4l6x9v{PHP;%YR8tt#jMszQ3BKP7ZxSrKxD|~}6I%j198+EI5(!JisiT5>Z z)So4eRe_DN)tRxy+t}~^hK=Nc(c>rvHgZVkiRK;H z*eQ);Oi*T2I}c)qr5@U8O2bZ|k80GGR6D=I4oe}l)0BptKyMz? zU2Vp>rHzbf#`{j=JV@K=er+_T%tigqeedGKW87sd^QwNn7wS#dWjoDHd2YRs|CQO^ zep9-(dp;VU@wd1ezgt|FYwTyG-}*6fE0mnK)y7PhT?@txecH8KJZ~%hZj8H>Wrx}` zmbo1Fv7~>K#oguG*s6zh6l+nja!%%05S?GjL5%MAKBnEEWRdCdHD(+~p7gU>3i4@j zNdFkiI*X%K59=t7itUdzsPl%~!!r$aZzcY`X*uqm9+!y|VTJx!DsJ=so`#Y;NLTsCp=6jP{lpk|EK3<1 z!*jaboO`<5RK8;{fqM*Nc}^APC%QXa_oXZW8A?k+vT5lLOmJ-d$DbI>vOxU8Q|QObaR&XN52i4u)e1@t~%C#U*Xio zwyt1H^83Nl=o4 z-a5xivtN|`-Fw{bysI-gG0%;>iAcZ6PxtE^@y34gX6H+0_q$Nr>Mql7eA@f@4V2ve zSFisbN^X0`>%W7NO@H^gKQ}S|V$M0pHL~VlinpQ|`~5aiOz9w|@;`jtpF_!?Ojo^{ z>J94uVmIF7UJ=wyGSXFUNi^b}d!^}0b9+;FMvogfbwa)I)ldI_a1}!#u#>lXpEo<_ zj`4P7CxsnJ#;A2gnhSKLNp!4A?&Hfx3H({{CH+dzxdP?aMr)0A2Zxz^mq3W~rOWZ#h zi)Rg#+yLd{w@^OPJ-(e^gVL{p%D)~;?tzL?k|G{S2C7U>@$J*gcAM@}+jhRb+VL#$ zC40Tyqo8C1l+8DCFGF$6?(_NrC^-`PDCk@Hjo*v0|NEKZcQkRE3s&~?R`>CsZfT@$ zzw5oyzfZq3sGFML{TvP@pE6y(t0Q$A|8F!O)U8$uNLR{timcc1AGo8Hg7LNU#OlEa|3_v5BNZTVdTK7W6x^5MBpdby=d zi-TBFlYE_hpk#&Vs#k5Pmka9Ut=!pG?~0&aGVS}KPW_?%#<{sGU&K41hx7ZSgI)X` z_;uLg^ARKCFYc3y*?HS!-`1O;Bsu8yYoSE(W$@$A2aW54au8#Bif`L1pyX?&w>vL| z_h-A`(0qSZdMxUHEk``qJnzX7i|)_Xrh1>_r+J@4P_Zgsat2iWkC;BpdTDwG|Kq%) zX)Y6{g|Vd-8_&Q8mQBd_<;F*I2c^Xl$M zmmR-P9Bn7AbNn?*uS?JBaPMMS{7cQDI0~(4P2+eOlxdxV<@nv&IV@|V`Wznv-Nr<8 zf2vA6>c_e<$+#Liq{r>C=)mqNi5GM?p4RyHjTfKZ?M{91&f_Z{XW2QvA8vt?Uz-+V{+K61nn`>fCRb&lE1 z`#ceP3*1AIjh4AhIjEc3-IrYkC2ur6?jwz(^nwnzoF$wShiP$H`OLTRmt56|)$Qs1 z?GGhKnjYIo9Ngg!UexJ-UuxIcvRl-4*{$*aZ}skX9=oSvW27JU^0r^Qx3_&iRNd&B zWS5b0e!F8&QdYdWhr8O}!=0XQ#2VXmFYtDzLdhOb`@>15%SURVx96bpBw`j_wenDN zkD{?`tQzZ3<&ygoPxLOv9!>9JWZJ|P$M?^&VD0&w#ebEx=MPXZJPj4=+IG2x z2~cyzlT3dbRI3Z77omA!^%^;??uO0veh+8?|Zv+7yeolN`f z@^&aW7pl*zrf-EDIozL3pShneFTX|eS2k8#{1%_ZZ^rC=5lXIr(KcV`_32Q4=bL^k zlut?B{3W?}EJ;KE?$M-?caJtbi|@SIdeCe?3g!E-{k`v3Lis+`^mR~vN3P3t4`>m; z?P_xmOXaePr_Fn6?##x1Q9H=n99Zmq9snaBvCS4n$MoggccpfMT z4eEY??j1bb>H2vOq1Wym6b|cf_p)4Lu{P_Be#$$_JIXumHH7*8Hz)kogf>s1pQkLe z-<;4lg-nOLk!99zd>@2*bdO>Q_b7N?IXt<`P3BzKyOO@+8AR&E9q!dE%T-U?_MXm2 z|0roWv!(ooPK=b%`P5;%nn5KgxJo5R9kvOZ@nG9h7{) zbd9OvDD|^J{i>Bu9O3KFhtYTp@6QJ7t>?qF*iw1NeWLV{zV3-oa<=JF`_|CED}#N< z?)j>2S#fH-tlaq-&dqOc>^t?Ny#4t{d;4#Osz0(nM$cXw^CIO{u`Q_^lLz=~(li{H z)8(clCcBCG3Aw(kpSRTmf1{ln3QN7;&qK*ippGN+Uh4IqK^;eqJjNfx&xg`K2PNMz zy<+-q$9j8;H><{Z=4H2NTGW#0`YSD5a{kiVZVJ9+F#icqnY+t|$nc3az;InKwl z3Q9f#W#_vSugYY0Z_4j*Yxb_O6O{~?dk73EneT*xiV*9q~S1$AU@{yNq?GOI>Yqhu1`0mlB zz;?~*?E4CD^Bk!9lA>%p$Ftm8U@NoS*Zn$_JZ-vcm95Ry5u5yvDE=qgY<>@#wD*^~ zD*pdZcfX@CR^u^Md8N0VdX=}mA5_~5reE{wM%;CL$Y=5(;@3BHxn~spf5vT%JA~2w z$u@rKX6IEayq_;Y**fw>@9%q1x+IN#F)KeQ{qA;}i~l!a{C?SrHa>Fy;%$5zO2(b! z^=qKoboy%=KJv27Z)j$)9s5={kC8%P+nwy|91SHGn;wr(#aWfDHoxDl26n2acsox) z$zHE*#8RieB)J$nE$>O}2yDdLENgYwK*@Pf?b~4KR*rW&nTv2AS@tQ0yQ758Qlx*`&dvlGf?y392}U&Zw2{&O5?7)zi*U!=gwm+j{85#OwRY!S}6G< zRQy*%9W!n>z3X-Un0^dYJ61s5H~kFM{Qe54c5H@f$4{Z=?~hx4>QrBE36!Vfq5PZy z<)>i!MNsk;sD70c=@UuWST)wwS2M@DN!Q0`GT%>2pGQAcWBcaskk|WoUJ51ehKlPe zrau9d)3ws)4?@KjzDJYCZ|XFkejHT$a!}&G>p6jax=;5@Mt)nSeR>1+qINP?D^~xH zR_~i{@b>-&C5N4E_Mv2z>F+ZA6Q+-RJ8pI37+NtuW&9*pc{@cYxyG5hRwu?Q- z&5dlA=I6Ldk41558Qj*~jym?1lAb)n`#T0oo*`Z3=O60*53^n%?-G{JVne#tGjHWS zlyqI|aQ)JhrtiEw%=gak;aI}FVCNT1`~v&yh+uNfc3vqkh>yK0E zXS)uG;@0zM#VxkR)vAYW4%g#$#|-nr^?t66Id4YaOAFWaT^`bTCZ3PpU4O3iB>#Vg zGRcK~yu&++&9F=uL%2R#-O=I+>xS$9tew%yhcSoigQI+3WBJHC__=6&ui?JH2f4Sw zviQ$_9|-k!&l{S?%JbdcVcY*R>*M+60l%|~#$LESip?S3(Vw((Chthj;J0T}x!>u( z{ZV50H??E$Ubi=WF@^no3VjscJK1SJ)p%WR<9{Ix{OZ_NIouyxYEZg3a!>inF?ron z?q1vNmdU>#`y>Bfs9N;LtL~5cNe{-{&27AIm$)egU zW;{mIpY=9RfRX~#dHF)qud@7|&Mm>dwo~5!^l>PR<^Q>L`+Z{6uK%6u8h5pk@z(gw zYc&{e^(*{1n{uTeXD30`lO!{Y)#w?-WRCTdT=(7nzRZrGe#y#TWA&r)yV{QZP+P&U5{wa-0d`kyRcWlH#y zRE#yKvhKw`J!Q;5U4Q3HFBnTuGwO=zHKSYNze|*Yl8iA2_1&C;=_O+Y>RZk=)7?wV zKO9tj({siGoJM-d^op?tXOQlWu=>UfoK14h^n$SjQ>0f+uNmEuUB_ZA=JM0nCaub>-VuqP$e>^9}guLKwYaoVEPkK`_q{3`TJRW zL+LMsl6OOmpl_Of6V!foFVuamXH4(i&V-v+2}tN+OK+bzHE`yFmF=@}?F5o*6&1*gCZP5-Rr{{l`WJ^2G)eh;Yq zbupX{Uu*hXEdO#iM0(Bir=a%Z?rS>SOt>$Uekhc@7tVrZ({F*=zqi0S@E@l4UF*vq z2j`MrF#TefLf;67;hm=c!t!VRu*2;_dKOCF2zP~R;XL?nreALPkHYz+&;5}vzZmK` zaWvc=zSZ>iSpGF|0qJ$qdnz5#@nbsNlk^vvejJos0QZ8|nf?IOapiY#ADFz(>$9Qc z6!-$T-t@0S9dEu5)9}}(KW6#!ukVPyYjz@(tcE&Hp9}T9vW=#H$MW~Np>Zy(a17JA zqz1K5xTC$EGG?I0e$MoQu>>{7E2h_sd`vPL%PAmp`M9+!1T{S?N?=} zb4JbdKUn_WH~Di&4yyiHQ0I=1K%FywVERp#zsJq~7;rLF`FBF?Z|k7W8JkSsZ26tH z_;bi&sPZp|+7Dk3bHlW=zk)i4Oup5Z-y3TGJRItr@mAB{ZTa7aI)^-F`ncQt zxnl_G9Pv`qUkT;^BB*o7Ev7#NwLfo%I%mwg-Ro(n@_DFp$d^pN7HU7g6Q?Tn2ZA519Ul<6L>&1P zD4ULmSP}5|exLK)%$dog3$1+l<9A-UdCzl}=XuU^)_d-`=K?uqvu|uTXWKd6wnHn!Nu76Zdi;-I(7SdS4*j;X>QbZ<1f*^P7A; zW5;{8btQV=D*YVCcFJpdak26_o++3T}pt7DAKy&`KqknUSTGn{TPkUS0&+O~Nlhiv*e z&XHYJnwf8($c~AuUy1C03C(c2c_RDl9J24oA)9`Vb7bF|B|9Rr-LDxN9}c8jA~eJ4 zt`gaI<&d4oA)9`Vb7Xr4XXZODvLhnvHj(|J&;#BpTKas!9emjNNBsfJzwwJ#^~obM|Sy;%zXPqc2HzpBC_unn&EWw zME2Q2XRoc-LKbz6Ae%R5UE@Cr)Uw}5m%5xNq; zgRc)zm*eV*@!J%bSzvEihM#^Ondz6kM`erX>z+4IdEYR4eho3s^l=_>gC(;~A!gS6uqoASNxV$FxV9KW&f^MQ7pA2wk9^EnW zndWLBW8Nb44jhGdAL#w3(Pb`>ekTK|O8`jMCgFDb-3)#a@m~vMy8D1k_q5PkfOM|G1vs<+AT`)k zr3yot?YSrd`}2&Zy7YNT^m80JkazxwzV=TI&$NFWdC9haNc(a&*?P7R~_>NFdEJ|`m3e@b7Sl=vk@r;-0L_F4&~%^6NN7)ZPNfYg<| z=xkk+Idr9;;~ZV{NB7k=m8EMO{Il&B62G|U_D|8Z{$r{CqSM!b)b*!8>PlX8W)6}5 z-dNFvrd}l_T-BNQ9$-v(J_IC>O+fN|5lHurglF^g3Qr$+&;^BOg!Csy&wYU8IRQwX zQ-E}}5?fKI?(xzgg%PBz#AF zBjBq(HToS6q&pEvKCMEBCEPCaJCM#kCy}({n3mVm<(c&`37uKCG2!p`x*Z8kw2I9FI_Y(&~|PMuqcvt4OxUXEWg{CrMCpdWIw>zqgY+^&4x z9=-&mYY>{@bcX`T`zRoFCNDbs42_11WG;oUsHDG3_{D{`<8QtgXL08nxsL(q-W1v% z4{I+eQr-d+F94+by3jVi5aQ{66!KFNo>yq%9}Hxe&38NE2gQHA#Cyt#mt*g_=TgHz zA$d;$eFF{OAdq&s97wlT{QFyLsTE%CdSgc~FfM6c7hXe)46iXjt@|+6k3)2y&bAT~ z9)95w0!Ah7;#n)TwJYrlUL(lJd`^4J4(ml{4t0Ga*)p|kJ#)*x+cu!;L? zAl*Rh?;}4mULKawzxys@J4?4g+L(ThqbyzzkIigXDd?ozyYSusr29zdkA=4Tl~&4f zn=xC@7jwv&FfLP$8)G}=xUq+jdGG+~zAE%tLYq2gor5R$tx?EeIxqOp`GHAETZ27^ zbYBHBk4uGK1!Njq_sHeYy##C2Gt=j{Xg|G%X5A+vp5vT8$uquhKUE4ppA!)nlYH8K zA^6oYO!V0(`u$C4hSOaDWIuHskoh1lI%AW;So18!m{Y=cxb+(29ng{ai%YyD(1Z7* z=(h?;cO8(tekAlg5^mchdPQHGXum9*(9dy>O{VPI*CrnL`J9MA?}EO4w}KySk`R5i ziGKSIGx{@}ZU~Sz`7)6CATK&w|Bci?tM1d!aZJZ+W0qci@M4|xi_Aqpy1Rs4FLWjR z;`se8uJezTbrI~l&hSGU9SpyMX7q(tTwBj1^|aazX~#J_p5e)~T}X87!M(pOYarc! zg#J`$>gdOw%=39!I%fD;I@nmDqSyPP zXBFPbrrr#vdk;uG{{^I;(b`j{t{PkdN5D^}?0O=kQ+Ma{lA@L*N=SE+_w6lS9 ztwP)Jw@dsO;`d1SR*7GPy`)U#mq8&{_JL2~UY+Ke|g}P~-dq&!E%onel@gAbj z@dU;pOOJ0j^M(930O?*7`X!-lIiV~$q#ftT$)AuZCn<8G`xrUvfOHQE{R^R8m~WTk zo%!;5^R7>MojD!8O!o^5^?k$|$fK^R0rxrw7=FJ5(%E{(sVC3$kTp`$j(#j}{$8J@ zrw=@75AyfmcebN{5dN%(5g?_;fJxCqRhjXm0Z11B@>eU6&y`mKnf4+edHF5-HfyMecq)t9yO!SBYDWm*AqxZf*{;C2}@99A5 zJrhV?lt)*#FTcq-1?MkK#`}#EmGezb&U@Tfn{o<54qXJuv@yXrFfRPw2ht5X$mlT* z$hhYMSyopF9R*U4eGfL_JCa`u`96u)1*E$S$b8-}{(lFOf5{<+pBqU2-xu00mk{{b z?TfVIobvg2|EzLB9$7AN;rBd{Zq%VhUOAA?ma~R(taTjHj&tNR;|w~V<4HVSd8PgZ`y_N_sCi{Tvyz3x6lFWcfrE%g2M?*^d4}_|uLNASK6uZqem% zAo)f`ryGDe4v=;29w5^`2_!F{$gp+amP2>?W$S)rRi>Si;MLnsgfB$(I?7XDm39K8 zUOr$_bSXH@=pF>pEdlaZ2uR%{K!@gww%@=&%1n+ZcuIQIb=_B4>X?l;-wWh#*Lbwb}J^g}}Dl098yrx1s{_n%^T9}Oh0?*i#= z7rNkZLyrV9{9vJ-{0BiljLXiy?Pu22^qxEgXRCh)#;tiE?=dC>27h|e^<*m}v= zcW|+yHoP3aL*VBF?YI>5V*T_v9>5;)qijEZJB~aZ7ZU%d74C#_-x8gJ@o6R>b<<70 zTY=2)`9j|YWXR8iE;_>G-wUK`08$s~MfVFJe{BU)MoRo`JN4wS6a8}8sTJ>eksmL| zPWABffp%Qz%)WN=iXU}zmjUvM87C7$AQ& z1IdFr(%E*{LOYbR&rQD%K|kuq%jt7x;|xz9Xvg({m(y=Wg|8Dvj2&fkc?d{8zXsAJ z#GmQuY+bOR)JGTkW$Tj2(j{f-lE|Tp=V+tLQ9$xK4oK%0f2OCa#1(_zCS3NJav6Sz z<2fc@orkM^qV$P4C)xIM4KBoUEw{qC$#I<66wF?~sOrsUdJho&9OvYBBl!CqPoVoO zxd(@Amh(S>bO(IJ&#NY`zJ-*g@z-t^DbdrOwyDwx(gD!h9{?_>_W zJ+q7+3xRZDAoKVwAoactNSO}{{W6exQx09Oat%RG#_>oT#?y8KO`aj3XSU%J1kx=B zGVD?yhWQ_#m8d98*JG-&5(G&*uEg800<$c8nj*k{uV>Ng%^jqnSr} z1bsmEk3k@F77;oIe~ zm;|l$Ycl8oa&Nj1m=ar@Jjd9q14x@)1fn^Enz3>mkYmF%p;rQ_^PNEE@n=A` z_;-Y^IM#%p0A!vQ1DWTq1G(?>N+9=p-UQ@+&pUzS_Yja8eF9`&Cf4GedEita_X&rD zUJGP+0!UlD1EekTj?35kfXjf~|LXyA|L;Vgwk44KJAky!<-l^#_W`-@cPo%K`mcmn z98rR^;A1!oevL|hU1vZr&u{9^_X&`BDm%f{lXf88^+5i*3&?u1N$7n}H063Cka?^JvYxCK`aTJN9mqVZ zd8VF>2C|;)4`e+#9LRby3rHK(0V(eaAoKDoAnVC9K-QDNCmH%EAj6jfX^ZawX^T66 z+%JAVkoDvVAnVBsK*oOqNd6;EHu;|hWIbsDvYvbgNE@w}aJ$bg`>U);lh|lcKj9VUE5Is#C+exn&z$Pg-=o@M@lTy;_*`(7 z;rkenJm{EL2Yn>OCBdI{+;No8%XBzxau)qB#^cTqQsvDR5#=w}{D zr$pA`fRTMUkn+f%?mZy+7PcCiVIKV6QQoS+*Rfej_+1z@{5J#1gO2)%|p`c-)4Bs1yVkp zYU`gz`L*f#6O%Nf&Ne)z0u2Y$!yWMOSv;bWrtloYqYCKo@a=#{tHmQCX+9JlXoLT>_Q%S(zpb@q<)m&_s0(`opf38Z@zNO`XcogeBe&jUGhzMaS`x-MN` z9D4?Z-z`A8{{ks*{JDmn4P^c*;TP%3SJ&ezuW_DBXZhvhJ67Q=KQiscJP4OgCvh_T z5XBwN$G4j@d1v@ZI@?c|F$Qqz7Dd`0I_V{Dh9C7+(XB9gO6w)woXd!7d~4&7&~Ro|uC77HogS^L#U3or24jpW!F+ zZ9f4=LDV1jRi?bXK)NG^w&OP-eoFk^SDScafaKw{J9AzQzXgf&xA2~t$PKwh4^pF> z%hl-m(O7#QrAFf%Pj?7&{ET<)(rZf*(JgWg_K;m;WV8b5?h!iIH$Z6*-}U*b4wv4e zAhxyr%=1yK?VxYw8e)01c|NMk^oD#D!WF^X(>y!KS3%nk{9~X`Uz4vk&X72`H?sYD z_pMhK>UyGX%vTTMvg6x+WmP4rD!h-H&^!j;$*xdi@!g?PoZ(dvH~SgyaBP8c^4@H0 zar7<57Uu$Ke{-&7sVc2YpKF>8Z&WzPfkyw7vJX%`B0Pu*$k@*N=ElXr=o z_{}+dOSKYbd5y)HUqkAOQ$@-2{Iynic)-K`W0U4xAl*IdbMYA5het?w_`oA2X_o)Q z@ECP>E*^dLSR*`w;1QHGvwmuLl=TP?y_TMCdvT=kOIQbx?rTD`tYY}xgUc>s+t2g? zz31qW3Z!MVl`{QV5B zTA}&-d0bOSGp`?*@C?6A#hOQNy1`iA*orgeU>BRetE@nk%{2F{j$IND<>PFVOuN{A zJNnLi`3h_TgqK;6Go72+PEOqGX52S@ow>iaIAq>CdRT?zrI3rVanntno~K%+L#g=2^+x2q0^j7>2Yu$?I`gcF z_uBNQV;;CRL(D%ebNwfR{5$Jb2-YqLKk84y`)iYz(|~m60?FqJp>LFM@}av5NLjZE zZR?rr)f3-3we+Mvovr8kEIkw8m#t?H{2V=dM30w5ufGGyujDDC_n$T2c(r0WMl;LOQQ6;#a#y8fhha~uY_5Ngf zMWzQb)ze1iVL-aD(3IJO-_PSpQf4vg63^ebxD(vriZJ$&{a&%&3+*#p}xt-WEE^$*p@^*I`9$p}6 zKakQxK=uVuAlq|~U;-EsJ$??PoBCU$=Yv4{OnBb3{iA?PJNkEKz5H+>Y4;z@y7@#P z>8pU0bq|o~p9fNxt}SN$^fDm(nwZc}0vTTNl99iod?zH|Dr9Wt74!pXyAY7PqeAxp zsY?P#-BLiNbf0U`3-m)S%j^=7|97C)=VhaB4UjzQfaK8zB#+P^O}?)Nl3w|$c}{RW zkn}%*j9>9*lYTmoI!;QO{MQ1R$hXz|Su>{ILF9oL0ai>c!SmF| z@l5E!oX>1I${A+lt6zRJgZkMk=W8KWwT@U8Li1BOnQ0`C9?>HSWIj~4(a!^9z4igiMnK1l zu-gJUatvH)$;d9(Y&w-PIt=`~(P1Kxa%KZ*hdLnTd>6;knxWJ(oyFi zbfSv@85X0CT&Kggwa4Sz+GDV1WE7rj4BBA6s~y{czCrLM&o#pT9-%i0{U(qyCjP_7 zKLW`3#{=nqHjw$)F7yD*bLoZv8PA!Y@poMGPKn-}k1(wlNM1y}&VY5<@mPB(d%rj| zC|IQL^)~My501^FBJ)kr;cr5J3MBs}|1`Ru52TiF0qMWbheqecK++MRsk5ItjV)AT zVb>A(9!w$5%w`=(S^A_9pK*QvGJKkWr0IH)o-QPLj0&9;S}l{gqo5zC;{(eovF70# zrpl5-6NBR=q2k~mf05L|@^$HU>*RlT(YxK~*#%_2e*|QC{0d0-jQGC-q|cy#n|^f+ zkn|cL``e8|$ARQi`H|_5X8`G(c1L?eC4W7F2_R*rfNTfuNn!QhMoqb zjO&5a=Pse?*CTo)fJ~DDGLCzNv9DLq52Swx$a+>e#iPnxV^ndXC~kJ!8v90fpy$r& zTT=8ItF4Fgbb!=pI*_vO1=7y{1TtquE<>*c(%yd-x-73i*Ru$a>7E0U&!2#F?*N&1 zx+wDFIL3)fK9fMoQY(#JJV2)Q0a=HGf)OCc)|g-%NIpp*&$3gisKlDXSXpy0_TRV%_TO3gWQS*u z|CDWy|D-(wjIB=t(scouuRj8rr#FDKb3wi-)8RnUULeDd0y6&DKyp|Hr2Vf2(xU5x zei}%-ZUu5LND9dPFNYNv|6_qnR|h1YGlArL36SM^p63_H4db1NucALIufGC*ZS6x5d54v z!c>e;850^%M|hrd^C*1lY-I15&K!f1dr&vB%bL9GvL+pbjdYt5Tiq?T8#mCDWfhS5 zKNiULJ74H73I95fcDWWvyQ~A!E{_12$ESq;y@V%$jQoO_9Q*8 z*YNe)SkVu6e>~1E1M;xTfV8*Bl)(u=x}`wo=UE_ic?HOPz6oR*Y!|wGkO|)($oR(q z$)O&|yaa_lN5Yo_>F$Odn9u)+oyNfiq)!9V(dHrf9Ruci*WH`L7BTQ=+9yQLry{qw z*vMsAoc#3jcz#c`1oN$8RoG?bTMZV^DAF)~LelP2Vrc2lyv}^1mp0!uN$;)M30=vI z4k=J0alP_QIgbp_3vEBUoZ>9E5qPd>=}SL4+MeY^Jmieb^$c}^sb9cMk3Rq?n&E*gIFJ z*PM0zrk~@e1Fw&>^5c{I#N9^kJgi&OtpYM145yn1Wcp^IEAcyuICtXG=f5#GIBPD$ zk89{ze%bBEb1U>N$1`f{eFOT@QJzx{_nw(q4pHdAwoe@ymo5Z9hmPR61b@E-q#HQg zl*33M{h6L_Es!#v7TT;Qm@~fm+^0e>&j^$LC?H+4&}BP$W)(qN%1uH}MAAGbJZ6qG zJni!ApM-}`S*8`*;_^F~IcQcUg4?xCw6G;C5 z6#tKbOhdkO^MI6nj?m_LUs?9PFMSV~lzc}J*E7oSxqY;e*8?QqT>Hk|x5@Jkkr5|W znD`zb^*jSew?zCa@jHs&!^U795#;&vfUrzDU%rhv57bbK>X=hcH}_3MVGa@`+BguhSt z1%-b^Xd-#hIY^!{_(z5RBS5-80V#hwka15OXZ#z0OtS*WG37#`UB!BD9-hfyPd&bM zwJ)BP?}KOMC3sfuoR8Ea`o%?`l%V@UlYbvDj&BW-|FV6JysLrKV=a(%>O~;K|0v;a z1F7G>9uxl{Aj2z0nr~!reTnT{j|*MqdnrN4F?-O;@ZA-+D%xPayAu3SdOTNOGJN(0 z(vfGhpLDCObUl*pIV)Wp>6ktVWM0%oGG76j`^9On)l}RsdX;^@*h9W~F2%j(+4FXX z4((_3yaPxV7y7FcjNPsU(r!Ni(oTN_QWrWOG-*O_xWx8ISrY3KmM?@A!uO+xn>yPZ9}g5(>?;_JCH%{N|U`2GP%_mYXTZ}jH0}Iq&amAa=8l+8Aml$=)*h83p?o(^&6*}1HwH`?K zU!nWx<>=?kQEWXOKPQfZ;!l0z(1$Kby>y??eT`|ILY7WWy@^gVy8RMJ_dJkw^Djcb zF5%?w`KIBo1pR^`U>W+SGOTmxRXbUyT9ZSbBiBLqVMcx}kaDj8(j|bbuWt%n>^1fF zDInc{gdR4@&~{x*K`!;}5&fdT5aQAAW+3JKG)FqmUGl8%VzDFBSDSQ)0GZAQq~jUl z!@JVoHRUNnyf5<$4=;G6B<;w_Ce4LY46n^Vx}y&_^gJNl4}|`y&;zEL@a*|R1Twr5 z)&QhC$B8?p5bs(KQKi?U_ZanvP9f+Nm3a3^x_<~=2_Eig1?oUtdcOthUKnd%*dvzV zk9ZNpxg1yd5_xWj^-S)mv;Acp2-zC}3-?RIEtaKb#1HET}{cbp?Tl_lE)-VKNp5!sDL8rj2+GI?e=-9#XHoF#Ob zb>>3?a#)5Tgay}|@o_1T?mD4+$Axh?TfeI!g(tMZN}ex(=V&y6hFygys423HSC;E9f3Kl8?O_JU@#r>_*K1-RBrI-7QlEyXhcT(~bC zi~GE>)#a&CNwXg@=UzEIH^kX?z5?|lT3AdHl2|MHjE(dt+?#>j%`14P6N(ofDOjLhGWo<$5fAs>vn}Q zvCk$<{Ukls`e&OmJ{L%LkC5jaZ>~6JqxN8lMS|DAc(B$F1)ZxK3i&BiW zCB17FQHw`Z(rgwU|FU>^dwC264}8-U`-kyO*AT~&jTR5jaYm2RfOK~XO+EZO;E}X= zBqUAI@rK7jAk#ZG=kE}C<(NAZ{WM*6$rDVvvJ(xTDqt_4Md-shHzC^dm)-nLeo^Q` z*F&Ak@ciGr=b^`0ucePOm++os^f(DfcP5bi&Nqac|w$hF&E(q;R@j@D?Eee6#d>q$hlXE z%r8*i#TC|k(C=IH4#zL&KElCaMQT_*_L$+m!P#f#j6Ly&0`B~{ZsModm}l5wefT3>-=DMn@;oVf{Lp7h^_{ag<5(|R zY0UeGm!n=^hW8OK?R_87gQlIq+vzWu*5onNn@7&epqIa^KwX4uOSj2mCM|hHT;|aZ z>(fU$vYfVueVE6GOVy0#5_L4@nI%|DEa@5;XaDA2ZRW;ap!t5)FsyZ#sgez5rHJ!| zZNEv6(Nb=H_j1!e?!UtHm-hk5Z?n*zm43|}VfOh( zhm(QS;Ub~00#e>XK+1ap$ThKl3!VRU6YiQ+tR|r!8;(A0IO@XiYO@!IX+6}@THgv< zdL=J0=|2Y26<#X*_}zPyts8lA*OkUAS;xW{2kP-W0C6UKt3VyH+|((XraT|z>GQlZ z&;DI)$&DjD_p^#dtr)yk|ZZb630{qj!L?F7;S^9lJW{ z6Tat*oXdgac^#1Ud|kpRH$gd;owitf-Ip2t_6O3f6xvmUZw)TWPx8ADPXDbgFHqBP z)vthkMqnI$0p~N|-me({UfAcu?Oy4#Ty~^b46Chpj)y6&t0_he3$)Ct%AZ4p7WIY^MwqFs} zCWfeyb?Gx3STAE1ZznI=m=YP|ZZt9v0+RnUAnWH0Alv&!AoVc)KkSY(1u8M$E#p{* z_1_f2Yra>YHmx#s%BGpl4?XEZK=O}L?=P2P&)RV8Su4YyHMeqKlRlS$`fuKW{wc^J z-v@3odJnqU=-mpW-j@mekkF3^{fy9u-D2V&38b3?B)^5?e}nk16aSxye^UJ45r3xX zk-Q}&Zz&-C-B%)yo?^TMITX(-hG1{+pcLK?h!2o=5K}wIpHohrwMMVkfOONpZ}h$i z$b9}%=zj_QkAtI5}Z z+e~=j?IwN^kh-|c-8^w4t>bj zaQwrDehEk$)&IiKR{@O%SeJoJ{_X*?tt6a4r)aSHc8M+zB`1d|x;_LNq-1m>bn!$)_z2m{mYh``I{bYd}u^DkM zG-IeulTV6yD8oA+8_c&1la}t$r;V&zfpo76&2;YXARX52Mq*vfd2V0yT)Hn-&zQ93 zK)Obunbya&mQ1geE-LYEm2~e3t>3@H^98Jlq)L-|p6st2hO@+w_X5n_yw4V>3vg|d zeA+Z+1}T&0LxcxojieN7y}kQ!;;b_bmJZ2;(S6)+jqa0x3=N{C0`o zBQ(Qfl$&EccY4CI)$@kG7f3f(Xr_-dy`JBt*S3RJ+K|M(QPMssbjCfkbsw(l3)a#` z)DOg*5p&gQw?5M<2|1Lft}%7n17yAP0nMChSif_s`19$!^w-BFUNCw5+3!srUjtI! ze}ta;2SdkztoKDPntHz-$iD2rErzZGGW>QR)6)g100qeXT)JOZdFw1tErC(Cg z9rlvZ;{l-Ig0dtZeJ{}WyVfgzm(F8S(s*7rJiZ4c56Qb(E5W^lUZ0WYM(U3R>Qr3U zUW|4)Bz^t}{qDpSqd)SMP21^mm0dq;8bNb!Yt~DAuNJ5}T<%MZjBGlFuuZt^XH>SI zv!0{x1*haI|DOv~1FmM_XVblL^}RKpUk_9<#Qiz0sKmEv+AT)A=V&9fFQjemO&S|7 z0@9r)G}FhKKIynke=&O82&DUk&`hhon>!zI zD}74hSH5P_pA2MLI!{08w^`{!68}L-|Ax>R?OoQj$kW#kPxtTBwoUz?(c!?YMyGi| zrlkw^lYY9DJ|yw)l=ROC?bw%mBIKi=H>B^cgBB0Z>qh2RfONVZJ!bB46G%(fLmqm6 zMdleUt1UiG|C17a!{0Fc4h6E`I7Mjtxxf1lcowhMb~4X0roZEumRERn=JS7Su-AJd zqT7uAPXXx$!=Ldd0qGoDWR#QDFVjXo#5M1<&cr*d({sMlx(#{V0LH!fe9Oq4`L>b! z-FFRL37Mhy3e*j_>@#&T{HWO93RE?&;AQ9=oW9EP%Q`7oz;_n6O4P0X{H~kcU ztM@S%B|RMLfSZa{Y4b4bH7LVg1GkRb8?Mi_D#_sC8ig}OH<*2(l%Wkf%~vlLwSGQREuheFPf z41bqihb&WWj92arrE#W<{1bZ(aBX8czJrS}+fSdD86K`GkpBv-J6CKNnH-)d(`kD? zEKq;ORdof^;yG=21ne_R+5WEKIA^S0zrzxAmE*n{>yG8ffB7{dLM9KUU7FwK`)lU6 z*+Z@CTUTDNa(JN{4jU9>{#1Z<)SgcY)DyT`DI58)>52-huOjdKRyLmt`4F!8;Q6|? z4Rl}`#Q$5MUc}WSJZw4_54M${w5tfv-Xl@|V!B^*+6WHV(*4;@K)MHjF5vS*zbxU- z*d^(_X1v9|0+}n~9Hfw`Bd8zHn{|Y`#IkeGBE<&2(|5%Nn=VSZO^H z_c$QknL_vKXZ0U?ZrWw`z^Rwa+%yUPsewk`*doJYK9I8embvr1XMifi7|-uEn=%@J z_1!$>9#p7~z~#Tnl(S9iy|KM(#d#`$cpu=pSmN4r1@?Rl?J7y>_eewe`b-Pf4el?~ z^?uVZ6)Y}PALHtg^ful990+{tah`HD+O2Neg(`{j`ai*yf3?xsrd^D~=hOW>%c70c zb*At30PQ-KfPJ01!<E_^BS;Uo%a!u zS4_L|R}NIkTh0BF>o!wI4hFIu*a!MBM`^}o&!=ra#tX81bA2E7PwBFag9qcj38ahQ zOd!(z)mazENUQ@4$Fl(ES(&yE?)QRY3)S7YO21?BYtwpP9@5FaJag~BeR)%`KLR?Q ziEFl`v+3Ucl4T4Tx~}mz>It9Is_}(tJg$o+Z8q)Sw@`&~*>m#qNNN&`k9pM zJ%}DwsD6O!3>_a#Ved>o%mJ-9tS9RF%<;pWOxHJ`*VK=5fOO{z&2%27%bFidx6(!> z?&Feni_oHX?>m?5liWBvdI~PPt=WFr{eK1exS_5hS5c}kZccYjk584HKW;5_xnCIQ zDw;CX6@(4e;%c}~`j!kD`9fTUxGF2I)27V$l&@mb(C6cNSoqj9?cswx=z_G1UN4X} zJlPMi?JsG&_O`$2#=dnxx(*=Q-K9c*Tf!^GW6j!ir7B4A$&$&d7gej0?eB%lROP12 zRYmv;)R}8k9BB?a0`D_jkNk43zIC;xZ-rlwe&Ek=o3_)~?TB$xI1_xt(V1mq`?-eX zsUg+HUT3TeeFc3guB{@=rk(e{oVf0qLRE>&eS^`_44x$C&Ikl=q_t^#o|5sKV?j0Uy=V`#E%#j1JzQJKLu^FakLz9Gn@JlRWu$|RY&w^Xg2xuB zvvJklXl!KDx#IUA?t{2iN_?Ak+WKBcW1HT#5jh@nL0sy4MrWI@IIB>d6&|e?;C;w_ zToro09fKXr{qR8C52IhJ9uca*nCx~PrSN1uS>VstXX^K$)2JMbGeW~hs{H0zDq4>^ ziL0J+*hjxGT@`IQLi^2~U#QweKI7Q5ozA7p&N{!^q4n5`{ulc2ekQwbw(Ev2f6NUM z!9q2z5%XUy7v-Jh=V~j$K3DL=JZwL#cM-y@7s`8cE54cXIht<*d?KJSBZa!i&#>Yo*_Pad@m`py;Hiwo5$xVC8C z;9=3aZe4`(OVq^D$=+qn?Xr-uFe$#h8lA zeT%dSi+0*$CDVnuUXQlZU8n}(@=1J~cJbN8^Ek&jQRuBas8}7ewOEbbgmW>mCNvo9 zIYn4wiX!c=afKwkO*^)84JlMZrWA8rPIK3lv|0GcQ--{R^EQg$Dnf`K9^F zSjlfwgo{)e`+L;;$f`p19bE3UVgrkIY~UL1Qp0CT{aLgF#Mn@eIr+(`zs{VT^OFeY zCH=h9;(aqcH;G<-xK@Abx2C>nW0Nn>J^>V;!*G>}hnqf$icNBH#7!=XrI#*n~ZP*f;6D z1miuf`tQ?*XxH#pef)9WkQI-1iNP)v2jZ+BSGh{>axwRD4Y11w*g*2`Pa!SSoO!9S z&HX^qWl;J8^|@v95f-*NRYKKpaj5!+AqbErQ~AIvn7Z==t}wQ)9OAY-hrwjcRq`ME5azH16` z-hZJw&*OkUJ$m?<8iS{ejjx)hWXVx@GQw! zB~!SEKFfb(u^P$uAz#3{IG+9LcSsP=PTOyuocX=(gHdj%Gof|(zAvs#lnJ}rw9`-M z=LqN<-KbCQ>e9G=t}OFg{|^h*Ww<`p=~1WPpXrBkx8ev-d2Vdp!-?ElsBXel`$OnJ zTTC(Z6Y%rX4|*}&rtLJ^-p;xZ#|^AckVnsLsPDLL);w6>2WI(U-NlOI^5iRzQ$ClL zs!L(x3+szj8P?#-s`c)-Jw8+J9=d!!_*DVy)55fOrN<_YspIqpKq@> zd>;jBzHIAyK4<2M72`<{Mc;yFSa>$U{;U>yFs`Q`IU!4q|8B$gZ$P?XKg}(N{CxfJ zTVwG{O8Rf~7=FJ3GOd~Gu@5wQ(=XT$zqrM(=VvDU$r}v6>wvlV?Z^%h$f>9vgnc5F z>2EEB%soHX6}Lg2Js$b)H8LIt(!D3Nt^-&<%)mOKEu?-i$Rx&@#{-9`16K}EUv9;` zuNixk@tm&$dsc8>K!PhRTxX8G)wixC?l*cY+i3Lo8IU~x3v@xRng_%dGLMxy+Pel+ zCjPfSZsIS5oL}JD0-fm0^Tk1UzKCbxXpa>rn~Lg@@j;{c9e8|ex66EM*Gb=vx^)$< zzau@dtQ_YNERwz+a}g)ra|lb}@~#m%oTuUgkgkCP)WDhM8yEV08q6K}jgYZ*d}D1y z$Vq?1qlGGf>vSjmxKcF^^P6$#f4N_O9QxRC)p#d8IWkc(66=}p*K3>b$KLfg`Jm;i zuRd0&j=}G5fe&#$=vlz~9loV`SpO-@co%7q%&8q+Mn6%gj>nZi8lvMqe#rce-N925 zGabvOC(GX(fxh<1Fs*&;tFDE_GlOtZ_KXwfBJMt}~ zhwrYw`Ez1(UuzWM8*wFZ75x}{32`;xqHQC;<7tp;vT|OZDIL~8!^&TcX?a1Zg z*8qOmj&(Z%|2uXfi|K+-_LVn2hrDQxcoTBO^S{Yl;$9_(UbNZtpA@SguGP5gy4nMJ z3$9&tsb6R6&H9qUI!?Ar#xvvj5YPLD(b0|@*^n8J^~L}DzVTRJ80OT29n}}ga^m$@ zUk(x*dhYISGD#)EcP^Si$JpPHk5dp==ow2>V0*gtNAf3E&< zWsZK&FT6BE{JUdg+6L<$!?)Wu4pb{2Nc(;7iDI=4epL^q{T_qgng?)}jFmR@WU<Lt9lxJC;XCDyr-YRy(HsVav%H);z4fgp)@~V z5#G;y2s&G7&w}5!`v$6QJ?VI_mXxT5o`GuPhP0oj6yJ#3Fi@?w(pJH5JJLoi8MEQ1 zAY+S_b|w63k#?Kq*Dwq+;1{*z){Q_~$nDSFKAdkr;B`mAt%BPHitDftpC6--IKUJ-mu@NU8H37#()6ikWSPX$Yh4gU#(Qv_!Vo*@_#yj=2ezTnw{ zYb5+u!5+c5;Io2T1wRrjm3)pBJV@|J!4m~r1(yp(1uqsp+KBk)W*vn4Si;{G)Smcv zl7u_-0jbYCyizbC z7#2KR@C?B^!P$aG3VH?i7aS|-7AzF}Xo%4_CHRKmD}v7pZWeq*aJ}GK!PSE23w8;% z3Z5lcFE~Z;Ai=SM!vu>2KOJoJ`%v&*!Pf-02tFbBu;2#4I|SDXUMqN!;7Y+of?pA= z5-b%|f+^`gUJ%?Mc(vfA(w{i}kze{#hn`}7M9d6GMNIBgq*MI4MF%d@EocDi<(N~@ zEqcmeO3(>kj=2QgdcpCSN6`5NzbCj=!fOR}B>anD9z&NWa{el`_Qbz8Fn^)DT~HJF z=aYB`2^I;aFju1U2z|WZ>4Gmy__KmKGX6y{7o!Ub`UF=$YWxd@e*2e(-XyqI@O;4q zf=3IE7yLAC(!DAe7rb6@xnP6fbipx#O7Jz&XOrNqg8PepIurP}L1@q8M()vq4T6^o zJ}CIE;0WyDr#n$_rQr2~F9?qKm5Dc9@C?C-;2nYqLAThuPVnt4eeV$ed$YLrJ41gUc=Zd0uKK;f#{|##gP}hZ zjJ{~-gSHslBzVS4hWAwF1uqpGFLu&}ihon2zi{XmJ~ZjB7Cc>WgkbESCcIYg-46^M6Pzjd(Lap; zgMw=WYXv`k--OQ;4E^2E@1_iXPw?%(8G4;ymEc`}HU7~-4aW$C2; zIVKc|aZ&&|H@7tPCN`lnW;3)<)r6LXTRN8ahH9}0+E=GT(%ultb99=lYF0FLXUPmK zL~vjs`R=1rceRAtdy_X%?rbgfKph(H1dHBagzEH+;MLq0b+t5}V~0CY0t=z!jM`en zoTOtmwYM~O^v0whqeZpRvL#aq9n8e)D>^*fxpYy69?Yd?Fj(u^)YUY(x|jQLG(q45 zBtJyeENcsQHueVT93F>|D#_onwy9HkLjxx;C4}Q|HpNd=HOu=gSQflGpqEd!0u~#! zpTx=#c6^pFoIp|2-QCpKkx_|I!B~U7EF99-rk=CCG1Xy>AIk^ilC7?q-|+q zxT!Ur)03D`=U})FZ*A;uYE35&=y1(>ybjN-WvsjD`rF>Nh%F*;YPfk~b9=iw30CiD z?`$%<2I^b8=Ai6l+X$douR+<^hosLN$ z;aFI1m*PXlOvvDKGE3jwys5f6NYymAgd3$m(~9iO#He9uTN(c8oKkC(SzRwi_tnMc zbBw2Es+x?ls%vRl*45p%yyZle`_4+*-=~J`UV8Mm>3rl!N+Y2~q{}`lj+2ceRn6I* zZKhw!wxSdw&VR{})!5w9wXmx#+|rfKsV)<=Gh1GEJV&JR^|4%b)EzgIlXKW^_c$y- zpUND}&upZ1XpMdIwuAPHc5mvCZuNPpsdH(ltEIcUS{Qu9hX}&}PrCnV^3K!d;DR;cnmT1vL{^Os+P;y`H{Bot@p7Fl6Hl^hCH8Ov2E` z-k9Ukk5o-lX9s%QWliA#)CfZ)`lI%M?!VD7F(#xmwxjtqhS7~ehwf#IOt=p171JGV z4+Xlz&1kltP0y~D0K1vCc84W3ZkRD=CKT8kc9`s2IK?O)Slr&Yq}ykVsSVKB0eepN zu~#f})tJ%ii)0Em(!fdRXLRA3585htSCC1pk>d8La(x?(`9ZPf#Yie!mN>{FQDQ8rR*}iI@ zFCE5u*1};o(A3%7;uG1%zSC7rSIg4Q|=a6pFFKOv$!9B)jVPLd0 z3gptTg5#4mYI93>Q&(GvoktrwCd|T?c01LO)tdSY;HbB2v6&|?asf-7$C`vW-7X~z zU;;d2cHnrVyHZA^T~CM!J`<{=QCm2g>{?3B%D%x_S<5-QmH=(CX2Rl7XSc7`YD|IS z^}UIndG1nHeYJs;sI4iZUZdwKCiNXv)7BkW844`!>_WHaTN$!Ssja)6H=bsCPL9!C zicNK|(lJ{)nz~kn!Y$1^;foT17CVbgzL%JqySxR{zs`=FY_%25fU$?&7)L+Iy!i8! zsmuCmZI8B&z=K_2IdD{?A{p6EgMcXRQ4E^}^b= zZLg_c6nmYTrC088^7FUX!YsXVkCLCiUSG}9EB6@r`Rf(P)T^Hn^7GdV&+~RVK7RgM z=_hDT#_HZut>+MO$-A`r($W3GeV(gT=LXjR z(a%h!cS#ud;Vk+5nw{4TKJbQYQ#;1w*97WXP$e5Xnp(IN9cbxdt=kK=6*8QPJ82T@ zOoc6L=Mq?l`)LpsYcPHgw!`D0J+z&B@071zMLx)dXE64|+u4?Kz5VDFjMcTQBiy#M z1!m`7nRM~@?ju;q9?7W+d$_EwMVrTX=?BZReUsR;yhk~Kz)6iuTbctcE1OzEVXpJ1 zHL?=#!5Y7oHQU%{57=a>g%01VTYX~)I{=z{dy+l0*Kt^}XEQ}F#m-*umziSZWbPCA zAIQ14sAfego(t`$NaoL(i8eNKHpjc=|BHHpZi+4URJ4uL_F^5RO~G3C)>Rluum@ys z71=2;N#F#tEl}MJy#v#BWWlL3eK;)vHNU@h*jww3Dc;@XR$}7gN$K8gy=G;fJ2U#U z;8a0P%hFJI)m}9vw?3%WLhgv`<=Z9?takKVDy!qD1)JvzYp}Pbvx}pPY5Jdmku*nY zbv9-Ve8Rg2+^Cic>lTd(aC>_D&vHD!Lw~G?pi|qSr!^zXnz>~Z#jYgac9+clt08a# zdSz6#`GK6m^h0;`&w+UA-rdr*oCf^d6=Xkf07mdr105|Z!+~z>(1IG+4B8%mL6%}N znqy8II6+S{N{aNe_$7N%R8sJ;wmhddMuA520^!!K&J}^h*uk_6wF?t*Gj&cInH{;l zD~J@;a1YrYX<}~{Fq=BC6E@w-+ZOMB^U%W!rw$i!WXzEPXF3qlJz8&#$A*PnY=rFS zRJA?s?yjDW3Th@w)0F<_Sqa&#v$b}aJba#_%~lz8u#ueZcXz3=rz@czN|1%$ncDI> z=OBC9w@b?F;eQ6&SfD$EO$FFFwitUBbh~fg(Y>shyJz=hxP|rVIaCNWXhmb_^Y6x_ z6JcNWhyN#~u#=9ScZHc_`>q$0x?Ydcfpq=+d`F3y+}UVPOLj?h-GPqVJNI6CtofxL z&AHyeEi=6%&Mw_VXSUS_JwJU&_qhE{5818mWBP@_aot$w!Dhf+?2(=BKpn=>G0g3t z*34+vdv@|^;Mj2uS5dlKG4^3=zB5GZ;hl+QuI*3&Ef+0Y8fvmxW82cAWs7$w$9l$9 zH4r;}S2}Zuy>uHW14JCF0eA^1UGjUw0_e3%On>p2N}1h`Qy{xE=bgma)ah!bJzkqx z#cr6}8L&Uix7Q5q7Mi^*!EW2!I$^~%J)_xe$((L+cj*LOv#GL^``usV3Evc>J4EA}koY%IT| zZs^YTf^M>zcBGy&8>V|~KG~mpuC?;GqZ(!^7;a+qdr%K!je~Ef^jhgN^wKh<^S)Sb z6@HF)N!Xk0)VN)0Z>XZn$!qA|;dLx|4cj}s^se{edxsZakyT^&4liAON9-M5^QhOzy~9iI zyD#N<({j!p-Q7#OlD+>?&Z!o4uj<%?j*@dI_T)u{HO|A8y)@!0h2ZNQ6}D`#HQa&YC{j)K1>^nw)?3(N1dTMP@Z?k2v;S&3XtkXuK?& zHcm}>leYM-^ceD2IndZY$rp^=O?%wWhH;hm5dQD?6+d_Yf zFTJh7DPGj!-G6yj8~au6zgQY-)DNfZcdc;xNV;b_RUZWtSm@O&O>Z$xh>zQLkN~C6 z!&J@rrg#)*T6!w^M19oa!8Y=`9k00&G{`q$DLZn z<{=j5Zg9oo%tGD*jO@2&>;^Nb8_gN@drzLa9Buazox91&=_u3&-5a)bEN^UYYYsGa zEm@{Fex<9A9xX1UtQ|yh*!_dK+d;hE$}gh^#o%<7iHA>|q&J{_?3#x&8XA|h%)P`ucS(P>??Z1 zg%l??c8BM1mx@yFhP2B46<*q zQj7B!;)Dk1KM!iOh1y$AUUW7dLrW6bMpUe>Np zli>as#P~6NZ?= z`DyHGYV|jUTW6>z$Z5{Xw(u-$WbEbN>Xgo@=%$^rQP)Y{B^q=)O6N1&ET&S|I58+l z)eMlBldD+`)G=vVR~wwMDe>z&JKI}v(9h)RQ#%?%STYRbTQ&oDNQk4-Ndrz<*3z}g z-_oVcg-vj?IQsHO!<>~$eItKiTgRN0Elui#Idvz^Inil>Znf|vR3EmCIUUQE;*2iR{8`sxwyMs+ z8}Ia^uJoUw=K1S%^Idpqb->{>9QD-Hn`4)?H7nKG-XcMBO886xkLp(h(DYE>xVH=^ zgq${mXB{=1ZvOMGWZtO@X8DisYTobXFKt=M6C!vj`fJx_ep$VIs)iqhEzcJ4^?M7A$a3^zp5tCiCWit@SQ&0ZN3W!b#yd0nG=0ZGt^>s(nhJ5^8!oH(Yy*> z0f{vbl5}NKbMpf2T$oX6c0srk%i?HX&mb4euv@PSeQ5xhZ*E$F8axN##`mYjmz|rs zNB<6?in|MVK2KvizEP0BSnohq>el?lV%Td?!fl<40*i4hky1?sIMuF$Mf-;Vf#uyR z9P1xOyXwN+0v%QuW2-;#w~m5yw-`gGJeNH5v2;qE#^1UQT$qm|dD@hE0%@AtmY@Lt zh+iwsPt^`B>gs2&f;rooW?|ICzKZ}3=tB>Ja%>4NMVF}57E7lg z#tiCMSj74LYxo=M{hl$*Pc@e@JcznI0Yeg)1=c#hewp29CbdmqKnvE5L}GKGV;M^JHI)0(?j_ie%wFK_UfZ~gmEm_ji6=?13JV$+vzC6Fu;>ez|yO$+2$g68v z!a@0jmQ|dt9z=Q;dqsGtX{2Viw4*BrIYZTw=I|}C8%gU42d8H`imKKe^yE)st)LGk zs|y<2m$m3Q@si$jX}=TbN8Z|NhMGaz$aZ{YFPPy!&Y3IB%%9(3rUK2qTYXZYNBJZ ztxndn#NLVWjBZX$>)A5y(&GgOlsvS%R&==d_D)`)YpEWgKEf|dW3dA$(AdP8yPBIH z(9a?9L3H%cp~e**%h6l+bx;1}^g8-pd{&8a0q5fLDZDo0O!;IrzRz-Ui3%>qw=;i{ zuYAk$IlQanHMsEG2aF;tcu~GeJeIG#H^Xl&eqWfcVz(d+{vP~JT?O21FofU1I}is~ z48Ie&+_+Lt8jSx4d=M7D5`MU%xDp>jF_%jPp<&MldHUiv#r*h^RuWfaj7xuuEIGiX zVz`3%I9dGrF6GCSTI+&NNOy}%C2n-7C@{n;fUsK;_7wbnjWqZjeH(mmDPC{7RPqhP z`w%?0gBPyo1biFhs5})rGEYTt#gD*mT)xNhl>e)^@V%cT^z`BKz6CwExG!_(luy>=_Yiu98275R5uK)MU?%wU*?cD|a z{{FmpzxJ~8Onc^;y0f!Ie6eUK>EK%UIPy;-zo8-8H!?&4-`DOLKzgB&F=G_e-+OYu z*bs%#Rc?rU&eQ7phj_O$7({SCW{A!{bnz2u{Sp0~ZHV5N3GYrr^j&6%Xo)4dhg%|l zge7{)EYZQWU@Y*vCTfY^QQYU+$35|~C1TL~27X?$MBx}qbV64t_kP1o=X;C2+=s5u z@grHmeJHT`)}LjI?p1721Ks=L=S|`m$nOnp(NSoN2y_qT+i80tyC1rg_wVHUt$dHM zcN@~UE#Y$Q*o83pEwJg{cI=OG?8MG`v9Z2&D0`DKU5C~HJ8H*bDd*@7gh@H~byAj7fimvKt>y{jo}%nGHADwx+P)X%F_v-|K)F#~ z5z4ENvg%vLbu@V`M^8}riY3~ilY0^Vj{J@eK12uTxSMNd4tiS^_T%rjxW6{PH|2Yg z=v3^6{?KQPbatW7DEjN(4&9@VK6Fv|6}tEg`#9bdQQD2pF0Rmi2KD(aQ$(QV0rb4k zagWM2fxlyKxQ?DUWAC)>q;aQup}lM(@4oz5O%t!rvX# zxvmG%F}n7`ts%|%)Ft`1I2`>^KO)oz>BplB9rlzMA_jffeg1c(1N{hTd*~rxY7l^A#BiFBHuOj4kb~|n+Jif2nL;a~)hAefp54(z8fF0e9Jbi_b`M|#V zwnwkC@zX*ZG7B4o?h_rN&6L*>#LKsI+ixdbd<(bxNNB>Iq4Pu11>GyC*FTY8^4VU5 zJUWl@J>i<2Xg6ptB0JNTajkg;8>224a4o!)zK3?a6S~PWQxp-!&bxtuuIPqde#g#6 z*PWz`tLPw~CvhJN_}v9H)#&Xc^i6sq)FGx9qW5|HH4w(L_~Tme0{Lv@K7SW9A;aHY zGwCn+yO(Q(zYAl?@OKT@j%noY7NUELwr3#M&1id~*y5|SN2EJ{G55)4ReNQ8t>FDPK^u!#k{S)%thhBcEHX!;Ta$AwUErIlOui*YY+&dFpzQErPP$zaIjXTh$Od%|0Xq~mVeMLD?_Oje% znalF-B~8(}TuD=O0at!U=OM%If|E(-G34iH%AIQmbf1EbpbrX8B|lpb?)S6}kiV?Jls`J|ToXN`?*jRI2kfefJbxir$ zvTn4Kt}fcqF7nw+9t!7?53YT`0_7N?f9|}UJU}}opYx)nCm zPMEYs9n_JIo6yI*#Q!b!i5(Z-L7cR?eR4d_@5p`R3!;#}oN}VS?%359OKEqHspZ@8 z=ueEm9v}jJjMr+oMt{>qJJ+6_$p2lW2c7pG2Zxh>($p#4D8qtnXutR!!9D+2%9DG& z{4L4|lQt?QW%w<)M&v!pJ|DexFutkb?-=2UV~F#8ba_1S&L=F|rk)wZJCDE7L5wyk zI-UFv=Sq8Cb0{*s!Yjb9 zfI`A8jPSQ?6Az#-K{g*h`P*V!+hN0NlP`!s7wwn)7I`<{A8*G_3%954pO1b!0e^+G z{gofABIdwEh{AM;K|9nycMo=b3FUDqdb|ePTS7g;);lla_r;Xi)r7_UcEZgk+#2%K zNqQnjktV`#=i2!`bp+byQ2w-|?T4aw=%DQ^*qFYuo+~=+l)5C}odvXcvd$idKB%7^ zr}KM9>dBMzv*bgNk6x}dT=Neko?+y19rC^r&zop%vd zH+rg}F2x`U?NGRkGI$wVp$w7d7m$9+v3+y;9@>CNC21IkpI2!EFGZgE zkbf0=6McOumDZuPj#9ilC!T>QZ|Td3_T*p)6}? zpYjX2%D(hN(uR#i?m(xd=tuUk)Y*cgvHb=7%{8Cm%O1+NhO+I$mTIu?t_A3WI@yce zM7JUjTQf%G?{50vUfT1T72G4AowOO9`(d|d5iV)$s3iTz6CQ|aep64{=khnd3rS-i z^`(Y3p@+7j;80|sQ?@e@K{o}^EysS7NCWLl{#4|TCGY%>koI=je$?ScxCJ$YNn6uP z7~L`~8Sa7nO&ERXp@+2fp{L$cDZh_Q@fmq*XKc{Uy}qMyKZfw2knjt+3a$mmQ7;dq zJ{M75@6iTuEqoV!M)><3ejmVCoZp>g$gM{@Hp1@+bQ7UHdz`U6L|*0kI(^BtmYK0#m1ojRDq#>iu|7~Mex8G%e>B68@x2fcSpAg@P}x7m!}up<^` z87HIDPYDCR`BF#s(l(7J+!%Rjqpl)Th`%WQc(5)y|D?Z!Ze;Sg7n#L<+za{L$?tC5 z+M!VDl=%C$z_zzUH${YnURauINs>-$q~YHuZq==!VE}Y!&k5+6U!dP|9!q?&f#u%zIfO}(M9C72`iF@Q;^7s(xj6wx*BiD^w2XYD;B zgZRZgihJx^z(P*PQtr|aJk>Z{es)L-puuOt~YW;E{c06e@D6BiF@~5 z__-hVF+du7NJl5>$me&yOfT^k5^o>r=p!99E3lf||^D5A; z)T~CINgXc0uDZDPLD#`tp%7w_Pa7J8_Dj*@AlLz2(pGgqFLXm8adlN-YX>3QNZmda zzp|b!B&-I~c@kIdi_^KzBp)YpZ6Z%+@|(7#<9OoZer!Hh?sp;A#ozhJb@6vTay`;6 zF63{*>p77t;YCkFo@>`BT!}As7T_#U>We!f=iLPmj$a#!`&ZjO>9x-gWV>Rk+A7dZrD<@53 z$Pd>V(pdoQq%{JC*l;0b8joRqx|*=uo^={SYIFG3!f zj*F3B#Pt$%Njwqa>xQnI`AwYBTS@N?+#}8o!YSn1y_B#CuaB^zh3Eik=rcM>`Hd~s zMA6M?>`-3EaBpwQcQE%Mb|q>3i#BFU^i7)_r7tR^FY4I`+uNHk784KcKs)VrK6c;H zO!?2ljeeo$D9UXP`mdr)xG%UL<##^6BXe=%cOkz!`Q43t7xD$j_i{hR{Tk#8_}$0- zUU{Fj*k1aGPU7pKuEx+;KI56*A%Hz}Y>FGy&`x(jg#NPzn~Y$$1zdX;k_Oo?lJ_Xr z&XJ^r`p|bCSK7bGE2IhAEs$*?zdQLI8^(Po{|eD2g6B=v$(+cOB5l?{@ly zLRq%_-NoNAuI*BWw8o(K6XHOoXEA-;<>+!S>7-BV<{3t}?AxI0a>D51+uRzrq8<97TbN0C)%$geblKAD5PD? zhk|+7nyepO`=I7%@(Yn;C=Vz&7TY|IyvhDXUirJ1Yvg!zM?L7CkIada<8BA%H(1V6$0)w!Ysro&nABzy{M*5r!e&<2a(QTQ7+t3@7; zgid%Beum8_aUZ6`DR2vX3>!_(6(e9KoCmkV>ma7&iXET=X2bb#7rY67Kz?1W*c}dq zc32D#ftX5|usa+Ao$xTc3%|hn({jb$Pz6W9x$p>l4r@)%6+_@4m;ooiHSidG4;$3y ziXxZ~VdT(K7%3Ugo)JOUrVKd|BKT(K*ZLmjlk1<(bL zLm%YM$rW2e1uTFi@C1AY*4$iC0J}p4#Nc#T3Xj4&@E2@758H>sp&dHmN%#!3qj85( zPzNW$66l7v;9JN$CRc0&MNkbV!(zA#UWV^r)nf?@c7{@z z49CFba1Xo=-@>ZLlPA~%%3&Iu0GGltcnscxpCP}U@L)7dgOlJgSO!l+FZ=|noscWG zgb38Y$*=^TfnQ+L6RFd1D%=Ghz*Z*_Kg@+I;5qma>gMN)v*BLoh3yvPiZW=0Zul7T zPRa^-j$d`@!LGB3uK{!uPPjX`~GrU?JQF|AXIP z*VA)(j!Aui8{v8Q67tRVicntoA?JmJ4U;*3?Z-IF!^#@{b z89WZTiwGBLVFBC%ufSih{$=C^s^AQ`6`qGLAZIacB^(4t!`<*Xta3T!1bagj%!Nhp z2z&y@6}e)27!GscI#>=`CuISb!xymom6R9U1Yg3wSD_Dh1Gc`Jx(l7~0DKOsT|-@g zbKn(NcL{od#n1~|T}wX-H$xu`xsLV_9t3S^t{4Vqz)R2vt6xu9!(4b9*192A6vGVY zfJfm|FmI&IheKgLTnq2R`Zo~=%z#VbY1s1ST(LjY!pU$AJPx0Nc?;pdp)em_g)MKT zO@t{hAC|(0uvr)VG+Y8tzzWcpArHkc8P0+`;7#}gcDRkO;XJq-K7y@pr(c0H;UV|| zwz&gcKs{UpPr`Sw@tw2*Fbgh$Zuk_|xGPr_LOq-d&%>{<_1)AHxCmZ^Rqi2ea3q`u z55UK;`n~80#zQNd2M@s8@E7cUALAT24_3ey_ft1u4lII?VBiC^T~G&C!h5jMgOook zhL>Qyhp-RmfaS2t!;}Tghqs}i8$H8KU_OH0;T(7yR)3U!78b$X@IP30d9D}=+9s}oC)19 z@M-!GxC8WOXiH!&Tn#V4fM;{X1h@=70`ocAM>rfVf>&U`^VByu2kwAg82AEhHcWuo za0h%3g)cG=gR9_W_!&0qq5Xyh@C>Z|5^WKTfjMwFbi)ex8#a2Gb_OOu6PykAzy~nk z6~-tq9_GLzcnYjn2@@v5$?zC_2OGUc9|lw5RJZ|Ng3m$wAN>{V31eXvEQF=-G<*wN zyiVD{R5%VUg8ShW_yY>xpbo$hFbfvJZSV|y4%(aa*RUVd!aTSZ-iO?`Xy2d;=D}s~ zAiNF#z!q;4HcW<-;d*!-w0EeZFcwk*AH!NJxCe*8v2ZEe4X?nrkoN&;fMGBR7Q<`s z7wqvN<1)Aao`;`cmygf`oCvqTQ}6+pA7hU&5~jg?xE(%#RX@oUJHlu<5pII#;VW3< zQ{snt@DgnG8R>+};WhXk27XSz2PeTIxEBV0fllFV81ND;phQP^i z9dyI{Fz{>g2ZzI4cn1c2gP!4Lcm@W1i(cRuSPldGXfL4=u7PJ^)$fpj6W~Gk6ZZL@ zaNth(9}N6~`V7azE${+-3j=;+E&wB;0d9o%p!6rkSMVWh_%n42-h<75p}l}-;cLkI z6`ey79164GDtH-wfsKBnje}Y^8WzJ-@D;50J8d`2fD7Or_z*VzgEkgUfGc4+d#8fyAu7wxj3ouv76T87oxE{WO zHCD|N7v}gV*4DSbNPpu?HLkEwB{cgFj&F{5&xN z>fj`}3Lb?Opbel5;Yhd;9)izcjkO354uLb_Vdw=hFpqt)lna~y@58{g$q(EJ%i$wf zW1T#)GeqG8xC7n=Yu!At6V$_na1ZpsX6xmN;V=*Ggg)4GebNoha1J~SAHeDx5H=hJ z?XVOcht)UC6P0i*EP~tMIrtoMH^L^M4BB83+zW5Rx*MZ+m<{K{L+~!Fx=Ehc0Vcp~ zI2*2oN1zw}gv~e26Gd=191S<((-9Jm#F z;0wsxB2Ns0D8!%xmcc9V3v9Y&o+yEta5=mV`CH|Qz2FEq8WzEF=!Jn>=ZSscFjxRj z!go-x4RsY}z(TkKUV)!r;I`Bmm;h~X1w03YZ$mMWeg1;4_M`{juWI1R3YCtwAL{jpOx z0BYeZco;qb`vB?;jDmXTfG6PxSnELIf;zYm?t@QZlL+$A2%YdSdic&99RrD!%OfhY%n5E><`s&3S0w^zzSHcjQqneXo5@OMObwtHUWpjx$qpUIST#4 zv2Z=S4;zlo6GNc^I^j*Q%BdeP1D3*Pu)`SaA8vv_VANRJTzC=Ih|)H}x$ruye^8z{ z6guHk*nS-KA1;FB@EZ)N$P<&`TzC$0D{0GNGF%G(gKr^se4f|^#=|La13V31Lf!;y z7be0iSO(w0c2#*|3M_`VVbzJG0S<*TpbI_(>tO0B90te0weUFn0y`Z-T3|7}2q40sHFgF#22M_39!LUA=|g`40b*sKOWun?YxoLb_6 zX1EbPh3zL{tFQO*7Ok|mFa_qrQg{K(HuMS?z%#J>EczNa8am+-_!L&1 zO<6%X#Nd3m173sQVaqwB0p`IHcpUm5e=hYKs-YcjfT!V4*mxf040Ui0+zlT<&e4Pi z2S63H!;SDJ=*Q4L!J%+6+zubY>c?UeFdfc;2jB}>`#9o&Cb%441mk$ZfP>*wSOUwT z7aH2Jakw3N;Coo_1kwVB!*Q?_UIFby>LZMU`EVn=1FM{bEx<4P#ba)tkf^AP@ z%mt^zP4EPK4g*fdMqnCT3D3hfu;m$y;a~~;345MNeSpVdud^6S!Y@#~5S_wh@HUj6 zjSazS9n=9h8E%6*gT-!Q2s6Sx_~PtdVsEjJ*q8G~_7?|;14Tp>iJ_ucl!#I>Obizz zIALR?7$ruFaxq4X6;W}J7$+)3r5G++(P;rcx>_hG-BoMWbjEF>w^%?`sjQqK#K%W{WwTbu*85t&b7MisQubqFtOIP827J z`C@@MS)3wH6{m^Q#TnvEX08jx*`h<7!}%}giSs!p=0b6ixL8~wE)|Q!Wn!_oTwEbK z#g*bJakaQcED_g=>%>xVJ+I{4C~guri(AC4qDw3jw~5=C1K%m`5_gMx#J%D^ald## zJSZL#4~uT`hi7mta@#S`L5@sxO4JR_bJ&xz;73*tr5BVH0Ovr+j~@fvRozb@Vo zZ;H2=O~1p7D({K+MXy*PJ`f*@kHp8~6Y;6|jI#>95MPR~_@dS~;#<)tz7yYzAHKShPI}buMN=F z(gtd4YwKw1YU^q1Ya3`AY8z=AYny1BYMW^V+UD98+Lqc@+Sb}O+P2zu+Vz z+RoZ8+OArmHb@(+?WPUUcGvdM_SE*$_SW{%_SN>&_SZNcQHy9r+EA@nE73}|VcKwQ zgjS}F)JAEewQ_BYHdc#j2WjK93awHbuT9XZw29im+9BGZ+F{z^+7Vi{R-@HwleEd& z6s=C1s!h|TYxUZZ+6=8ho2fNwO1 zwME)x+G6c;?Fy|^yHdMKyIQ+OTcTa7U8gP8uGenRZq#nlZq{zmZq>T9W!i1p?b;pM zo!VX6-P%3cz1n@+{n`WCgW5yd!&KA zwJ)?UwXd|VwQsaL^grEjfoqi?Hkr*E(Cpzo;fr0=ZnqVK8~>Vx#b`fmCVeRq8ieNTNaeQ$jq zeP4Y)eSiG`{XjjU7wJRwV!cE!)raZB^$~iRK2jg0kJiieG5T0Nsvo3}(<}5!eY`$F zuhJ*#2kVFEhw6vvhwDe^)q0Iyt54D=>r?bPeX2f9pRU*IN9r^527RX9s5j{`{V2Uz zZ_!)zHhq>pTc4xP)#vF)>&NKF>c{EF>+Sjp`ic5U`h0zXezJaweyV<&e!6~!ex`nw zzED3~@6gZD&(+V<&(|-|FVrv6FV-*7FVz?6m+6c3%k?YtPW?*#D*bBx8hwd=t$v-p zRKH%oLBCPINxxaYMZZ<=(wFJC>9^~5=y&RO>38e*==bXP>G$go=nv`-=@0AO`Xl{=VL;uh2ixKh!_cKh{6dKh;0eKi9v|ztq3dzt+Fezt#Kn@AU8WAM_vfpY)&g zU-Vz~-}K-0KlDHKzx2QLe`xwOLpKb=G%Uk5a*S1sRgKk*TqDm|-B`m|)5tdl7;6~= zjkS$+jCGCmjP;ETj17&AjE#*=j7^Qri~?hGV+&(TV=H58V;f^zV>@GeV+UhLV<%&0 zV;5srqtF;+3^sN%h8VjWdl-8fdl`Eh`xyHg`x*Ni2N(w$5u?Z$Y7`qKMyWB(7;cO( z%8Zf5C}XryZj3R;8d2jQW1LZ8R2t)r2}YGM(Ky&R#5mMA%sAXQ!l*WCj9O!oG1-`6 z)EQHaX~uM;-Z;{jVKf*sjYgx%h#5y2%|?sSYP1=%jM>H`e<}d&l%4fFBmTx zJ;qDM%f>6ltHx`_|BTm-H;gxpw~V)qcZ_$9_l)u4Ariu4k@qZeVU`Ze(t3Zeng~Ze|vk zo10shTbf&$TbtXM+nU>%+nYO>JDNM0JDaobX-Q2_6)7;D4+uX<8 z*WAzC-#oxP(2STx=1{ZPEHO*XVdijigjr^eG)I}E&2n>$Io6Dt2btr{3bWE2Z%#0) z%!%f~<{{>x=3(aH<`HJKS!33klg!EH6tm8pYECn!oAu_A<_xpJoM|?iO=iqI%4{}U z%vQ6_oMp~7=a_TNdFIjPG3K%6apv)6yLp0nqIr@z-&|mxY@TACYMy4EZk}PDX`W>+ zG|x6W%yZ0h&GXFj%?r#6&5O*7%}dNn%|+&A=3?`5^9r-mywbeNyxP3RTw-2pUS}>f zuQzWnZ!~W*Z#Hi+Z#BEjW#(<>?dBcko#tKU-R3>!z2<%9{pJJagXTl#!)CYni210w z+lv&Ckrw%`eO^&9BU_&2P+a%|7!x^Lz6L^GEY1^JnuH z^H=jX^LO(P^H1|H^KbJXQ&^g%TZUy?mStNx)+*Mj)@oL+m1nJPtzoTc4hSo;b#?~g*rq*Uwfwj4{g|(%%m9@3CjkT?{owdESgSDfzleM$8 zi?yp&XbrLkTf139tlh0WtUay0ti7#$tbMKhto^M6tOKoxRb&mdimei>)EZ_Dw?a9c&$99cmqB9c~?ARa-Sytu@J-Y)!H1 ztf|&CYr0i$9cj(58myUCqt#@^tfQ=EtHo-y+N@dDY-^4+*P3S?Z5?ABYaM4DZ?#(| zSSMO1S@W$0*2&f>)~VKM*6G$6)|u8>)m}=D>lN!&>ox0t*6Y?A)|=K_*4x%Q*1Ohw*85hkwZi(q`q28w`q=u!`qcW&`rP`$ z`qKK!`r7)&`qt{RzO%l!ez1PDezJbHezAVFezShJ{;>YE{<8kI{;`Cu*}84mrfu1_ zonxh>D;ns&ZDz+TH9Xs>OrW3OwkXRmK>U~gz|WN&P5VsC11W*69- z+gsRM+FRLM+uPXN+S}RN+dJ4h+B?}h+q>Ai+J*KYd$7HmJ;dJK-oxJ0-pk(G-pAh8 z-p}6OKEOWEj@U)^P`lVJu}ke?_HcWIU1pE8N7R+P=nKVqa@tXD_v{ zw{Ng-v~RL+wr{a-wY%(P_HFj<_8s<}_FeYf_C5B!_I>vK_5=2V_Cxl=cDMbA{iwa% ze$0N{e!_mze#(B@e#U;*e$Ia0e!+gx?y+C8U$$SdU$tMe|7X8$zhS>=zh%E|zhl2^ zzh}R1_u4D$59|-^kL-`_PwY?a&+O0bFYGVvuk5ewZ|raFKKncSd;16bNBbxHXZsiX zSNk{ncl!_fPx~+XZ~Grxi zIXmR+n6p#P&N;i}?3z=UGbm?p&TctFa(2(zBWKT?y>j->*(Yb;oc(h4&p9CHz??`< zQO?ku;+&G4(j2~I9_0+#+DY{d^{sQ&k&qk%dEizR>Rjca^25+25h>vurKJwHcMezV zkC;4{PWsFRDR^Ft+k00ew%Cg+L!P2 zxZqTlgg~vP+v*4WKfcMr}#;+?i7ji#vLje)MBVeQYod#1obSPgJmeyl2YH( zie*<~YUlwi;vA;Npsx%jotiQwoT{jh5JOZIl**>7gh>vqogz;Gji0)gw!&u;bc|ty z{GtI(NIxVU!Q$!l4O7OpwekV6w9%%KaWa@a)u9r>_L8Y!-Cjk>*@n-~rkEU9am>`!JeA!!5-+^U5SQPxeVjUn=ofGL) zn)P*e370XPvzamV$pTLCy468yCrluryJS}<<`H$ZvGOL0yQw)mX+D85O&kV3W4t_3 zKW##@i>Jif?*LN--JqoX_*5D&$q`A8QfZD(l3kp@${P6!DQBQp%5yoxs{u!xS2ov9 zo>7-ZV+r^EC@ibAldgnViWUO-%^>Fn)H1E4wzbx;Fl9)NQp$1V2;$n-$ zPw@*nA&lWv+Ng6FZuYh*n{cp=>Zi1m)+op9kLIHx{uBmu5hmbd!E*^W`M4{m=yK$8 zvl{^)1u2rB{u!U_u4=p2O(#2MOYzxfU(6(35x8Cx5}6`MNcrRNSKQPrQ?JWw8`Ira4T6(1 zWj)>)%gL7V>VDS54M9rzbM6;OBu`pVSP-GU!%e|Sx2ok#wVnFs4^z6R2vbty`0+m2 zfHh~52r3dysc^lDnLcJ$B7F+tmD|y7inS&3mn0cX5vvb;XF9F&9p`YxdtQCnmmls( z2&bee=o~LZ_j4bSh&$F%B^$q~6zz9$S2$FY;LS{xm!Lz4m*nO^d6+ob&3$TE6DNi` z)lI8o_LfHP926dO4a~Z{6&|0?0v?hK7&rOtDreN}MCcZu^I05!7vN5+JiSScxM_@g znI;?SVom{9UorPd1Ut9prnG`iP!)V`LVgs-AHD0sFs`oE{q~XHIS@ldI;lkV(~7w9 zIA)tJ3$AJUoJUj*DN^T=N0?)~rjaTo6u^@s(xe zUatM^w^T{Mv08o<*s-&cvT?4Sl6)i_WL^?Q%Kd}_QvD>{QoL1{RhEt`svMg>!eoiK zer0);j*%5AJh3V6AwCl+OGP>%q<1^PkgVvOFiG-7HLBXG#_$ooj&BQ7}vSZjP!62EU>d;k!B&VcR zf>c-EDnWA0DGLFP?~qx3z(Gx{lEVs=s@v%L6z0qVBaskq%td{(^AtbvX?Ys2&c{g8 zrHR0m4fy6PZeG-c-ZzC#i8wexR?U1+#vP7_<;ihUiAweupL-_f$D1&vgcq2Y`I3-s zQZ~p7$uVnxJlSScgVN5Z24$WI4GPRnd{M@S|H;F=L2(zRBR(ZLw-Y06C&SL&q_7&w`%Z5m?AgmA0h&fiYG*aCdwalua2#4GIo&d?t`)Jfp%N?CToccE2L= zG0y`J^t(G9SeVpqVWD^*Ddm%;KIf!B5<)}L4x$*Z$tUP)pz00-vkE&itgK@3acove zZ%&qG15406)>-Tf$A?c%Y7P{gBNyGO{X-Y4;^63f>%a^vKv1ht; z`DPMn%P959w;NibIY?=-l85DC@%udWOFTH|2n#XO1SCv8F^veHZDjV-pG13uecvP@ zEKpCZAEg!-_3CtD`Vbm&$Xr6nIUR&sW7U8^_#88hken|#BZv$Fi9v4~A>Y_PjYRSj zyL4h|Ae}Wnr$I}Mw=;&8I`mE>9v@7{t4^v?-KR0xB)rEjX<~97(YViH(#iN9xvZ2( z=t#n8$(3FBX8=yXiHeU3(mf?nqDkYBbi?>CSvlzT4~SFqghYI7oX#~qrcEc1G-3`F zOAL|InSNk|ojFe5Dr0D5!6n5|5#MMw)qNuEb>l4AOk`*2?N>5Z2PNjZLY0vZ3*rYPmF10B!hROozD`9_n0Mp zx=V+$TP0J0S7%XcwWHU)(sp4(i>k-?hq!yG_9xMJ`=8;*Wt}c;FQ+jG)aE3Sz55;S z-u;hv@9gmo4H-<1P}9k{OVV)8=bAJ?lP#~~)oGfY*Bp3|s7B|}*5=B(76t~9LTA6v z(C`LVN_*i19s>+5ITA=-k<>o8kw`CTqDbSRVQ52b z;|!mQO5>`F#p+0HlD|Ylq*OF$uo_zw^;1@ARaH@U&Zo4Q&2Nbssb-BrraP@y@Ff~WbDoRUUG(rs=i^mnyj)z*H9HW=Xm@~*!6qUKkmsS`e=u=+$(BeX2!6o{o zGHDV{zgJulV@q~j<22R`5(3quq=d5f;xyILnenB#%BrHC9i*>}%1>xjR323|EUsWhoN|yTc8sL#C^$ktDEt2}k)K!$$U2)l z)M&7{!7r5RD7B=DD`OCTspK#y)^Zfw;u%n~^#n>^Rb|gds;yLy36XASkPTa+Dyp%f zQxkdFh*v$+w=pB!7Nly3T+c^TZBciJGVZM`zWsw3H?+e4+u;6>LX+)N+5cf!|1zlm zk__p$|Ix;qcG;V(d`YKz|8GUzukij$?)=GICsnGsy(*9dgvPYe+;;Xj| zl}>`s>SRw{RI2*xQuR8aY?x~6X`q6gqniFWJwQ|AEQYw1O(jijyoj5=L2%Y_g5^-8 zR=9~HeGmcV_ah8v0-Sc>6dHmv;__1QuR>4{8R8iU2_kzwvZkURL8K&u3IEFJ!X1eD zl<_Zu$eN7oS;?M`{)7=s$oPe+?sD6`;rPjsVlwLpd9m?uFbq{8P3!TctB z2+6S*a)UE5Yw;ye#w-)5I+K#RhPqZJ7&9k@sU=NmI$4t*C)75yxi;@tXBvOX3QFpy zPEDTSk8#(7d0Rpr)iG4g3mHz-H?m?ftbR^h{XvsaYLo?)&6`g@u6Rryis3s)sM?z% zN6MYD;oUiOq@F>Sv@zrJ)iG(Q@|o3tct*b45VhD_(iZcTi!2jaSEP1JXU1CRrt6m7 z=O7_6zP2nNBe6jCtX$oXKYn?x?ofhRUW$$g2o)5LzTxMpd-U z%^Y0fZ(>*+Rt|IOTipYHB8j)jq=7n1A-pnE*W6g!AfM7o|IwmK-cs}yx}EhIWHrskO}rzRDi>_Zbz-Z3c|;2|_Jtd6FL z`>e=mZ5{dQs)Prg4@V&B)w|R^C5ecJmnU~Tx(SnQLMeg-?c3x;#> z!lX&fb+hUj`b|+SY)DfZmZTBRLkkr^OH)HzYn`g2{q&viJh_!;u72aJP|qQf7KCLq zJZe>|b4ia`;&};a zoRmd|x;v&O?NT;^QlxF_)VgN*yf%%^B{m_Ym-d;Q60fdu6;WIptDVdNvgrd?&sqpM zTrv=FT$I%kHU7>Js+T?4Muf-)!{skW8EU&nRKB$x*p?wz@kV($lE)~Ht7}zVw;J0@ zS+%mQ7W*=asjVOB#gZZ|DpDKFq;i;1FaQq@r2+*Ll1KmCTRpE*sdXQEm&6q$Co-87 znL#k9McHfkqo}S@gI1ONlsJN3If>RQ0j3&WOB3H%Qc)xy-Hn&`8A7-Zm}R)myrjH# zj`N7o*{)7`gl(RYYL8ox>#@FZB|Vm;*Hq}*ms)`%rAht*xzFs;dpp|qHZc#rMoo|n zh3|w&^rHrEUQZ+A#H&-glP6JX6jSP2YC^0c-dQKcn=&q+V4!)bE^VCUKH5^FZ#D6h z5jRcFDCe9c(GNi{KzGn8w<9EHMV6|U6B@Cy#wm5~oF~4cKT+&5y~;uZ36D30;m*#i zg4CAIsmq+Ncyc+DEkmO$U&anNr?km(?Aa)H1VsXRlp}zQ-9bRwE1lFXRH`7Nh7fM0 zlm6l-B>6X%NkehAWRm}3_027<yq1C;V2nPKXJ_I1sU8#X70|w%ITksO&&i|;HQ=67I#R@&@%t-Eb``jl z)N4_lfZ~I&fIcUdm6fuV!*MA^o>@8K=_)A&l@M%DC(FjCt)3~!!jyP||7O8fO`cFx zh~Mn3n$L6cTB5(V3T+YHF{X++*>m=o6TW2&F?OmlW;KCGJM2l{XR21Fe__P?+01?d z8AypZ(UY!BD2Yzh^);HKr~hM?`w>KPj>EmIWQO|_NJ@U)F?i+zW^xqzcu|_TV=Da$ z#y{|JpT+;zU}a4We~!8mX_JBci-e>W&%e`CYB>KliVB8HCeuA~&aA4CVA3ngIS@G# zzT`(co%$p|8iH>I==Qc=0Fji%j|vt?8AE&L`NpStejmZrkgPs}dx7K(mxZD1r;y8Z zB-NYvJasfLv!o28+%clNIn7_l{&BZ=o~?3^A6%n)K((~yP9Wkga;W4VsBrFfZG)VJ zmj!k(yV-Ios=M+SS6+GTD=_oOPUzRR;YIu)B))6foAgD!Fehp$JeeFqHJ{IxoO4~mhjcQjP@kG zRMfGHP90O8RIe(E)T?;(1kt)?&eLXo+L(4XvcI*8vb%383#=+Zs>$-r8{N`!7m7G# zbS7)6N+_@%MBd|zVQFOJi$WP>lU9aYW%#~5L{FA@mo%6*_Ygw$oG!jjG8>^1(ngYlj=A-F#Yn19BjC8tJ(M1spaxvVfr-_s=B|ckiCvP!o*uga^IA9ZRcMH z=|s`rzyf1}|DyzE3o~m90|IW7?AM&sywqE~lMX1WKfcul80v_(w;_F@c^zW7WFV^v zQMRD9)FrmBkDV$fA}wW&^4Uw$Ktrb1S?Y-I6j15vcM!oOsKKFULUrMRjA>%9|DTf* z9!iGP$c^HGwT9qYgxW|Ra8Fr_kgEYrY({auY(O`rR`bL9G4_R&dL5bLE71C<^(Xs3 zpU?OU2rFNL{VDzQ%1ZGau5JG^g5P}l={-aIt+mb0jL^|;$nv81%7EOY!vzfs+ zy~{FEPBX;_EU~7QVdK$IxvR!2r}To!!!@5L=OB@?Sict%-IGU}o2Il)Zk3~;_(0E_ zM9D%)8Lm4GxVPf^-vW`gsg{i8V_CKvsIJV}OHkn~{PWUmx+ka3J_H0ZE~q;z%hHCk zOUls=QswF9Z}Aw@4PqUEGTCCw*FzFjG4bk1Sy@Y|+}+}Hl#h0tCF(L~L>209OuA4V zGcT)bD)NOX_p&*AA>yZ5CC-v{rsVEk3+e85ETLUBCg5!ANGBMthyh79L00g2r73Of zYgfe@zJE!oTm~E_?%TcOi8=dH{C48Yh3lBI@DeH#Txp4m$X8C&4O!5G|II+wZTcsi z81g2*hnn59^P*^WIcYqql?@Y(myOy*nl1I=()~?-l5f)GzAM^~gvBL!8A5LQO5XV; zSHje;FZGN;?e)#Fd#kK*7WvP57O=18;@O`^LmWiwf^ z+{^0ucbY)?hN6?4mZAps)A?$j^B!s$_hZv*!|u0CuCEWdUmWsEpxj!o%)h0W;{^Qe zh8Ih5GbamVPh?5`G(HIBYg^pJmo%wOu-+Cp)f&n6l7>ocwoICE%Z|`Xk^AV@Yq`CV zs(fs$o>#lUIGY_qrII@|HHal<&r4a%DY|q)r*BGIhBw#7{BP2F>Ke`4rtVW@cgC+C zF_*QBZsO6O+X=-NDZS-OwV)_vX7h9~Rf%%_kVIo8ZUAW#oLMf7HpaLcrGv?h^4fG* zc}CkB*|nIO#0p-PuWevob3M}}FPUCv%g0VskykW1-w*JH0Iq5nV6(G6HB5QXQMsxb za#$Q+KylV&%38*A@Isij7+uu|)_DC!l=o9CZ}A(`(JN!XIaa{bhhpcSLBMO zv$amelBTG#%cd!=mbSXFsJOXazM$%SP))_-sz;9Y_?WfS_voUA(9wR>F_s89bXQNZz<(8qagr$N~QOXu5{GDYtO;TB@W1Dyc>0f!Pu8N0{{JKa= zDlk%Kxj$rkesz^+W1>nW(w8WWG=jm<MCZ}#?-o;PaqgUa&zqq zKDCV0WL0*VP6wURVdB|Yc*rCp2R723FMl@IHqZ6m?DDSOD;Lh{Kd%rMynyy|hT5*Q zJ4*;_794AA;poMt@=08LHZG%yH-zP!GB#}V*dlq-m+F+=Ly!lTGb4yQvI8mLIL38! z-jWPBRgZMt+{LwkW0}t_Im~J~2PrQblOZ{tW3pG3930aor*=kNacv_XyvCkV*CE3n z!a7^$%kk>yH_0SqzMPj7)Y(=lo9^&TT6=%|NfS>a7bxkKi6H3Fi(yP{D}&tr=G^n; zG=D3f?`-}ugda?P*i2h0EF^-UM=yq|=K5Coo?wO*jLf28!OCZRi7qLzl2tw_q~})k zuzb>Fp8r%frJnrb^$Dtua&AycO;zJBHxN~ut1^kyPiFP9uAx@Feq2&IT7J-u7xYw@ zT3+A4BqOckO!ikNcKIi!0J5o(-DcnIYwkN;mCao#SmH=$=r`&KZqLHI_*qSUc3g$>4t)jnq@9HbBt$@ zfrEEeCP1%yc9!h02cBL3i(q{fDosMGt5!0lDM6-5YIwj+j5K*4AC= znNqr(7^@fiLe_o4Ht#t7##l~rOc`Bx6LP;}U*B*Z`Z5~W%rWBU$o2|MkDdxE6WiCjOM>ML#4waM2gqirqI zGvp&av+?B8rramlDp!A$*4FG0^dibn^3Fu+zWnmh zL}$|D7fG(@Nn+^^HkCoJ_?uyL$t_AwJxdwiTHnASD#})QO33PRn*N=qZeio%aUq@pnMvbIe#E}6*;`=nuHHg} z^5lE!FZEI86N;zU35F+It+kD$-TRSrQiM!+a%gIeU~1x^8b<>&n`pLHRs&f)xz#aM zsy}+l=F1ISty--_!lgzS-l_4gyXLj>z8#gVY}B$Z>}RT_X!v1^p=r*n&#YPQm-n=J z&g`3~n3E9E(xa6lC)QMt>g=@T0C?k-QO z30g648Ps_Pxw~zkdk~CrkDvGy?DEt}F$lEHtjly5N%*?1tJT@8FNX}Q)q$|%>in)r zy`m%0=AMBdA6+Bhtl-EkYti5#x2nVTo^Qur%AoCby7IV-oF0dGSF4jwilvvpqx5uM z21iEl*pL5mB&;?&$K;7ysUKzVb!27LV+IdaRpDPmQ$0q_X;r5BnL^nR{69{ej3SXT z)jRN*HlcP^YK-;5)Sg^wMH4t8Sq*WVe%jU4n5HVMsA=~9PX+U@qf4k^rGxnQ1@*6@ za#LC{Molo1CK0?Rtq$#$Q;CXj0hwIoTrs(}G08h!k8-M=Dwn8y6Bmp?jm#@>DPw~~ zVlWnWshgZ`#Y^p~NgZO394V&}@pG1(0jXa$xm8IgFHdVoyK6@d7GsGRkR&DB88tzvsB4%iHzqiB$USl}+{HULO)h`95vs)E|oMdCe)@S>4|UQO^x z`U=M{k#5KpDw93JcyNfOiP3%gHSO4TV!Y0UNv5lHuB@s{tfow3@hZ?JBz9MYXQFC| zOmX>Gj(t#J@K@Ye0)BnD%Irs;<`c3C=PzotkY3v|gEwM1o<}`GWoaPYt7Ed(cm>OQ z9raV)lmFwU<~04Syn#tEIBN{@q*$+U_NBbcGo^Gp!2GFU`F@%^N6;>p+;XY*f0Y9iu|M5a{4g)$@~F5`>b_mgzU-C15jnnRX)-GPQ$ zlUIfjtgY&P>Uy85$^;DhMQ;!AO{dTJv{<2}rTSBQ+dn z;ajD}+3ArGkt^deM=C*yko+H_^D?Bi45>i;u4(+YB=4L_JdamFxSL!O2~lt1rKH1s zUn?Qz)V)+Wf0ERkDMcjH^Z$yr7Wo0WjJB3$)0?|$Raw{25Yo`7wG+QvQY+)SH_zk{ z)K)g_xnC6unO|3rah{K+7`A+7;S@02AVN2l^CpDzWvt-G{$yozUZ4$o8Y?ScWmED- zK4FFbAyUXYaMMXrvZr|C=J}FOxaPg$go3H8mlAm@ArQ?38ga*-9eZ-&6e?n%Z8NgcwX^J z|KQdgY%vb@hLD-Yz-rah9U{wTY0g*h9BW8=O(JfrjJc>~Lz|q}RT7W2CuClDQe&J6 z8J3=0f$+sAuclOGd^w?NrCv^`%KmafRmz5>ShWjtIYuwy(f(yx~jGD>^lDyvYEZpV{oKCy|E(&*32303`h zIiV`^%L!GPUrwkBeQDNxdQ(-FW`mj>{;F4=sxrQuP{qv6*A=Q^4DEz8gXB(IR$A97 zd2S!vAdqC@u8g#;76$HOa0HEgU3m+?%(mC62cLRI)fELR~}UrwmX z`f@^5@|eb_Z)dluv+LAXTCyQ?6TrS$_ci3?o;LX%E{ckiIaLWuPw^KK6Eoxq3^|*c zi=ylWP)8RJou~%E?v6>h1y`LU7WQdfM?&;d*W@m$NXjB-9dQ2N8TjEPnLatfv>~-Jw z4Gq%Wu~b`~BIyq>F4Z!yhIEus;De4Etel;VxdU6`(hhWRKa&Q z+;M!7Oc?&BOd;U%TKCa&N+e8d-A$IRnA$|-_^N1|q`uSYrq6x*(u;sr&5gh}R+l>n z-9IDh!#VPSn70R#rFS)`Uy03{BqUqtK6huWHl3fuLfrqOLSeKe*)jD5XPjZ!WjQGm3y9p>WYdtt(fcs!xy{~`Sd(HFN-C`;>`Zz!r9XjD$$Q*c>d&DZR4C8FZ0M}clnfjeZ4c` zcPg^{TZ(5%@T9tF^7~`najJo35$|ppTm94`t@A>G>xK49R(e{P(QF2E65|~Om$)DI ztKLQU|JXYpIJu_#@1Olcvys*yDs7_%sphA_-W5#$15N2 z&))gobI&>V+;h+U?>eh~sgpiIT6k90aQQf0ay^IjXZ5(sF_T7BPGq{&hO7r`LES}5 z+u+Ut`X=kLoNc>sXz(tPsYRNftLqwDv$qE8-h12TAQ>zWZA);D9&r`k{!MC*p}9%* zJaalZr|@)=4LvNVQ(PvIGiq9A>c`VZpIAPc*C*(m%oy3f$}LUOU&}^)V)?|tXfDoi zQI1Jdm^5nAapNY8o#^-LAxdq7V>+^3TeB0+yakdq$;@i$t|jQPd~Jh6pcKmbRi6H@ zW}hcrerAAOE0blA-DY+L>26H_GROXtZ7(4|n$NS0nOj>QN`H|jJyg&UyeWapC|ONK zj}vS^X6=~tXhClPlK!lEeXi1N?2@{DyjFi5J!>Jdx5~K_I}>I5_=YS`-y4$sYt|{@ zRD?OhV08s%5MqBoK}zmCeyL^F)|Mvo!U(wv$KZvlmoAB9cD1!~#v-R+IemcMO*+NN zickMo9qMT^`H?vZRr6`h?16z>j;cfXvAKzzxgCk+#o7|%!gjSZRcXX*P@}BdNo(b8 zU#T`P+gYkcWU_ABsrb}MB0UH9OPF)0`UP9o4dlLxQFM@!p!`e?=-;eeQ`T>(6RP@t zakm80dokXRWjgq*MD$)qE}`iJ{Q19G&CvcUJB;@FiPKN#>SnrOu^-e2w=_-ZwX9n? zeHFT0)X9A^liNF{J4IK?ZEAJ;Daz@*VNwP$W5n?TM@79>#ZJz8Q`PVoQ>DdCD@>M;9Yb5EzNb49a^=Vf9<b2NGq$KQPa`HfGhodQuiQT3z@hUr%&OfPVT3k8I^5UH%Z56j{Qc;dw_jsEiW_5%<8aJ=u5MDzpWF`ib!t}`9XRg zmp-1(T1pGoL1)n4v}Jdd>0GpgQbU#Wa3pJf9%JF*9x|erVLK|98mMp9&y=TX$YEvY zL^!T%*O=^8U9VrF)m?w$7L~5OD$}2Eu5SB|5%df@a)jVAWB11gG9xu#@aVu=4RuZa ztj#rj@2u{(hv>s6MpLtRS2+nz4vd;Q!RlRQ%g{+=|Cp|=^zU=!fT-}UTSo2mPH~b* z`p4?#mg-vlZHAdWO|QT#wxl!rt(pD$&* zx2MM2AZ2Jc&J4zEKiQsIBZZt1x8%~2K7Q9j45<)_tkw@r*6XLLQn|7=GuEA)U6CbL z={`Zi+vZ5#IeM^$|FW&>{y-9zv}MG;WwvtKeOh{`VKv2$g4Oh z=1ln@DVufX8y`6pGDWJm2a%>;Y{5-UR#N81#hkXJcTTCUGkwazEJ%MXg3GM>1wFhF zuf8Ufx|t=lud?oWBm3^LIU^poIUL4P-G{oRJ(_+dd&8DK$gDx@YzuiF%^8}k-o!CT zjxN*t-|T%yrkY2)MCGjyxq{o;IJ3d6qhLF;zS$u8({K9CKRDHILa=_%=?x+IFTEvvXkG%5B=5=>n`ao38ep8CaL8 z!jMb9J_RN@laq<|W@UKsa?AtD1=pq3UpWQ3tCbiSdT&c@^ z_?QMnl=VLQbYXHkD#|`}7-8uzoSIYn)ON`R$8I)h;u;UN$tfYwI9nQM{MP zAwBzgV2NXz^m&X_0kf_*WVXABO?5n}W<9B6zja=Yqn+;WwB^KM)@m69>a1Dgey0@V zOdK!2laVB~2AdeRNZ?QT8UzVhQT+f8o{ zmtePybQ_+Ro9$cI`YaslY5V3fD>rMV?Pwd03)N5Ibk{7bCmV9}+oM_SdDf>#xih<` zTSQidb7t<*!m|?4R|Opx{jEL~Vy^&u793aHx04g;8*-j_N%s`GF=gc|ub=pKG9_Ie z&#IW}nOf7@T(B=G5b3rN=ck#8%-epeYii}vO!k==8TZHyzN4()%nR(;4!GdJ!vWk0 z)UIDP$nG$GS|+m-FhOmN+2?I+0i4Tiuc@vLb;u4ieGUECQTodOvKT9X6Wsa(0ZtM1 zbL*>TFdpNsjhvev*h{1bbm>F4>X}U~(`%YYSe~e5so7^A&EwLt=!T9Na=t}>(LCo6 z{#ffp@DimLn_YZav1Vjd9jZB_2Z^+WYUVUobLiRJB3W{(%C`2_>gn^U+v<7Oh5fb_ z>((F1$=pzSNmA_WU7hvjClZmtOQE}d*iJIffR)ReoHB1-(x;;SJBzZ72kZsQTMv39 z@QoDCtTAp`(l6Q7%_4O(nw+qg^H|n37ixfO3Y|a8 zWN!AA>+0qhr2A!bGegc&^aT+LBA2+Vg`|cIY>Ph+etjdsSc4mpuRXOhVT*^|ksm@`c}nTnwa@XwZx zJ;Zj>IX8+EpY?N{a%C&onf4%iu2M0zv+DHiV(G$h`-Igb(mAT_XieRZl)fK|D}|if znNrD(N9^f%-F9Y|Q#&W;M6c7Z+EiLzten?PH&*AH&hl=vAtUK6$ZZx`30ZNe`@D4h z1*TZ950`VSWWZJ|`D@7F)bO9r3+C_0tWRyQ^~$eQWA;Ve%qcng;oKWHQVrSqDX$kw z{XBywklcT4nK6SqZ1V$BXO(7{0EeulLK-=vkZDM?fN@lWtY79y-+*IQnJw0KaZHCx zA7V|jwpBAnbNw87RS`dC?-WgK>(ka$ADbx~ed@wn=1hL7rDp%`)Ru{;PaQ_GG%gXD zttT@yAjIKfL23~#oC)p02GJ%@1!nCGt9j2$*3Fu)|pAj?wfDA!ZmitE1iOTC-cM&pOS^3jM*bAF=Rh4kPA zMD9YY6K9!o*6D$#87`%(DK{|Z8ccq;@bZB+7e^>lgUGQYdjX~_O4-I1PHeeC#&qfe-=klU{HK1$}dee^H;{5@Ha1xe?S z6DKDD?dNxTbVaw38@^_=*6X{mvp17ePW8<%gw?n5ineNfG$O^)$053BmOwcUl;*($ zo~hrsfs=lOf7}aIP_DsrDInV2QfI zW#7`1g>v0Nx43j8uom9aG_032n^P0{yI_3|p|NdpyF7MdTLtzKc9Tn&d3&0{)a+lg zoQ&HUSIVk$X=2s2=KW=CPp~6XT z`;WFUb9!r^A?HE*7ftfrowv{e4_c+&Ng3s*PUgJjFmF|p7qt>Mly=RcS z0UO&=fyd69kiHO<8(ondN^0qiExX6Hc5OVD$d1djkW~82l)VV&In(zA>6|ep;vmY_ z-?$Y)2WF?AUEoX+bm;aLAvII>B6?VaIh>VsDTGU>s&8jXS2_!qB(j&CT|Ad`GNsmI zMVCzI`?}Lh(~*UiRgsfw_jOs3-5&H3dfFbc8-xsBT}mNaM!FCZSFj9Ok=>Udy8x1U zPxGI(CFJ$t*=sSU2-beBr^T?Mx4$Uq`LY+(leW#mqyU-MMVblrekSK$nXDq(=FeVu zZnfkjl4*ce3VQ2wZ5BB*JLEvm|8;XvU@MnHep@Hz_h&ZOA^z-bJzb#gw)lc+=2lRl ztv@fJ?C!wIP`8~zek!?nD7fk7)Q`hD>TZk9&p>(;w~Em1w%3z9biYY*!{E*mf%2 zSA3>yRxB+qUBk{DYW9vLJy&*FoM+A6Gp5%@dV$#$nY{+n(b)^inI%)4bbR+E%Guf5 z=geN1OR2Jp)m`hbt)3nWDw(*jCec+qbmPd_pe9eB7 zd$dDpSt0K8zltO=XOr38Ra-SvDT}VVn zbK~6YP1R+dOtO5fRNrf&PrmA&NI!9Hn)0kO98P-VoSCq0Aaab9DltFPc=HYlvYv0$ z4cT^{V3zwF`aGF=z>zj+JITBQBYOt(&Zv%XacBFi62XrorwU^O60O^ohQ=yrod|PwTFSDth zNC`r_+&7cUzGAJb8<p1bh0J2ROGIvI;erz{Tg)1}<=u0phup5gdb^N3HK#uhEyqQv+syKA zp3|WnJcnJ=s_!MS-ne3oC-d*|VSkdjwcmcFN&J14E7S2y!ZRwcphiFa5?M|-Fv z^^}h}2Ry^}_6pZ(w~k`;zO=stKsK!Tbr$rCS9+2JFICoWqE7cm zxrb2t<$(I>_q>Bh>-0b>h3pN`AsN|gbdyHvc(A+NxRi`;p{GmeHn-B)TozNPAaazL za{-$763}YRt7k(Jzy_8%Enr>L)GvlN@8QpnNuN5%4LhTLp6lYI1hTbCa&6Xa?`}Cu zhjL>`_NI}!8sbtJ%xc|$AJX%>-!GK)d)A{n){swURno{j=ADRyuBC(f zfb(-9LFt-s3({B8WI;2y;FR}d4MR^7N!PYZ%!x8wk~A264L&qKBl?k(0>8`fHr*CU zgX6Qj3Xzy}i*t*y<%7?rIi`_W_ax#JWIcCcayueFk@T8jeutkWpXoJ2NPYrRqv?|L zWc)38k+=e*ei^W&8dP3r-r(OJtsjWXuPO5AJSdRN6rAT9d6G0$7MCSS9jB|%J!ZR0 zK)THH&6l9Sn#(F_R$Sg{ONX}b0J7sMOLwYGjv@LHI9Y*h4KlLJOCr6Uy8JFJH@%&< z6*wZyteiqo?yIMpxxUdA)ZTIi50>TCh2DnmsdOAV*z~?tQgHZP7L(q&N{qw0 zr5$I=h-PJx*oU4G3u%*;NO z#!VqP$E%rS^Iy1~bIP?)Xm%d>L_<#tlOLL!)b`}7H9y#vT;Xq%v^u;3!})Z$bEGUt zpDk~-K8qzMxwGFjp(|g$Yh=9_fy7Vbl>t_@hNM%@eIJ^l+zvNS&B?l=JCaD6U>AbNH4ET zw&jST+a5m2$}B&n-*IC9A@7;g?9C#RcwS)U?2)W~9{147U8;1KGbi-N%p`H(B?JzIJZ$2ign0D`Z{gTa^e#1o}F%IaLAKfS?yVN@*~}IQYh9T z6M64cviByuwkhX*O7>s#-Y<~;kwJ6)nf2+nHnOLtn@gWq&S`-TXJ)OQmr=t>Lx%dt z36B|I-mN7&AO6a`dPwi~GOr%8y@kly-^}4LW2b{kYlJnc``h7kGEQ-+9d27S?*dAn zLC$q{FO2I{^2YSM9fx%vu-sDFraw;a z8eqK$+HG}MZ-mtu>i(6{tZ_XGWnWw9?b4Zcmx^|Ko3Yu)tP)=sHHd>$9$sJwD zc|>VM);ACI6J%t#@VjQK^idIE=~kRKXvt32x&Xoqj&BvsY4fSX9b@yh?H)#FDkVLG z?Yf?64*K(wZkNp~QuS`X`ex0j6EAeV+&(Y+k^;|b(`Z~yPp8wc9*9mi(e28+Ci=ru0|tyb)cQhU>RuD8o8_w3^cKmX9-vFQ4sR!7ouwL8<|TT0 zJ&e9YZMu$b-=CLG(BWk(Y^~NO2+~7f>-|F}ZRW_lnJfJ|Bi%Y}^+{CL%d*meI(2=D zhtlRorQY|F6_k48QeKIxC+M?Lq>~CE$GLYcj7i1VUaym#8TJAC%qHpBVzRPg58=H^ z&78`vvAtW)svn){^j5^<<8F0pl|J?1)%>Fj{jF8E9lU<+QQk$?V@K(eBW-6ihVolt zh1GiaP2L((Tc3Trs8?&wZ`Pv_rdO5M9?Bjz{ldenw~P$Ve!EEaYe}plINLi(tnWKn zJzZA1=~I!m;LI|mVP$607pCXb@amULgtR}~teITt;^{Xx%11D|n=9Rd^$jk0El!{L zvCc5Hg_?L>x_R?tiq=Lg-y(GwSPhYn@X4KFgSnW^kV8)EIIJw%nz<;~#!xQA%PD#E zLBCDX`oM>t*ZOQ>UjC#HFf+IFX6LNeB-*d8kCQ`w>pF$g=?ZD?sV3jv!cyeO>$E9YBk(S;YfefjUML0Df6wRR7(H8pQXtH_Pr&PHJ$-KTqvoaSoX->utVbJZ;Q ztddJ)=If_D4z|+nXx2~MO0VVk*snBV6T`rUabfByTKjXqc>(E>Q|8Ivj%LQP`ka7E z5vnpL8q#O-SzgxLl%%tj)6gC5)irJMR>%TdkNiXBRz4X!jo~$qB-+;A+SV~$4t?~Svh~NytQ4)mja=I)aF1wxi^sRGBgwvI5GdNF$I9!Cb6~_7Yl=S%korsR1 zSIIp*?k+0byW|8q-u+RPX;&^+qiuD=_Ai5n{H-N5c-Q%Mxx*nlH*yW$aZO}49|>_> z6`AcsLY&t{W{Z#*r{HSg zXY3Qrb8Sqb6nv^vK0>Iiya834S(#~a9YlWr|Nnjc8t^{)op2G!^!rCI%~Xm9b4)(H zh7W~GO>-HCy}bU(DXxBQZM}7_zi?bzh*w_9*U0O5GN7&AU&r~r#-`NyPHV|d=~qn| zSFXn`=9oL@dKzawy*?^1 zPBOqZ#Fg4opkA{-w=QFl)LhQ#r@riNkL>EQ*4x~_TU=!5v5Kwwckdjg;(M9t z4bQr_2dgVFFD*5-yy#Uc-puZ}evTQS=j65C{No&#evpOHZI%E$0Nedl`9$@9BD*CdJZpRd?z=L7f%i55VuGB3+F2pXU|_~XDj_hhB3oK4z@Db=R4N#9>acy zUc-TgzSQpy+jZgfF{b&<$~HE4YXv)%Gc*5j9W||W*0xifd272%xu*`xz7!~PWTyC) zbVF)H!F)4^%Oa)jWx3&AR{ewwH=6W<=I?-^-*927zRcfNEi2X4$9+9#GM!qg^LjqE zrfzgi8<#dy-D4))aXXpN(bN=ZVL(xDtC!R~-*eB;SZ?UbU53+olcc25`6p+ZsV(Px zr{Xe+`W^Gja#P*1+wZm`EURV<<;%SEUkAqbw94y!y^r269bmYhp;J2YH3Yu4U9aC^ zYyFYlxj4nQvM=Jx2B{g^o46d3+R-`1S65Hq@%6gu`gCPy?sTw9=Ukt)+{#&R?efyI za=9>n=jXCMGTWQA=Cb=wIV`4{Wt%-_ly7d`ki!qJ89Ic{N3UR~a%9rW*_bBP^DUaT zMt!r$8CC*$^K;Oy&v)crwlo!x7q8Fl=kIT)%&KP3>rb7vOA z?C7Vkrbueloc3;PZ}VrCnQA3HoRqWbii+sDoXVYE*{Sqh*ROSBb|yonNv4bazpoMs zwD&2;4A7e(XMtw4@W0dRYukCLbh?%Dt>Myx-P0LMXCggydgnw6v0+wxWVpq+Vb(Zs zM0yyg!be+iNkesv^>=E#yrbjmC4MWN0^3h|Lus5rcUNCCtKgUaY`54+n$GRd>R%eS zKe@u`wY2p(YfkxUY-zLhiniUTQ=D!W<3i&bYwJ0WJ!WpZd{?+qzf{nls>c+a=09ct z9}#M(o>jw#gt?~6k%#s0ygf;-Ke2LJjII7+Mb8_ z(i?Q*H0$@I;TFTH8u#UlmXD=Q%)B;7D%f#7Sg%L0cQ>kPhI_iY{sPQoiZAPW>C7I) z%ZFY~g(nNex3-X>69aCeh94ZnQ0x%yx_(hx_wqPn{XcOINDSsXQh>`S~-iWXenETg;$y z{_@A?C)Ljiwa?RIbZM>}4CIV@O*`pndQ+@bq=!JM`p_o*H+Nu z{Bb6n>*U=g*z@!_=K3bn!8&nDk569JbhNk70dXX0>yI1Tno_$% z$9^~SY3-qhxyw=T?RfshM6kw1%C6(|jk{mN#@emS>-D#E#83 z+_!`C4c@W&4&A}!9lE2-8?uA>9JYh=4d1c(Mr>ETWX}4yEzNB_!KGl{f-ZN=aCkVK zizbCS^;BP#w=0vKmecl@Iw{w~->n?rYq+z8%j`yB>DBpUrgM-i{f-^QDcg3|4GC^ht8s zySlBVqm|nf>bUp}GCi^$%2dMQAFeqsH~%oqIWFfARTk@5j@s-)PxrX2Luei5n4a^YXW@9KGRYj? zPfPT9@aXdv{pRnO`8)M{Jm>c=^S9G_<2bLbKK1ctxn|4_8@BdoKP+`DAC0lPWkxkm zP|d8j_3^rZPRna*naP+(@3V5l9n&X&a)Sq%a?hL7a+puwV!H$Lbz2*$dQO;n?K0~j zY5q={zts!Ydh%>BG=KZd-(K@~!2Inqe}~N9e)D(4{2efV$IRbB^LLl|J7oS&n!m&5 zZ_huibugm=HGk+({-vRS?()=AVf2$YGa?Rf!^LNbr z?KOXQnZJGJ@1*(LZ~ji@KVbg0wjSs0w@25fw|{h0XxNm>j$`}f{!D#fTg{Q}3|cV* z0qaotzq>2V8<0q*owl1E=J(s*wK?RtNBRABho%m>O0WK&)u+y1p#jS$JmC3#6%b=0%`Si^9u78-dr|JymCl9k`?4mivz z?pgl*Q`|O=Z@NNzmY>}E{6=z@zlwLDLY?z(b&qBYRQP0I*4a9@{_MZn-n$(y@!FKs zqs8g%?;BYoS@oaU0PPtHrp6_cO7%E0^|#0T-Ef6<{oC_XrFPDAYby6|^&4}&+;FMk z*S(*pV0+ks`-hUttbD5Lov!N-=fZyK&H<~i{##7Et?b)l!#7*=JC#Gm{BdaFQsl4 z(KnAWPr}q=zgykwqp?|kySSRy$aKt-J00xXa;N)zj?cTC(ij@c87a5xnOpC)(#yK9 zWANbgjU7(q<7q|doXw`V^RV%$zZy0#pA>TtX&lRmTzpF&yd;~^K zogn!L^&n0^ifd)ZWgMOAL9^F?IScT;Y30LjxX3VS7)wom*NRh{-1F_aeyzpZo0;;y zXQdNaZ`}{J%Fq-;1Oj&lvQl(_ulmAkA`M;T71|X-PKxc0igmY2&u-+;L zUqJJZ>#Y)S@7=f;^=D@XRE78V#iJ&C6uyT# z@kx02p6ml91~wwkpfVMLOHn_36b{*o=lAe__-sF=mf#bxWpDC!P?-wDMf)hV>EJRI zfe)g{A;f!?`T#A(t9_N)X+Q1<$NS*1`}3?OJ^+uR6ZZR<7j8uJ@k#g##&#?5A?P`X zXTt_lE_kv}srI2|DhMA!!C_^p3+^(6W#L2cdgS>Z>JvVPq~4P7prJ~|?;y|cBeb6Q zBviwc8t>;RO*j$t9bTqci!a58;2y)38ie=4^U+*<1m24zeb1rPH`41AK8reuNx&h8 zv0S_#-h%vxmZ=zg3MKJE-w37F;f1H8)p%ix@xrsw3Z{qQEl8FdgO8(uhm~pm z;DtlcHsbwouOnDrO!vY=Py#Q!6s^SzBWM*~IQmHHVMLkc-|*X*F8uCDr8eU|a25&> zBfJ-lzzgGOAYM55DB2$051&RG@m+AAqpddYg&j!B5{3sH!}>j(dVuRt44;6v`^nF* zs5kh;v9vd)cfspMThpU(${5yVEo}q7ib{`QUU=wOrRwm)J5V`ZxDpM+$Ke^rDYXzE zf)^Z5`#+L;fV+%mz2b#OoXEU*;bb%nAB2}9y)NJz#wTIb1nTr*V&Fa#sR!b{@Jcl7 z0k$vL8Bi+9^ccM2=j3@L)8U(wh`}e}nkfk11;0Yl#sViP_2^XU^C+gn0dLp=OANM7;Y8tMV9#7E)tXbnCA=S^qZz=z?zwX_3# z9QLiF?cu#}X#;KS80LlNpP|$;yswG%f;|2*&GYg7@WKUX5IzDop^^9`+;0}!1zz}W zi&B+%VG_;7tB_LrqeXb(K(que44_WD@cP+ovv^?)t-}l7X=NSZg&&|Tc-5vV7l~ABUf#a=fs44$H<1=c78j@YK2F882)`5quaPJdZYp z7nYnwo#Tc5(OSF@Zatem0k7s0a}Iepmb}4d&sC}cpMW=o>3{Gsc;NZWgZIHo_pyj(u6M~ zA6_`(SFBUK@bN{|30~NR7UL7}#b49*#KYSb)0XftxYs4jKZbS%KR{u;x>TvZq6EGR zZb9>p>8-i%Wt4YpnG&9geE1;z1Cs4J4#!+Ud6^!7%g{Q!_e!Pix{7)~ju?2t)uf3R z-iQ|Bh0D=0yzuvEg~Y=fmQp|XDEvL@M}CCkenb5*T^K~0@WNK(g>%q)rpK;j{a(km zR6!enP0PqXUfBD3)*aph-@cjc9iN1eW>#*iiY81@Euf+Pr~^RvVX@%;1j3{pMXDpi2B0&;1tw=7cTxI+Yw&43@ygT;KTAa zURd@p>j^L19j(Iq;8L^}AB7crt;0$~3>g_s0vr_c;A6-V5u{NWAb0RDl;hj;7&- z@1YQ0_!SD{J%6E}K@q(0G_({iY(gvWVYnQv#tUCUYw*GiYpH*{@DrrQmudbB@4>4l zl=>2R@t!A@8jgH;;Y(-)UU>LZv=h8=Dr(0IPeTjw!t>A)d;~s;;`lE38Cr`M);>*t zgcr_2oA6ya4&|!W)qvAA`T{qD_d0e@9#K>hI(csR^VH zcY2oc;yv&wREdu~r_}6qv=i}g-t+7uBp!Z&R^x>mUtk^Mg@1pMJ`!3)oM ziF{5h(_HW}`NT)yW2ge(1-BsGf5LrVVYy5fjz@Fx0eBvgu}v8M0WD*?+CbYu%f-LS za?lFA7oLVz;v;bKYt$`12xp`I0m=feK?CqncrO}+kHht77(M|%K_l_%b<#)WcrP4+ z#^e343RU8RaMByJY4Pwb)QOM7v2RiT_yBBwhdTT@d4QeoQU8-!M{w9?(ySy+cvX_} zP9bkFzJ>O165A$xe=B*YB0v99>O|E4WR?XNAURHq!1s{sYm#vI7goLb;XD422wrWYeW9iJ0Bk`~d>1_MKa@wN!#hw+ro+R&qz}aV z;l(J9kHSyUTDs;e+rql$3ZL@E?k{;r;LhRC)^WaF605 z<-vPlIg)lIoQ(!DT^L3E@WM4nVuT-~@k|$bON!J;Ne7lAoerFhLXr-QqM)P$pGOPv z3AjgTky6HX6toNE_!#Wkjk4eq@Y+528y|&d_bpN@Poo~- z$$J*5_G;3E8&C|NghRhy#Bl)2h0(ppGd>32??*m^#K5zDSfn=N!*Guuu`X)J5A^ge zQtR+OcoLHFKoDMvMoee9@KqGVCt!4M))!v52I)2cKSpbrF6{r~BDD(dg@+(XQ+P7k z%yeNj+JFzjvyp6HVHh`FSh7!%?z>`e$-dNoEz5;nNcuFPcR$)B(}lOAN_-3+xIgP1 z?}JaEa2@RdHVq(e_z>*#6Sfh&2l|nuDLnkAtjBul6Sg3k9)i~(DN7VSXM6%4JkW~q z!7=|+q_#3|5MGERJ_7GEz6*YcA~R@92NtQ_(Ner{2#Vr`XQ7q&2>cYSk@%k#sRPhD zybrdb4R~P^ZNUq@gNjtInZ&^5s2@HCUqplO!YybRUihPfid2Qf!*fs-ULDMKfa>sG z_$$_+>Nkrz zf!{rr?G!H@jT-R66VQBo5T1h;6sez$r|seW@X!g=gLpVGKp)sjx!`lCp^at1$v-Fm_#nJ>a*^`3lRg|$N&0v{ zTrh=t>mbjtQg2q=OH@*=WFA_M7lJG!ieId>U;J zFPw`)c;Q+U#&^Nfs#!1i5d1?8`}%q06W&wHvc$vnXeD0wDq4*fzJt2(!u#u}bG&fp zdfEeC_*2yDtTN3*@%`|A`1={u6+RAkZe$sF58UH)+Su8Y5pF}J^O=4IZ2$%EF?irC zwsE`<-iKD<`dwl zuja7bp_TXq{P{fECA=TjqXb@fJKBub#H$O+)MofE)EBSLE>h>AVfYBV z56ONl4tJVw?IS#JpL4AJf*0N?p7=PdIoFB_!7I=j&YugPKyhM(&!QMU0gKMFVuT~m zMy3l#p>_Bm`~%YGPvF|~S$9nDg8N=zEz1WlM->a`C*dPV_E~Y*cLDo)rhDOVv;i;d zKx^^BIcOC=4BtST@JYDGLfX=!WtvCf2P`a8!UohAAA(>0lI7ynh3s?CW_%D{hGd@` zfo~a~guQ-ch5{^I$?}uR|`4|4p_%1kYkx3K2ga#0wfJr3vEc_BJWxDX- zUsHCxa13h43r|3G_yFvG5q%oo3(rB4=P2U)51SN@47t@x|+zTl?d=PEK$6?1} z>f~3{A$;!=%2G=m!WEa&wwa!QCtPNwAApx2J+6SeN2pU`ys#ZDlXT!sm(%|)FVno~ z3fei-g%6_T_&6MPCCl1_F%PUpl4cM_kgk7NdKKF*@g6wlYPP#yQ+60ZgD#?-!{e@D z+=UOo!AohYct89S`7UPO-xR6+(IUJLjz$rD7+!{!;)Q=eQM~XGv=XnbWnG{(ct31L zPvOJxX|zsat|Koffe*lQ(I$KZ-ing=7<>(F#V6s;%P9L|@&->uz3?IUBAxce;UfA@Oho^5Ok(f&7h+z#EVsFI<5t@WL0+G`#RF6v7MNLt%Up9&;mgf)Bt| zXc;~Z4~f!e;{EVcv<)xpK%4Nw8__zvuoJDp3-3oO@o`xETgrF|`Gz!dpT*s3(;bH1bS9bE}0Hz z-Nk%E_~u1>I>fm|9mg$EWyKL?_*i`1dOa?eO<+Rf%AS( z|A-I6`|jsAyn2A~=O5Td<2~?I)az=>4o`oOJmH1&kryxAffo)yRd^q~ z8@1!(uxT~*jE}(k&@i;5$hA z=OmoE-s+!&@cfrpkHiSieVMk07ha7z@lja$3fqFDvw`gZ4Z4N&U!_i7V=RYHzRvMi zg0kR!@CMY5kHMYaAP(<=b!ag@1Rq38@p1Uon=DIW-lC164H5&dMjP=_*o8LZ6L924 z#i5{c$aMG`s=`O!XFEngyzqV0fESkhgY|_M_CXQ67fwb|d=T!v znR>wc;HzjAJ_+yofcArr!&x8F58y*^X_9q%8}$Qs{+Qzwya(Ql7UE;@c@)PdVA&_6 zgZIIrPpMOhhfi-|AK6I^T=Y-U#Yf<4D2Y$PzMrv;-Oh61r>GpSKIb?Tt-yD|_t7T2 z@UX4Six(b+dfmbLf>$9gJ_w0dxF&58IXrVD?Cs_?>VQ3YOj4;q1w z!)gCvJH-d#KT*|PyBeWGCfnTCQcQgGf+87GrL-0qcSS`hS;iV{skH9fS#cBgS z0Oyqyt6smO9^eMliBG^4I~8+Mm30bRdljo?cvV)c=Af1L5D$0nU98sNy>KO3kB`Hm zU5eEvyl`-zVzmt~JOTB)m$Ja!cP&-}@m~1s?!~H7{2s+Bf|lY{-(qz;isEB%BkIHp ztM)8btMS77P!~Q9zq^02O5nY4?*YZC&wbQCoF>AD;7U}7kHfc76FvzS{G?bd#7AJC z1B%sCcrP4=*5Uo|E3^Uc`Dw8V52W5!5)YRgjQ>4t3{F0TnENRs{1cMnh%WenOkYr@ zIn-CIyi6C4LLPho9yQpC_rp7o91FzYSE!O0HH11uGR_RZm1s57Oj4 z^~HORELJ|$A1^!|4ZsT*pb>cCVl*Bfh0mdB_yjz3B@nFJ@8Jn4j+fR`e_e%FIJc+2a&Wn*dE z_%2v-9A(6N;8Ca(AAk!{3?G3HqE+}f`~b!As-jr^6s^Vk;3=pJAA}d7_4o*U5GC*l z*lQfyBi;iKLrHuTu0&h$!k-*Z`+11_wcvQv7cZQO2I7M-f=1wdC$L=Pm+9~}RDqAd zFHiul#cV%yA5Ee@@jiGq zO5h{#6|@PTghx)MKJfu~9omYI!JR9q&()+4XP{pA5PS%E@Le)(3frl~z$1_s?}xL{ zKztb9g?#up`~Z!>tCNb=fyj^d!BbELJ_s*D0el2Lh^p}ZDz;4&#E0PZr~w~?Z=n!A z3HLvl`p5fVEecCKycsQ$c=!&ANIX2?6zX5%;S3a&cz7%7lz8|Vis98Siq%-O3Lk)% zqP6%a{2SVePrw1wsJB0oCcFss!bjjIs6SqvTC6Tc)9_LF+G+Fy_yjzs+Uh^Ua4FK~ z6X2wvH9ZJtBRRh$Tt1z)&VFBbI~s|P!C|%ZOL#wg5y{vf0cX~c|8lNtz`Ky#6B~#B zK}(78)EBFLXApz;!8K^mBdlw`&WsF4QR8( z!%nnC;^8}Jo5aHdT8dRE`z9Zpf%@P>@K)4U_F3>9)E}RO2ZUI!5)WsfK@tydMZ@r_ zjdG!p_#pfWEtKi)tUDz8!63ZX_&D6H!Ga`BKTGd=-_&NJ!5tBsGs&x}`RQ7)v@hxZ#Fhu=Tjqz_w+55Y%_ zkHcN(TQMFu-S`lE)c7vA-#J!{4_;t=1ioo}67F#>+ZuHkfES`Jd<0&L*5ie1Py#P3 zI*;uUFDyZQ9%J3X@u)vO0_(%9Q+xdNCF+K*{7twF5A$?f>YqlkP z0B%J-yt=4Z-GVCcF<5mm`N0Qauf_Cxcn@5KBKSCLxP)y0AA_neNq8{*GxcF+eUwjn4i3U7grg__q)FWQF3RU8T8&DlS87)@LH!(jx3_EY7Z1^~Q z9?5uBxaVzb4}U4s+zVfd_d*|%^T5KR(KMzDn^6T`_)9bbFT5BH#7E#8D2!K~w58jr zw_55ME4aF=_i2fPOBUS&GG25pe(aIgDVUostDgEq@_c)&{fCcF>ckJJ;a3wYS?DKFj+N8iu7zz5*H zsK3O(K@YIrB?hiQgJimJ6>UJK!xd^bI@>F_?(>uILLq3f6z?}x8H&vt=N!rNb@T=*EA_!9jLJ_vvC3d{W)d4tDqU|fd} zz}cu1FWih);e|WD$~waf%TYq&;cS$Yc(@sIC-rguLOsa5z%y%9I~YLA~%n_#o2PJ>h|$vJRN;gD0REUU<IW~p3Wf1;xDn}X z6Ylm;+9A`0L(yuyAI?Szd>H;2ZN_^)c+*$(S1+)>;5HP-dsK;)6Yl<9;_+Vi0P?-eyl~R@N>md*2tP-ic(rSZItp#X2jJDH|0}d5c>Hc9 zY8pNOcio+3;l1!wl*EVN{b=9@(ue!*S)v;7KKLnGi&x(-QP-kv_$W*uITs}SpS?+@WPV4OOzik^r1?LfdSNj55jZNT)Z%W!g%3-&=S1o$0h0*v>YFRulK z14!$2>L2d;(-Pe#z3^W2C{r4WfY!|5=<99in=8Je@fK*XbWB)SfVaQ zr3u;zOrQ~X&(BKK0_4X>;081vpM+lxV%_1@L9AaS$9(~K30lJRDEt5|lbC}`R0~>( z55rPli7J1C^x@#a_%~^9ux1E#jt{|Ghf>D3SnqHnT8a-0W8UEmJ=fXySQ3w#(ZN89i*xCxbRB>(VVs1IIv#Nj2XFJ4%F1nUhd=mA=cfr%k$pbzFpFoRcIy_(u>rUd~6==Ckhm*&asP*_Tyb*20 z$KYSkW_%J}avb%5kHV+XheaPg?08@IF|Jp2A1qyJ(%n$n-YKix0sM(H6XFXPZN%pVB|T4^dyd z@Dnr$FWjkvIJ^hWMV0t4{2L156YvYvgjZ*lsG+DGAA~od`S=+81TB=9Ic!fNd=w6v zOa0*ea5h?j55s%VDtsLNY#wEl7FotHsh0U;@NEP_#k`) zm2P3Z!$aoNmhgUfBkGTj!ClXx&%}G-d1x3u0zX22ygIi;Rip9v5PSwz;S=zX^GZ}5 z-VZNBA$$~mh34ZuVe*L<;luECv;?1o6VIm~!w2E-&DOMeuTR4 zY61B{8}K3c4BCWGz+)D&uJHl*JEZhbz!xd>oein)QqKz{#i+AB4A{mG~I^CyL|MMf4l! zDSQB4iPqzz@NKjapM=9NW=w_m!wbMGNt9_;`f!;=5q;CeRvu5)QwLZ2>Rb{c7q8 zFZ?muh8G@!`fg=ea5fr%55s$q4aF1JAk9aTKil*V! zZR74zIbLZ5bbhGw+~n<3sSUI~o7r{jh8WV*tDdzJy}<1ibAo_PO{N zoO3s05_}kr`yK5QAAmoI(RT4(_yyXGSNE_#MO*Pnc-g)5QQOD^JmEgpBR&YPL~~_2 zT!+H=1nl>F`cJ$Up7a>&>r3L{^UqTMr5r=S-#*8@WxUG*K96L~kbpa{W4q=)PY*oQ z_y9cNdDbcM0eBsfF+k`=_LWF{5;m_l)8U84Ct>qTW;*=P_#|w8*-VEY8lQyCubAoZ zL*tXMd4rh_KQulGn_o54;fKb1Ut=GIq%6Xq@xr^2ls5(wNb;{R$9 z_-KN4h$KD^fAR+Ha2L)4!>iCjd=&2VCjDC<>J4_F`S>t=8*RlW;gq*%SKsZeg7D8M ziSL4+A<3uONP850j~MtW>cl5t<=dpsy|_Vm5sF27Yu=0BN{q1oJ8VaI;UQ=p-UpMY z&#t8ZZizY;$+7}4YP|4=o2+{9!r@4+;|R}{>C7u!jr{m7_z{wHl5p4eEboD>sE(L0 zd=o7b|9*-34cds0!bko=J$T5&<`OjvsoiKx@PZF0FFpcK`;dLa?vxi^jbvF-xc5iw z>zVF_OOVt_6!uM8-V2u)ABBBCHq+q}SiFAATl(7Ml#e1Cf zbl*SOZcrbV8-{P9Y52fr9CLk6T6iz~Inv{BSiY5QM`GZv|0+?zzO)nAinie+@C`J6 zPwEri{{`zCABWGPx%dRU|KGH|?=u}_>v{j~mS)#5- zfqulp1nR^K@A=Bga~y6#l4q|fRh3A55SA5{D$ftdGi*YG@Dca~T7yr*A;qP-uKe(H z<0G)Nq*T|N2i}0>oLdxrgmn9X-!HYi57r`CZU`<$5)*^F?Nq9^uq+?EANBts^#DIY zy8nSwb}m)rOb^16@06-`yayhGB*qU{p=B~JJiJ$_+KBhV%aFuGU{hJC^8JWB!129F z2Oofgb}3bzcpvQ3r&Mjgd*D;Z)1P{P2YuI+1ujF9W)$xCJv=c!xDd_9N8!%9mZ~Lq z51fhA-YgeBf~3yl@L9BiYflMyxu;ZB5fg=dcPmw0crW}bYWgwTCM@5ba^VB;eiYe< zbl_$r^(j1a57PJc*8B~A8!^H=(Pn%Mey4A#8bOQ)jzh9u0&u>FbcAZpQniv8;r=L! z7Y;`eydTa(GH)2JM;n-)fFr(7UF=H?j3cQlVZXho>-{JfJQvCIFx<5t?TzUk*nzg< zV{p$Ol&XRIv)=f>Nhb(b8Xt#!z1DOuY&Sj( z*BPIH!}c}l!zIQ?;TGf7ex#3d`f#Q3aoBf%lRj)WJ`C3xpMb*#nDpTiu)A0`BUwyayhF6dX9pQs;HIjLSyC2HDc;Nu#!~5Vps16^8_a8>R|BCS{Jo|9AU#5rQe~w`K zAlmJb)B}<{d*S6s?>FIYBk4;IVmiDWb>gFN4btb*;m2s$!M!ziKZ<-RsydN$=vM!?VE#s4L z^(f{g%{YAUSlTGQ3+^%6iuc0rm(zdx$TQrGD!D&72}d7KUhx6AWg_ioD0LMmRbL?Q zq4=Mds?X4RymwNmIt@u4f^ZI6Bz+pZ21W2u_yAgp4^1vrS5(p;%5?a^6!ty%I4nDf zejD$BC!hpA2=73f@iF)j+J;wCOV#nnGlG1=HdKxe!<*4~d<YSz)=c=%S3x)TrIsbT#dfrpPyr!4p`_*gA%T0GoPN8Tg`URlq6{Yc6M ze>a0RkB`F}W|pdv_!zvtf%PIDUeU<1BpzOHI&E(x`GoCfP!@a`)-_R|_z=7pt-(iO z=PZ_ukHNp9VMozs;HGBEj!(i}T1wS&ycZ4(u`Ij~o`CuuP2IwV*|aBo7~X}X?Zx1$ z#wXzHR`OO`ro!-QB=33>zJxlNSC~Xg@WQQVAzro7-jKu#Ytd6o7oLt*<3q5x-AdmB z4?q$xJOh>Dg$q!Uc;Uq;fseqOk;DuCiF|nBHsr;t4(bL;x%}|&Ncw~XtUA;3L3jz0 z7&WI<4Mwt!3$H{Cc;W4+5-)rhmE+^^L$m~+gfr)|zVIQKK-=)b z9(R_R4qrktU7gK11c?vB_l!@%gXddg9v_@$d=UQH_z3Jmk{{vbbLn$gZW7*fp0%AO z;H0oAJ6we1os+^x&F~tFAg^wpM*bH zWKH+N8YJ})f{QP*>LCJ;z1XUUF#H5bSr}CFoT60^!g@4}^o6Zx06qfmMN-B%eA9T( zCG?r7l9<i6kZjuP{CeA2mJ+_rH{Fgm@o34oQ3f-h*U+6o)S(J-&srF0=al5WEh_ zJy%iqIg%J&_@aJ@#Czf0Nbi5)1|;zb_!*M%p1QnL9dQNgnCX7_9NH)`u;ogtPC{@c z(&dFCm(W+26AwF(Ob^2cjgP~v#(S)OM#S55jAa)Kv_|jTde}4a_V22dcs+VZZyWcrQHCct1QJ%_k-T zqv9!x@L{xy>B5&#Cq4nkJz$k90K1UH3nPD^&yjTCzmaaY50dwlz7&HsqwpD|>j8djyyr2-{AeNRB;Z4T zp&!A=;YUc~ld%6<%X{H?;{&iBNq;5$y-cs*J)AI(n()GB&}zK!;3sISc%ct1!3%Fg z>+vyo$di)_lj!PZG#?*>&!Plg*!DDS9xpr> zt-^=l3n+J1-&m!eL56uyRH_#~Y43H6B&!r@zJ zGXdHsya@IGIok_-{WIDJJ_!%lN<7{NTfSf$pG2DQZ^%2D<-$Y1WIEmtpZbdJzmn;2 z*OHx79PfoE?7Wj2c@oQo{d?`Cf>opmuP)n3Z8@1V;YGc7;(c-C0Uoi-PHOxwNE240 zMffm$3`Ou=@RR@3-u;Kkc@+fTztLp7ZG(&$aFL*6iLl7h4iI5#7CJzHtxaWspbH5& zZIdz~X*;xOnUEAm2{5$*28ggV5hlqdnIxNJlnPrKWYmg_4KixP!K9BP23%->sYV<~ z`tW|v<`nP|-|PKxJ?|gy6|U>c_q%uRIdkURKW4JsY%!se1@n`iLtSV1^=Rk<|0bHc z#`j@bxA<#l=^j7f@$-`zo#GtApF>OhA%x>t=dZ-=$xqBro`mo-?ZIzC*JFbVXzSoF zVBRY8a_}xD_KRK>zydU8`DEKT^zwweeuY02T=-`dW=?bTRYJRd+ z2frHO8ZYpZ7tWvixw|yqfyHmKKW}}KadeHJ@Z|Z)q)zj~A?y4bn!k(iIXroaxnZmA zHGbmH%uf~+e4m8hioWf^RUFsBzl&2kcsg!x@yniS4j!B5_aUrpjSoF-ep0r*$@l&2 z{JFm$+T!0&oBwszoY()nW2$TX4K#If+5BV|TDr-vdAj-N5Zufr-`sSz1EuWO<1pkpZa2R(!o!|4xQm&!;}slxXL&>cp;AKG>_)YRhM`llDAwk=WpqS zI{2oS%uj}Og+Gm~ZgUUIbnp{iYR)=%1&TU&EjH`mw_&TU@MPG2)g^QOYdvLq@V{Y) zPG08RUS^GSp5KSAZt+uI?%G`Eyy9;ntt+o^og%M;zl(7l{Nt~*R=UOi6I*m@%=6=@ zuJIGE_S)B56Mg{8benJe73Y=i@g>*zXS&NTUTzI_p5KB6H(5j8hK0J$U&A8Z<14SV zhC0W0VTrEsmypw4e%7zLUUY`vi=wXcQ(onHb(&8heX}t+pZ8jHf&Um?-R9-5c3f{U zH(rl$-j?{wsM_A+YhU9!(gmJGT{rn&G<5KNXzJjFuQdl9{2S=%;CG|1gKHQp8J}OU z!nL4tycTI4y!dslHy!*eEZ2E{JJ#z8e+V0N@E1_g!6&gz_xPQ^Zr-}Zzc}tZ(HY*3 znXsLI@AcmMbnxPp)=menT4m0<#ML*N^IIJY{xlZr;Lp6tb*h6OKwh``Ex+L&rBj9Z z$IxsjHeD*Y zM`1_UpFjLo=c=yrH}QZ@Zk?aJ0&Sh=-$q9VfAcoyfDS%~WWyzM&TjDBI>!%SM7R07 z7}e?9treE(JkP(wd8<>rkj86@5ZPOz85QWi+`!=y3jd(++EgDr}!=`ew)|(JM)tlVucR=brf{)JMT6(9sKv$ zrh_-W*Eyhr--#Jr-}8hnR+c>kxZ z;cq$zfIVr?ol(2>2JA5@%m%F*1^Ap5nbbNVwp}pKRpZo{wpj-T1Y}LsL#|ztZ@P5>Fa2NOL;BVlV z4!-E5b5iH{X%Ef!yB5ujulyg@@Ljg^Ymm~xAI6Xl{wOlK&JQ5Gj|V@3yzRkP{-bL~ z=Xo5fb?_ZnuY)(CqU-!esOjJ*cHK*L@K2+uGhD+=*q^_N!FPM@|789c)fv7P%XEqV z3M+Jv*L>OI!*)K0cIbch9*4pASWo^67U~|~cq&|<_Tm4)YMp##e)2Qeq0?N#l&bbsV4ru5S??O{I z`O7$}d;BM-y%yc(H-F>&m?i!Q!uL;tuluIY9(P?b=UeoUF7bb0?)y~V@|he3+k@}K zavl6(jOseSycgETocVgxZ4dreY|+7Iut6t}IM* zzgffZSpG50=oII$-2TCzLRJU=C5CmI{~Z+_e8U;%m=3-ht8|S&jeB+Q+JAQ(b?|0v z)fMhxRtMkwZP%d=Zr}mk;&szw5DQoe#Qx z&iXxSZo0{zMNtPo?|aTI9lR7uTqp5@cB^gMjb#M=Dojh*9uNNNh^Wf&g z&;PN3WZqWe@by@(OZ-8s&~<+1yaB(L(wzB?^9TH1O7~&D58?YrZT^XYfuw4Cnr}u+ zSNQjFM%OPINWO-1y2o!C9PoQ4jl+kL)h+I0nND3ikX(tp4!#$wbnyMypxb-~TXgVi zFBwR->fqO*t_yq)O&$F91p~=39XyGyZt@o%Z;f=9AH}@)`5q}>^AiKfLLIyk!@9&v zpJ2|q&aX@jBstyUJAZN@De4;MpXhmYf&UII-Q_EP>ioJD_z|46Jy|&5`ym6#(EBf$ z^Goz%9lR1*UE+Vkm`)=PQIqdV~f#eB4ZB2BJKZUvu{tTvc@WLT; z)4^}YaUJ}-IHl|SM>wN{{|UbFHRscMp-!GMkURy8b?{4((ZSbZna=YLgnM0`{|zg` zV}E8KxdP#SnB&z5?;XJ(K;1sUe~6lH@rRx|kQ~)b&Mz`IUEsr5@PSL_{Pd>{BxxP| zLM+iaej}FY;QO&c2Y(Lhb(eeCtdpM|NPZSubnqI~bZ`X?-Q@hwS%+{Bv0 z4ql3?4!#yUbb&vDDINSEj_Tlt(AGWv@e%XX!5_!04*oMF+dLP~e~xQG2fz7>fn-Dn zSFl{ycqdlr;771p2Y(Nnb?{)u@zOcI3k_Z4ucNDby!g3}-S0XU{3VB0UFXl>s1BaR13LH{=;$Qt zd`4fl_=+n%*N6Q#GI$aN9sJL~Y+ZElk1riaw&~!X!=%pe*Kn`y@xsybuPgW^XxkoK zLQ4mK4&mNjda-jKr)&@I; zZgUq!9ei2NoOJLru|;P%hiy9ex3NP9zXwfS;~BJca65dhgJ1O$_gfu&1A036tw<(( zub4Mtp>Fc0uviE8F`|RNi)A`_scQ%;bnwv2oQFF2nW%*ATtH0+|Hd-sfDXPHM|JAu z1Ih2;n6B~n&29n!f>v-wf3eS%f zI`|c@bAQvpuOD}g>EKP+p@SQk(y2ELB+tW9o#PwufG+Wea7-s}GzO0A8ZUg4W2f7N zf#hk|xhL!3B9iTw%z3k3sB66WdY>^u^FtWb!I$6Q*y-SxVwEoNz-rfsPVoy-*1=yv zRR^C&T?c;;(_#NL9($wX5}IF)jt;&ZvpV=?Z}#~~H;X=Bt@WOr*E<@I`}Ho!an@Cn>}8q z_$6rQ3jYC`y2X!RI_!VTK=OvtK++2P@E_rr4nB#F4*oh$>mHB1)oa%|UXQ`w_gFrP zln(wD7U|$ekEO3xOb7oK3cALhLrDk!+S|NF9eh3Ny2J<3)GfZW?6v6N zHfD72z(&`O4t^TW=sdp-gC8|#{s2-s_>*sUz3Jflutc}`Z?Q~w`6o7cpVes|!wQ|} zcVd;U@h7obxA?1AuM2m&r@h0m3;S^9HytmX<2T@#4&ILAI=F#Z-R1=qYp#P|u*KIO z^E-rj8Af!8pZPB5lFo1uD|B!j1s!|>WgYw#)O3&k<=vhyG(Yn_o>vDie6Q!#!Oz7= z-JE$NMs@Hnyw7v#;Fn>&&htC4S=abJY|$-#1mW*z1;6(F?k76R1b=YCYtg~`uwFO$>Gzy}uHgN@=Nhm*_|urut*~vo>qxix%{$z;b?|$B-+8#} zk~x1)FVbxu{-`l^hO5Zx8n67Acb@0cqRX6z|?9jm<+v}Xv!S|u1gFlPoy3HH+nX|6&yY^dKog5fQehLdF{cIaw zjfFb+^%&N{Z^Ec<@w$WI^;l=#g-PAyKgE=8^Ote2?(vB!YZ#jEJY;=zjmHjq-aXch zFF)cq>KuO_t96(Esp+-r9&i5xW9laV!5=yny2a~0?$6qLy)Mq)YyLXNzxYS40iEHy zLi;S*~Vh1pE}mIXL!w@S#y6zF7h6P`Ly_wKli-0FX9yl ze~u2`8awq_@9$V;`+9yq=Dx4UFZ&B?@e*sre}$&!YV#~Ae&5Cr^mLmaMqek#jEytTb?$K%=X8$8G4FYP z7M;sjpewu`3w52Ru}HW01QzQqe-9%%`MmM5M5j56QJv#)EYk%pW4W&I1Xk!ePowsH z<8ucSy32jkb@ErnM?A);IHq-h%V_Be@4$?12BWmZn(!>9b&m(X;Qi*g?%{kD zn!jXB-iVPG7>EBHOJ3-){9|p$CF>aR2*P7Cd_9iaUgB!pUgJjWCO;Uv&8K7c_!s}$ z=bT@5ta%J!%sdxkm$(|c#*Nrb{(S5@{+=UZZz`0m&>ZpIF7 z#}58h>>fYiZ{zs(H?dDC#t4G5#v+z&9eSe~GI& zWqXYqIIWvJgG$~y@GPcvk1zd_d&R5GnXkeko#T~QtP8vqBf8Gh=;#)oKv#FUk6E4k z!$9&>^mLk+qOWtj9?5I0Cs#43YkUwX-Qo^LUTaJ~izPZa;k9E_r+F!s=^U@ba$Vr9 zSfOj&z$)G32eDdr`8z1CFy={PqNLNDMOo)~92H#%+fdaNon``P;Ma}$Kg<~mb(*tSq;tFyi*yIk7Khga2Z>4g(t98*ZDKprd#}F?9e^F zwCl5_PVorl?zcRK<8N?%@j48zbUttu8C~NB%DTxjxL3FNTga?3K0o1~%vGm&7&)Ec zYcQtsTtr@%xQcOI;|2=4$ulVGHqT<=8;#F{U-sH{iidGVXLt^#IjqphDaRJ8bec!8TIcwUSg#9Q#s*#C z9Z0ToT=*ykb&F3TrMr9%Lpu42?-d}eGrSyIbe@aYs!Lo&PuKVl(bp|LiR5}?@;QX# zn|#&hUM#!8n7kZed!9GpwCxq%5x3WQI(Cas#_sZu{mc2srug;9uJL+#W87ZhgP66w z$)Ahc+hH5}Z+0wraMnDFjs*{6wa)Mu*6TbMaZ;DKic`AA2XR_A`9Yk~UGAf_)*61z zTB5Aeyc89k=OSixiL2=88aI%Ci!pfyLrDI`b?>bdLwW>73Uo9>!Lk;W6}eo{LCsH9l7{sB7FnN;i21 zL%Pkg;cMOF!EaeZo#J71bcV;!)p;&rR+qSn@@>ZF1}eJAGid8J&!VGyJlM0=8;sAx z$mtA^1$3T^=;#vPjjpcqG%~kabMBy|yWB@vCy#hdIHuE_Md}X6l*a?Qz-1J4g(q;Y zuJbfb>lSyge&Z#^fRjy2N*(@(yG26so$( zpF>Tz`7|bUk1zhVYhR~$1Pz_xYtYnrUW;j6;;m@u8Xv@rZt~~Q)@}Y8I=aUf|A+HR zr}$Zz)fv79J)P&9(AO27K&s+A;b{!%7N0;`clj)ab*j&JKxcRi$8?_8;kYjG-8iZ1 zJdN^i8IwDx=q{f{RVUxE&Zy}Ok73zw8zxi0Zt2=8At{$%VHe<^mCzY{z8uJ0#f z?sE=b9Xro!W0&~7$ZoN=+=$zod@OdGXJhyHva{CqUFONZj^evr7hFbot_puFcAf8w z-Qtt6yWEeRob&I65gwc7Z0sDbie2Dx>ORG z=eZcW#P5$?<3{Wze=c^LXJhyH;{SPm%oLBr&hXW-^ZX8+t2)Pcd)!{<`(n5FWb7_~ zCwB5Z=OE_Rgs+U9<5jT>yfJo#Ct}xwW4HKAvAf)log^0}i;#P-dmWErOy_tN^18sA zF|KR;2`qe{bC^3Q>Mox{NhgmpCdxX^qp0W{uR>Lqf{}W^F?k9@y2;0o)@?qGVcp{e zKXy@)(P@4KPU}1uaYmQ;!&p{xt?@LL>lSwcy2}GUev!X_?|k54%<2q}p{MhF2UdK* z@!}l;UFV~i)Ga=VDc$9BxL2p<855}w8k5H`r1M{h z&-uo{piXlZDV^hSY}N%XPve|!aR>9Z8K3)DppyaPW1&uS7CUr~$I;OR zE@S9-jn5NE>pD-Ps9W4YLwETsW_9YKi;@>$>xYfWH{zr&aTTX@jX#0hM~uTAjOi}- zk=Mzf=f}8C^HR*4FlN|>1-ihSuuxZc0*iE=r?FVKxPuYh> zSgs5Fer&zRoVkH*y2&%xq1!x*N!{bYOPnV<#lyH)XLt-pb)JiOK$p0RW4gu-9M?^r z!AafbS)9^69=z0X*C`&x8J*!VjBGbP7qLW_xQbC-` zM=@`QHQ`lQpbNYi3w4EeV3BU}3>v!4r_t0sUhsIwL#KEIEuG=zn9&8^jMDELlXsx3 z>wFXy-Qts|>Mr+D)5%YGeoW{zkD{(~yb29n;LT|2TG)o{N6ncZL|3wFX?-Qtrd>n`_E(aBF)8&q|gv#9ADk7Gg?xQx24gl%Z( zI!~jiTigj>>n``v(#b+=iy58fQM7fASD~W|ycu0x;T;I?xpn>0Ove^9U~V1wK^(Ka&8Oq` z9zS)+``STc@+iXoIUbK);JdM9$~<{0Zg28T>^7gp*dfR3Db^NqYs=Z#Ilex2iSNUi z!;U+jjN7|>E_U)W7bTY=jFaJwIDN$H;*Z7cb^c837XM@HE}xB^JoTdF=P)-PUK%^c zD`OXUQ|tki z{#dWmoW%y6<8f@(1>S@$y29JBRo8hM+jNUhV2AGU;Lo|=e!{iDFT%1<8k1Kc9E$?q z8N0$eV%Pb;*e!l2c9+k_PSVy1bH|jgjGg0gEclc;a~YkZ=FAgV@@aGCX>8Cf?%=rY zavvvk^7GaNr*xWMfzvwAMTF-qaW!_08?l@GkFmRaHg@N3XCr>v%j{UJY^HLnwIUdJJUEoa^{fsr?2`tlfz8_(3E$+nbavvjq zVoerX6D-kb&SJC9@i@X`3tWy};fdIFp2m_tx8~fzyw6&5{vPT&^$QmzSKyS+@bxJD zh5IB|v3$n;hd+VEe`y@=@}34I{!zna=Y%EY~HjVuh~p z5v%fzCKmp^F?lIY=^T&av@Y-_oY57& z=sCvMDSkFm9qY#L$B?e`{Wzyv+`+srd0sw?1vj>n3tYyOuJ8l~ z|H1ftKbGk>&!VDxeCZX|PNzAGGdjoP7&&1~E@OkP@OEt0O`bt=(wIDptnTq(#<9>T zz7jQ^<8hqS1^ysX51AW3h$-FX*}(rW4i7%pJzuAI7=4}LF|7Yb=NlK%&?T;7sOva} zZP=ijJc9>xo4<`?I(eS^8&>_3*UqoPYMtkGSg%W5#RgsDgV?N_JcBK|%@1R%?(yLB zont!1!`PuSd<|B7+4#H;t8|I0SgmV(5XW?rAH;Fp=2@K7J-&2_*Z#^(k$=qAqubem_<);%74 zp)nseJ`W?SGkguU={y&)LzlRUp005N;r`s@nb>WfMfSAuc`)lW>J*P)i_Y=N@bx#G z+q?-&bcMHLRM&YL%XEu7SgyO=#|oWX>6l=ZPV-e*t#dq%^}4{Du|e0kffe7hCj1~) z={C<|weInyzwEI(#Ulu>F~eiA^SnNGiMM0xx6C*PfjCC|l%Oa1wR z--@2D@E>6P0{inLnAORP?T^)uw?DrXU0vaO5T3Wr_r-4UmoW2f$B4g!w)V^U{a#!5 z;s0=q_)0YTjuF2eOK1px*SgE+kUr-a6_z`eT5XK_>~FEM94pwqk*$8?TY;@5hL4@d+%^UG8I4CoeNT zmgzJv#d4kFajeh<-h@@U!rQT0*LfQ2b&F46gYNQKY}Uy#>w_&i%}cRW=XfQy=>nIr zLsxh^CUu?f$CPey2lwhOpT$v~yxjcpfKGE3$8?TY;(x=lgM5xA+9k z=q~qhPA9K0KKxc*zkAXAu|Vf|91C@UH(`;k@OCWLb)LqEZt)4s&buV(@>%qB@=D{Q zuhYB~$$aDUN(|}(Z$e5}csqu4o$p6lxA+8xb(hZ~qmwb?BdgQA6gi#al^D|n-h{la z@OF&rI^U0iZt)2eb(hbgq?4YUFZAJ)h#}OS>5Hc=;`Ddiq?XOYp#a^oYb)4UWpo#T}l(*@pyysq$ejO#kzkAiOV zX>7aLpK*Bb+Vl6-6c5MF@GEir3GVZJQ|uCNMYs>wcq(?2XJWVcbnG5K@mH-+%AEN{ zv2*--%)JNk#@H2}h+Pkk-Qs`3;wPFjKmJwcJH^8Y<79Yw>^!fHUE)t+@~5mVKNP#m z&&pe?g&xb-#?JFvgfUBeSL_;pGIopq9>pg)M*L{(8md{^umH)1#W3$fcg8@tDkf1UgM zB0rDK&p}UTcno2ld0rd4#2*X&H0L>g8dJK(ov_{hUH(q&{+va4t{lG+6Sfz)9Jg0^N9;P^ z7rVus*j;`UD~64~()=+ue;$pUM8NM0wMx1Z_-qliZmmiIt{Dw8e+#2#VvGZJvUE=rR)D_0$ zM%>=y6S2G8kDU}8ckIYG?tBfx_<1hIF7bO~*Z7ZPxA;WtE+^NWe{AqmW2bpEc8*uZ zE^s+^g}2A9^L?>fd@^>I&&5uzH$LXpftSY4@p$Y4Z;D;vdt%pvW4HKZ>@J^;o!nr2 z%#F`WW9PUKJNSLEYkV+vliRU_ACBGQ1*^}Gnd0Ht86JzB=XJ45d{^umPsMKXvDj^X zIChUOT@%OWXUER)HL>%&Hg<`(#;);H>?S`LyUh>B?(wBJ#_>55J9sR1o;Ss=@I>r7 ze;TP5IJdbIw|Du{H^(t~ICh4w#f<&)T*Tt6>yGb6UDx;^8oI?DBv-m8^W%%|^E%C0 zoYXnK3He|4S&JudOxJlDE0!9EJJ_bX+z(&tWUcECi$;yfSrl}R$1$l3T*f(F;R(!p zk@0yN>vfAe*r2=I$4Q;M#rQa-)11Zf7aO0)u|gNPjBrj?czf(RPseU?Cw7^e`!Zt+)R_xQ={r7e>QfTza2Zd z$vR+eo}7)H1u0xvoSF@CTC;k`1;r-z7Ip!I7a-n zxV^`Nx14`$iicxo_*Jpo~%>kN;fqVrrtUzfOw@@w2H_#i5}$zMWMce#(6PHs16Oz1Sf6m^~Fb!g}kSJBk9 zunm>h8k1*G)oq?dP4{@g9mdotz5;cf;W0FHo{Pw?Fg{n2(=~2jOgH&Kbak5_#;oq~ zrEl|jTc>y!eVyUu@QcurJQp#jOI$@t*Z4jZe$6^_2SwfGK1w<%TN9LZnwO%Yb3Bf! zE^rw&UEzsR>SUwwF|E^_MN8-S2xfGXFMhjoPp9}QY#z4{k7J82 za2Z>5g(t90*LfN{bc;Kf)LrglN++A#n{lr$@#iq3+x$HYzQI15%@Zk|=B43lo#Sz& zb%Dzm))k&WM%Q`4onD(x@meg`CH^oLuCxzNW07ug2SwfGa|ri`%p;G{7~#JpNpMTE=rz) zxiR@gv2(mKc7Zp?uJApv>wJIg7N3sYDAx;6QWvAf)loow;%#}W3)@HMgXT#Q}fyJOe*lSseWJo#bF z=pH}yUG67EbK@J)&?UYbVf&=bFP|$fU zVp5m5iqpEr4Q#&2_&kFxy3Mob=pGNg$Nf#G_}Lh}+4ww$WjfDAOz09em}uf)8~=FFS0Kv#G>7V0L?psU+F8_+!-e6RCUr+65Bo#8Pg zcbYR7F{n#iMM~HB6ByDh?jWtZJn%ka=@dU38{T0|9>Zpx=XKbkOI*cPUE>C}=_b!$ zhi>yMCUuVo-|ziJr}zc9SLb*fM|FYUjR$m%8#tz${6!qsUGC$g_5<9>GjK|0cnm`o z^W-AZy2Mos>lz=#gl_T->blLdXy_gfe!!nEe#<=h*{JCpk7Gs`xQyk$?RfD7!hNI8 z)1hr|aR+_f<+G^1)0{u({)3uMa~2ai$K$B$0+%to#rQmd^}5c}=)TMN+`*!E8=w0q z>f}S#01chyEM|3%$1(aI<8v9Cb%pn$rJFp1!KyXkZzH9XZN>@c9FL=~3tYy+t;XRA zEYfwJ4qxjQcW_*HxsR|`$?uvc%I`Bz&Z44oJdVCDa2dyI#^(tnA2dEsV@$WWgPQJg z9}_zHu<_r?x>5L@rNc+MyEX5HmS5%y0$>ideAI~JUa9bAY# z=hzjl#}01A4(`SdPCgdL=XC7gT^aA-a6NW#D|T=`Pjjw*uk~f z!OhrRPIkw+aXNM(ICgM7c5o|pa5r|3QzqGyQSy9*?HB%cVFfO%z=ajKumTrW;KB-ASb+;G zaA5^5tiXj8xUd2jR^Y-4Tv&k%D{x^2F08*4%Xc`i<%GP3v#I?M0W}e(TM@eA^AhH8)*% z+jDMOd&8}_+;+>28=iB+EjRu0b+_Gg`5n)_EPc~;H?O^M&21ZgZOyH>t-a;u7hU$; z%QKg~`0)v) zW_AA7wRfytzvj(rZu|Z}{NaE6^0J3+Fp=eJ?pU)vy*~W^i!QtFwySTxaKoD0ytW&!TYuY{%U(Qs#rMbl&kz3o_&>DAe|h%NEB@15N3Zz)i$1>wtJjp) z+`M|t%{M&uT1Fq+vf(9ht$*-5kFEaI9*TJxgI-nRDU=RfbV^v&1Zi4xthg+F$75@M<>i^npPzZ*^RB$yktyAJOL(ET-1?GRZz!(au;zvh zx8G`j>uy^8f+hax?bokgd&4zrHm`@y89tIZ zl0A|;GIk_?Wc*0sNbyMNNcl+RNcBkV$i$KQk;akck?A9?BQrH2UzQ_t3O^|5-sK3*@>i}g~yT(8ut^;&(RUavRm&H8k`RiCN1 z>z#VHK3nhA`}Jh!;Lg;}p`GcS!#guOvpaJ;$9Cp-7Iv0)mUmWmR(IBRPVB7jZ0v0A zoZi{mIkU69v$M0ib9QHM=isi^)XY?Ssx#G{nw{!R^{0|UgNIUwh7P3`GU$b{ntP`AwD!#GY47Rm>F$}`)7#VElk6Sbo7y|H zH@$awZ)R_HZ*K3{-u&M2y@kESy`8?QRX@}?)I2nOsC8)OQ2S8l zQ1{U6q28hXA^gA_^WM+4JcV~BZcVV(PS(+?QmiCnQRQ6Q&_$7wP3je&@m~HeL znf=-Qx&34N^ZUp57xowTPwcPnZ|raGpWZ*azqh}7pmt#5K<7aB!0dtEf&PJ^sr1zF zRAwqWm75xy%1@1(O)VVs%%HyqoFri_{9?qpH;>u9y}jf63j4yy=a0egq0FJ|q1>Ud zL-|AFhYE*^KXgU=BGZ^Gl#Q>bBD(c=MRq`E*vf%E*&l(t{ko& zt{t8@TtD16+&t_&%nv4;A7?Vwytk{rE7?7`JGFagcY62m?#%A&?%eLN-TB?)y9>LE zyGy&vyDPh^yKB2AcGq_|b~krV?{4jWY~^Nm_jdPp|M$oI{JK`0AGOJe$@*ktvN<_D z*_xc0Y)_{54DZS8$?nPR8QYWJGrp&=r?}^_Bk=$994PHA@2%{u?yc>e*jwM**xTGY zy|=ZuyKi=1Z(o03(im){8bghAW4MuNWE;80SR>yUZxkBEMyXM5R2tPrtufK4HyVv* zW4h65%rx4KPUHM}&~GIB2luD;5A9FyAKw4Jo)xA2<^7fY)%~>}IzL+bXZE-EclLMZ z&XoTC>AoNyzBgU z=f_DM7&?$XkUubfpm3mgpmd;opmLx(cP`csG!8TmOdn_+m^sk?p))f%ICwC1aOhzA z;PAoB!R*1@!Lft+gX0Ga2a5+w2g?U52df8b2PY2J4>k@q4^AI!9h^DXKG-=}a$jit z(3O;Y|7`P%Jd=CFd9-VASIP|2=9t-)-Id!lwkyA@wrgTneOF^wbJz5))~=ad?OmN+ z-CaNWeEZR7+mAliQa|$U60YC>dY<(r`;*C@!9A%xLwkO3zSV!^-6g*-T#LnhrG4dn zm3`ruwD!&HYsVw=zvKM*QRm0`<2rmGb0B*lcVO&49pV4GN3;F?`+WM)V|SLMHkd4N z$jU$BT_*kg_mdwS%dYo{q(12P4=2eF-vz>T`-AxxrixRgc<-%EO-$9N8dJ@=yRcvC zI(NQT{@eFP1Gfj0ZB+Yj-}Cfi4)q1eELkJ(<>TJBo8G50JIB2r*W&wcczn(kasGOkaSfz? z=x$qx_tyV>wx_*MgnMRtvNPG8oSl5^Ob+MqnD?oM_s5R+!(s1$r60Q6&F&rAmv`=V z_odz2#`c%?=MJ2|(!=q2?5NDnz4s4!*B|x{Q}Oalmh?%Zb~?|~QocVPuCtiXj8xUd2jR^Y-4Tv&k%D{x^2{(rs#{|DYu BpO63m literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..473bf324494ed5fa18ef79a7873fa0f5d06b4ca2 GIT binary patch literal 188 zcmWm1NfNJ*#CZQpc)zROqGut5Ik5#jvN#&hCuc~(h$UlI mB&?aRA*EzW#f2@g8&`JRsd=!c6?ckTS+p!JED9*7xHl9OQ2}wu|9$VBNpeH8@O!@RdA|Si{qiU?bLX7%p7-2y z*O{cl#xAfmv)OEE_&*r5*_PtSPl@<9{y){YeMFaKN7!Dsf1JBC#r<*a$muh#$eA_! zvI}QVx+G`Hq)RWotTJcv1v#^;F3p*7X^!jc5jmG!HuZvDSy?UfOw;A1Hrv#jvTfHq z{rV(ZQL63kbenCD?RZ;eYHB-M%M_cf0|Z;yYy}#Q*;FdwKk__P`pwI98!q_SgJW}> zt=qlL1TUMd!Y;~%F3-%9&~>Oph}p_hY=5+rr~jjWXQc?24_CKWo_gT(QHSNui_C##TA%{A#bx`F?Yz1|_P8&XwI@9@21@6yIdS=ru8WNHbJ1hCjI4<`xc%t|PD-)qek~vlSzqJe zOaDV$r0?dz=gP}zXl%ja&w1@xRRnW2BABq(ecHU@g}(-a!^YO9cZNkaj{5W?Luai+ zXPM?%<<*6CMi$F`={LiocTMH!TIOtnA1HeL@*qA9zx`>m8QR~%gYWlJOd8=lowURziPAG^cH5?d|3Y3L8LjZgDhpQ3exuev||yI*)n zOPyE5G0Nec0ZhkRI0=Q*99>+9uRp8fJ`A;5SGZW8UIvfof$7n-1)i(N!^pq?1%rikp4#=eWrK~t zFDMZD&QXPRwOJ^2jvO`m+!6Y`UH9U`Wpw+#7nu7;=H--nf6#qfe%GIOc-e_upc`r3 z3Lv8!V=@Zs_UMjRI`1CO3tQ&54w^g;I12?B% zMN>xljw7TStx5~)mO;Q)xF)#1VGt?}QQCxppyn@uh%bEtEH+H~TiiIKGnG4M;!bcA zSU74rK@|CU9&h-T2eku(rCmGB9Q`jszrLaLKL)Aud$3dL-Bvjj=+D7G;TqkrIo`~! zKA7XUy$}^qv(a(aikdZ*894Fw6a|&7bWdH16uN%TK3CrO6o9STh3xR9U(!Ftwv!0qeSAEidtiTGFdWxI{^UOY3jE;uywM(w%`?RcE~($n#8 zsduBJ#sSV~`2lb*^{%PPY&aQkM*5Gq*5|Eau8o^8@qFia=lN(p$YAnp?t@EiVwm>eg{MrmDe+CZq={XQ3Si?eS2Cfkj zSzV7Zb7k5Bhj*haJIX3^cS+t5F(w694#?H=G4yX8T2dR-Zn*CozDs z`yj%Nz9ca9AG%+{{uB3y;L3O_{K>49Rl)&b&1aQ#wbbdaFqCahdgya=j}nh&AkxVakt7T+lfe&Fds zoK`-I3P0uqBx0S8Ua+2uy6=l|6N2B--(rA4{|%vkJCzXVYDdizJjL9xuBr|mW#X#g zoRCNF;F2CaDQ*PU)28qW9u?n3(CNi@Q?~}XOvwfb<|t{7UHI=U$`&um+0(j zAP65i)7;YH`8d#Dhc_R3(|TFsi+~l~qpY80jG>I+qbcZ=_Emn>praCm9P%r$62_&F zAT<|4(#CTlU*#>~^Q6$N+i`1w{BV5KedF_TbYEp20y#IY!1t-{+uZOwYudNh_g7G} zF@^kDm-l8qwZ%p4AFMJh8>bCt!oP-d$Ksz20ciNM)?yZo{_O8lM2`BizQZ*-Hfd@% zN_B&92H_0AA=pJLO>Y(~5UJ$XKBp0X)-fFkxqwRktlSJ<7`#CJtQ-N+3MQX1Z);Ck zf2qM8NDd$5Y7Mv*)NT@R3+Esy^mYV%6mwK01bz&RY zAnrr3UZi_{2|5dEpu}jif1@v3_m`*Dv+1H!N#S_teIDnYoD|QoDMTpYEu)Q*0o%Uy z``3GyJG@9BjMonD{W#K835|G)Y>t{oP~sVc96)j*EWE|JhweA#U>2?$&LZ6?Dbnk4 zm#P>HUB-|!r)Nis(Z=&#iapz9FG+JQ<=ticC#VfO8J&iwdoP>tA91P}x01w3BhVL> z=Ca!iyS-(g4CnM$+?a+7;h91^z8x9m0Xk87DHXKmvEjiv&KBz+DA!I|1xjiWVm-OqbbW zWGoM~V@@CvL(+_rwB-%$P>oI>-CK&tMpbsHVLPOnIV>;ZKS6C8riJyMXYxbUT%dTD z17E^nxjG%*9V_&%6w}Ejy=$X-*CyoMoaDUAq<8!$sI4xdclSnnx2jskt~-1Qd$$c$ zUYjHIwn7h;b^zTp^Q{7f2v6+Ris=^aLr_UuQ4*`QHo#=KwTk{AsEzE*)l@C3VoSn*!OSnWw`xls~JHpsZYkFv)*${D9XF4MN_X}LlTn}tEsn= z%}w?JJ5Hw@XpN~@)%lEjuIMGf6}_b0Wn{1)@vTPNMh`p`ox?EE8_*28I=icDOBW+| z9($3}Oq`Wly+~2Oi>|=x!5VLJ*|STbV7E8k%dU#Rjjz#8fs!1JkGSj^MjK~lw$r!X zXzk2w>&ji>%x#mK9q0#p;+{P_FjaIj^LFil8JV;Nxi|~;G{<517qdRBdoVK&C2dXK zdQ!tDM&5Q7qYS*${Zdx(&MJ(Rz0W|=%HEp1IstUZaC19^n85c4ltn@;oWl>$1atUK z-ZrEa-B**hfKJ!f^{~{qDHh(iph574w8|JHFf~}-H zG&-_U%A>6g$K;(>N8?C=5-~>7&+#Y`t#1TxMU0Vg8eL7^4#37}cMsBFsNEeniB=D% z-RvJmaC9e&B50F$~!TYx0&r zfS*{+P^1#(+ai@HtXLxi3u83KnO0-0$y*F5ehz7mBhVhl>;Cyd!b*qut7zQ^dnRm0G z5i^cr;&&qin0K=jJE9~;1S7h$3YtG8e4*~FLS%gWz!e3yV?jk%$t=1%6Meeu&eX9k zPIoq6+A9%}dKMR=St;}acd{5^YAwT5Kpx3X@VdsC;7yd+vKNjy!NW5Vj47DtWH!s? zFW4*qWyNU)KjOJ?uVs z8a4;(J=6cU4{l;+^#8Fw_+C7q`QJVmlY;+y`(V$mS+WBz!A57e1J1?9b}hEE&;cKV z{lQQNjOiT;F`UL;xn*Hg5~HFr9*L4zbKjp~(wh5Xen^CgsaSpi|M={ui=8$6H z#uc2ka4Sh>;Z_l20(lIa4^JTH9CqjREH*&vJ!7oS%d=`$#2(Foh^b?iT)4?0`~-91 zR-%L~&{kcz{XaH@@8Go6T1Xwv5dJh2ts#6f&N+l%)kJiWYF06~Y zhp|RmWm^A8SdU(#t*3e7aGQbVs|lWXjrIT*PV{*(j^XwNKWm=kl8P3&w$hF8K4#oC z8Yl5Fn%K`Y7+Rwh9d;8t9dBOLdvvRb&0EC`6l*jl3(jqUEm$I0YqVeG!eWPYuNCr^ zNyGvrvjThN!a{B+hgbVeO_~RCSJdf-ne{NkbyOXW+#8KOb?y;(U%EmSy4mVdu{6BejUI!PvCulN6w#oj`>K$;~26Sa3$AqvIbRfR${&SLL0X|IH;feKuR z_NizG%2;d|R+tJPn)G5X-fn(-iCrMvjyX~^xtqW3@9e$dwZ~fVdPX8giG(O=vg4^58}4)tVC(+ zDH)R39bboMG!>|~Fv(rJKoU=7P?G_e(hW~edr7hcg;C>V)hz5AUl}>pRNjDbrs|r= zF~*o9NF4sNvRpx>LzqgrsIL-PUrn^2E96|O&U83t3mU|pf3VBIt2KDEg^eGPaizZ1 zrS`2Z9~xa7(aZW)xa=FbP3~(Anq32ha=IrQ(()!Ai@j*icJAL)YX8!?e?zH#b!qN; z7xqjJaAzMzrz9 z#?&r&sET)DWC6~Mh1Hs@5W!DQz>E_-Kc@KV_iyq3TQ#Y%3=diJU`E&oYPm3>`#l+` z2HDPZpC^M4=KSgN88KgTKJnH0#J8MJe8=bpUGuD(z7h@eLIy|Oq!%U8lQ(0aH(2E| zr)_u?ibsvc>Ne8T?o1u4sX1$TB_EXpwHGj354a;E`>4H$Pe2=AcaCl_t&?`S$_ zL%wxx|0{c7uZ;1UsT=)H-pS&~(XSMla6r-J3M%a5U_8KcsCpW3%LeFyN+xp1vv>r-m8uW)+TSDn}BLQp@&6LbL- z)IRDgf_ebi847AP>(Q@W2sUND_IQ1TE?cj!I43wKuJi^S-n}R^VVTKp{|mx}GXHgH zMhiY^DaAzXXF+yv?b(nBY7+rQuigIQk#Hf(Yo{?f&FNe1w68DqEl29nac7?vbbLb< z9_`dQyhn9J#Oq6K1Pp_8Kq(_NN`M?ZT7pDbH?-Y&C>L!XkNY>GxKBzXN@J5K4JOJs zfwD-Tv_l1?-v&Z}^G2BM+8&ldr(#-aunh&en0DceM%-z?*!O}9@j z)tp8f_9t_c=WgB7r3?8uy8Z761H=3ip)@{kjMH;6uujA+r)MzEj>Q>Ki9*yJXqZG@ z&jyMQAKbBwEutkK!LHc9(YwLn&A?_I+jBNI?cf>ZLyKMwg<@ISig#gM+=cbUru798 z)>#&9{V&sc3L;MHlo!^yhHQ>Pv4;HDv@Y(#dVy&@C&D_*qOD(Im%dlwD54r^{TdVs z>zDH`e5bY0V^8{msG!ynhHQuTjx65XTRRAaLCuMGkwh~zdsPN78hq3d5_~L3@wpi`_urfp$UNm66&oUr}7Y~%0Sz!XK#nBC8)lifPw^@|9 z^XABet2fa^q5*A>2!lL^2yS-JmA$nkuoBcNj!aDO9!y1<84uzp2tI=oli))*jYrUJ zjLe(mG*aD|29!IE%!q_L8jqf6wi_QonY2WX^%eyq^X7d%DqJaGcTjWFm6CfRpb~-wVYoKR#5@$$7zwwOu3RFlR zK~?qrTBq+&Bs-TgEj`_wO2yXm?KE}VmQF+RI%iQwdsO38!RXF+ogz6N;-hb8P+04Z-~N%y1gZ)HYhW4fK%C zt{HrV;|#n?Vs{&hWKYQ#!tgqbF9VO5djxhJ6z0V2cnjB_+BhGT#g;GPDIT=*N(n)u z-=5(#9BiDh+)|0-`oBbttT!zL_TZXvWPxHNuo-vUhKJt~V}jHX5T9XK)}X>mjSlkN zAx^gV?vM!NeGp*GD11mDS;#k^_OEyOQrv#0_0p927SSvO(}`68!Iqd{5XT}*c_ncq z13#WaHH8SaSlA71zTrh8qosH;5s%P(nS3$P6&N6C(*>Fi%oGuAjTehb?HP?;6Oy<1 z^1^&!TOq)g7sS4t)5vyaw&7##W>}(qb3lZ1fn_&{Ylf2rYB+zyone@XlU_A}@QE8s z`3~HCA*{GU|7an0EFIo&VLc+AvrNE4Xs3@@u9ECe>!#H4edleMNr_{h(tVjmmI%ajL zZvz+N-gQ-@#QSY-|ATpy_nD5I{<0x0xj-|eiWu6t7u3;=JHQJPBX1j3e z9){k6W#uk(ZSGQECw!fws%Kyg7WHtI=7`<+IPLMuDIIlMugc!)Li=@>+FPRmWM&|g z>>^7<7s)|4v!yF{xg2+MGo88iz$|IF#55eZTWodD7xkmYU@q=NyoZ}A-^0a3(V5#e zaPdCjUyfzQz%?_P1!_iLjyqOHXX7qEO;>&c>DbU4E>N0AvOG9DSm+sv>% zFvtwsLCg3s!&ufd$P3US$m_zm)Eb7lw^PhBmZDV7s$QaXpzMGpqAcMND2s9AM|3SX zHjQG({DLU0airv8NRkqVkOX;r0Nr`uqCZ7=NfH0q0=vyxVu4yqn{X#0Q-!zyX*yF! zGgBurnJDS@xn-s@UK|KYN{vp3ovSy%1w@7h>1^Ypmig#hz2z`-^*CvG2aM8ifUnXq ziY!p0&|5|!`mszI-eA?q5$~|N&?+(cv^!xSZ{*2$TSaSQ1E+N~U5EExsEa<8ue!jpmneCMiE=AW zh*QTSJ>~^-&J9OVD;-O_c5tx=S&rVq%^t*FinfhYH@#t*)q`|o4>CG%wtRj;cwqsE=oK(TlxC)u`c{~xn$gc$rI{(% zlw=~6m*%E&b8-z9;AX24)_>)K9Ml#cV*PX6iP_zZ-3v8L?fXFuQ~Q_kWpcR**B=Fm zruKgAX1o%h!$D5(AAqho%_@W+P@5IWI-&YIVhVqDsET{1Ns0-ApewYrO$_|>-YSvkW(`f7LI?9Hx zw3w4}qh+Rpt8pLRPOmyCFjm;bK88$!M$|NC^Rjbyqh}Ob9_Gy_Ih7n{%M`Q8ic&81 z9uxwlnawZ&<+jYt2-t-|Y->mpf&SteE4+HVOwIbid<92oG0;KMDiI5>$nBCL`1%Q^ zd*a(Wp>LoZ&8bNZo1Rm~hR%*Lo#jrl(|05@6h*|c8QJItv0y$vMNWUs={cv;{9vt$ znQ?f6UV-S%-~^oljN%?x;reDgR^44QIZMaOcLtUxl7ll7eFP@JK087=G;rM>R-LG` zz-4GePWzFD=x)b2?WqAaICh^fP2U>tApozGakQ~ou72j2tDkDRDQE53T>Z4h>PG{P zwQYe`;sc^=C8t0*aVxocQ@E8>LDy_0#ZvjOTFI%FPQvDa{p@e?R*s1^*wRbbM6h6o zPGFx1t=xDHO#L;wiA-A%I2(mn!CFH@iCM*5!PXX8p9eFj% zmU$#QqY4AbXYL$k+SqNP2X*F(j4bDOooexgZJA=yMqnGi!3`J87Q}{I4cihh@UFP) zvF5B?^70e4R38MIgRKm68p4w~U0d_pv}`d3j#dt1B^!D+bvulkDcra`)W*1Sl<18w z*rCbhV4Q6El{pCZrP<8v+=C)Thtf%N;|VGH6TbW-Q}i^r8r*_@brVJ`Wg7!iC}pb! zouk#4e+qRobI=vi(qUz3sil)ROGBOb>6T8y3^hCPks@+3L(M+m%b#Qr`0xcm_!b!= zgHSGwM{eRovqyWW#Z1MD0KZRcM&KOFv&5TKAvVJ;orDo^`wp5oBP^XHB4Fu^v~-f$ zq++}N^@r%D9B64qZ4_)FYR{vY$*7$xjVFkj@kuyp_dwTVGura(Frqfb(m9N%ooDGB zM%2byItimDYbQ|q{UK2s^rOr*J{?2Us?b%*sEwD#6GZL&kHb;p_lM1>oo{(|7*U&G z=_HJr?AzH*Otf?kBWe|vPO?$+e0NCHE<*Yde-dmVYJ)K{%cxD3#uG$s@JHdO^@Oe& zwJDZohY_`@md;^B?E*{ZFrqfi(n%OKbL1WN?IBUSAL+-aT?ku z1zSs2zxL;Up*g_}%d^9X+C`R5!l;G&wTmsCgi#CU=S)i{*{B`4z*@rWz$>Mr*MRY0iq`?ekv(8Qni$J`E95J9D$m z?SDcP$^}KcykU3^MQq-276c5jtZ;bwixW;yULIz0;?ZnZu@S(97eDw$yVGcu7g}66 zyg9hTXJFlNaY- zzzu3w|4joj9J&lgIRyAoSBuz8#k7Mior|M*+E;-S^J(8GoJK$G<17zTKihsWi>+{a z@yjTyDTf-m9`=#fe;>5+`UVuBH;tRu;W=I-^vs;DmWoNt@xrZoo~3gbIenw0a~L^Y zLmjO>oK5;sTx$pinr|1YKQ2qSP6*tKZ5yY(U0%cjaf#f?VOj{0t$g3r%oAme7^NUqVz3UKh~0zW@U$!iLYa_ zqnVSN%4KK{T|-T=6G2V&*>BaA zK@+c1vH&@3EaV7;{$TZyI7G&Y6%hIQK~PKEM;qG5%kc)FP}kP}NnKAvzSXqwT4e{k zNPsuns<}riU#r9qM$k>XR*4rZ>85AZn3WN4HEsozc&qVI{0J%DYCI*+R(Y1&$e#)z ztWaxD5We?2A7H`FEa*w!H|zfAh40v{5WCoP7#}%o8iPDPr}#eEzuCLLs-h7;_ocO` z+cbsW2a;}YjdVMQQS+yJ;dYr14>#wnqFdIRiz~4KUSlBRIq25mhTKmv2VLF&x+oOy zgSOZG*QeDZsMc6J6>6U2Qj8Y(jSL^&ZuB;Hc*miPOimR3c>bW_g?uqnH(J7rPCIbM zoq3a!%P7N^VhNrCuPwE&DD`dPJGO2J`;Bi`^e_7jNk-pcI^{HjPq~ zWqfJ0fY$LF8Qu@^qYku==LL_L)+ryd{)A;+jQf0>hIe7Tf=5^{$B`deXIZrMplQ7Y zhi=GFK4iTW3We{@tO)?`@_i;AVLb;&%R0-VtzQT|`hJ;do$?{;m6r93P3z(=tlLcM zd!%vU7t5lpe`;FaVp^xXu+Epuw$nNvPj51yf?9oy`CL_HTB2_f!?(MQNvwR|F}-=Cc4t zm(jx2)#mawcl$@<8Fs2G6E9bsg{0@NSm7O<8>ACdp<#aS=yhShboF#>wV@c_J8F*a z9UJ21(lzyg)RP(k4}YoMD&tN6rWK@mDrfny>TM@m1a zLc@{;uaaW%1#3oTJ+%XWaX2uWfy&|=-Z5UYo=%id9G^HWC_)wmI3{B8vh;&0G%Q*0 zDk&D1OYIy}J8*}|f(0szt0W6+Ydo7^_{3pB5wf6PiC7Gkeo%#mB@139#lkMN*-oh) z=wY&8fy%-mSoB6CTfGe4p#pb6h98%4dYW;KeBt{q`NFrWupX_&)dxSPRPXd2tZFBo zzRsdoQjcJ?v0hjpTf8ZYb7OXz9QO9&711sDp&AH!_c^>1aik38b^q)%%ncmgsVEey zv~j$PZF+GRZ}%>t6s#}CksoTZEZRDMIg!>sq&{R83t7|pIur`)D|i>y#a;8=VRl5b zAT89Yaf~+IlYKl)b2*`70Xr+?bw3Ut{1)elyk+nfUreFJ5*QNF<v`p%p%_)xBqlJ6)VadsQWKU^Id1-w-flYL4ljaYk4xT^7%pi5YfK`=E+C?j2Fn+ z0*{JE{xX27hA1XV;r(O0IMw`s`zRj2>@pZ4g zd=7VHpp#Tz3h zEk@|YTMx)r;qpw=u7sQ@L*XI0r!Q4_?;1|3<#_=7KR}9zsPR2T^ z@xAk#R2U3}z-~OX$9LYh!i4U7Fz*?0d|ftu-#d9r#kEXGx9^F()wp*1UNF0ePjvsY z8OK4BuZ~v^$3*?@H{@&oDr!51;9#{vj`ztjN3 z#@%94?@!l1!xef}i+mGb^uZTOT$uFqv6)}>!Gs<&QGD&mCZDZRy&9(Z!Gu2Y6?Ogr z15W5$2F)VFNGy`Z$a28hDeKW*5}>F{NiItHZ`x`#||U zcxf5QDu9m1{qUKjm}j{au7xaJpev%BF==;yPh zz(Q>vZsPkN#5Fwfd!OOSNp#MB2w~(j&rG2R`qiMh{Oae|TlTm)a- z8fKk*$rH|x?3^qhwJW%MTq4XE2MSmg^)24e>}cLkL9E=2HuJvY(VlIeg&)JTLlaXz zQW*6EQrfc={TMJ{=RrB(qt99`uFW`@eG{^HF^VY~=*@l(So)G501 zipWAVaW+l5#93F4Q~Z4Z=hBuaFn{!Q!}oAkOo%L}*g4cg0Fi0Ee5XC^6kzRujCQQV z{AE%6b}=N{L^>vZ{*{iQJ;IUXy*im*brwxbzOhIe^jh?LBnB?Lb|c6Oa5UNc{vGtv zL>rXlRxSiMYl;3n=&JW=FzY=Wf?73Haq-(ryttMZ9{e7(^&RNwjXSq*YTg)k_}kFg z+>A4thgw4Z8rb1B9v+Y2M)7bMm-(jF01U+Z) zb1MET6ghQh9aF;;#dA)g`HgbyA}q^3o|NwWmT>7A^vBmca5*v_!}hUovlfq3A@H&g zkSeyzQ&Dn(s&cAS`Srz+%G^U#daEj#QstenO4%VQ!5xZYTT|&+z7Hy7&Gv-&wSk&o z6f0a9W~M5le#SjBmW z%KopF7_7IELH<0b(64k!s3p{dd$Ae;@?Zi~@cZ%vfFie6!-S-F^CeU|A$-jnrvs;> ziNhBv5xB=7kqD+5%37f+GW$r{cgc9|0K3-n!dhPl8=`JekFh7bM%^bm0DA zWQQ~6Iq3&`LlF3udxN6GxdR2_CAiZmfv@ys#p*tG;+gjv=!qvPJ8SP0iqKdcTf-Dx zXo*fgBBpUubde>R;b>YEHMkw)t0{h$B|b(&S5{EPkbk_LL_%FzN8Ewuqo3tu{=3?{ zp@9JGfRLblJB-Drjq2Y>>CdTtj|CWqwUoTql8i%IO5SHl#^LvKd>{OxrfCB4vPsv<-OhSzmPHB^fJVFfDC9X-USReKg#c(m0Vpiiqx6Uywwo`Q4A{ z2y$^N4A%;A?E)bXnTtoMDi=zXC&Mbe4^e5rfypoS2#NGuhw_B! zc^0HiK5tk~#%lQCoO{y}O-%hQOEiM|yw4TtA7iLT&1ceI@K`E&EP_y?uCn`b$Y{6H z929F6D4Cw^RjA2;LM<+Slp*`B_H7B(LqMUc+*(J+;>}jz=TVdv8TyU|7%O6-4)9$| zGFHGslJ8lPakBom#g+%}ThbBH?fMx>gu2ShTH(^rUW87q5Z49?fyl(#vq@1oMXFpA zR%vmF%DbwH9V*Dhp-^Ei*4YSJydFX#7YCy}PGC`csFf9vHggg6Dl0!$WW%|*!V--Y z+OQ~k7-=;kT7Pa-s5>+1c>WEK-~b-0paC8kCXaYs<%6iXp!OflLGc3xO6KCND%9qd zA{W~Min&-Xp&o)zqOP(KP;l~2ii$*BZK1_Uns*+yq}N!|A`H^EUpByGIB5n6-}2`` zht;`O`j%q)mcIn~oUp6>9VX4{TxTIBuA`4E(TF-aM|JKYJLzlNFk!0|;@Wf}5Sh`( zs45ph1?l+=RLJLJ$)^xPB0U$QJYjlvg|x}%6U)iO>G`Q8nwa`}OEiM|xhRaNm&Y#lU?s7k5ag*#Zi~b}sD237O2rsgxGE z_^kyPD`KGx`_7V#6|j)x_m*UwTpR@Vr3XJ)(h<>}iLNXnx?;25(DvPnTomG(M+ihF z)<9Lon+6Mpwlb_zeuzqQRb@C-&@V243Ul#C_=Q~T2qBS+x1c;uU{QO>#Y{+>3HFo4 zD^_H~3HGxk8Y{G6(FRL2B3h?nNQsEntHT+%LcWfGzZ0< z3Y5&nO&I+mpt=Ys?R-Gh?xMK1QwYe4k$b+2Rh3<-^iDe=tn$_&Dwn7#d}sod{db2@ zY7S8uq^jHm6;#J6sIbHSMaEzlghYq^&l{wXU~W5@(xQ?4YDFSeRfbZ3k0lwaCPR|H zS(0%Yi3azj2YW5)h-x2-xl2S{EKCtm+He=5B*e7|LLjn{v{O|oq{_o#m7a&Fe2e*1 z1WGG_nNfU_Nh03FcI9gzs&%6+C>~I7WD9u(b63R6!hzvr zww&X>OC-Cjm7ND){?=aiCuQKw)*3gc@iig+})hBm^HNa|g5>U@W1%GEY4%8Q`6jpO2)D#tJ7labAs$N2< z?`SRtt4md=ED3dBL0AK<<|P63IaKi8OB|?XD%4{a0>jAnn^5JluG%L7_1w#ZYGp!E zF}kkq#fm#3*#}6dV^yfk5K6>q?(>BDn&x7#x=4kxOQ=7L zum)INmju)Xs06hu;y?wtgBA|p!;^7|_diUi5ePY;+9Uz>)N_Q&FrlazT~~`#s6GTd`oVs$oDg4%C12Ubl%U8h1FEumVdPz#cP;(OUa?WQR9t#pt>^MTOcnL9qJp=CB4> zot*^K<4_4|rE#D(EK^v`l2GGRs2?Ddh}E2@2=yh+#b7l}g-VxDdu|GAfYsGWKz$6A zpmtdtsK3RoS?D>@{pSP2$oHC1=gPV|A_=I+o+MP72}Q-|x>}$@og|?;sZftYC{Y0S zdkJ+P%^`qIL3LB1R*e^|UWT5ffdCdI0rk-ngz6OsYS~g44flcPNhp^J^)ZAJvC0*! zR?=JyR%feFzl;;CzNnEJqh(!9P6BG*<773)grZ_}UH$Nu!pb9|E>)rSLnsleGocdH zcGDbKH3fCG3e`bEHB+JHCjpfsSlt*0>IfC;#jzrQ_jUro{8er8o38>YN5bA_DP;b5lqv1Yql!Pi#q1Hes5v$IE)%!FTgVmWT)XsAStIuzc z8fay#s|iU!?R}W6Mw(DmjIOI4ufkZE)eRErA{FX)2qj`Q6e{@pa5M*2O+i(uQ0*jC z@cOU@SlyBY)X{>~^>LtDs!-34V#M+nsZgDhfVvzi_;N#1n^V|)x(5BKhL^) z6+(#uc=|(xdWPl@Kq^MpRbLfq(@4SUz3ajnfEtT(q-TSEPPbyx#+Rg(l%2f^x^ zI8e=1s3*&Tf#=^URL3NsE`dr=bHssq0NZ#Gy~Q92)y;&OAnWQS2qg;Okb4RB1kE9U zRE(~x0u}1hvjwYVbHW-3;Au%f-2;`NHZTs<2hS_4rb?)@Rj92HO2lgBJ%sv%=3=lK zt3v&CmSFY6RbdUVx+Dpxf9utb}k|-w+ThX=(^g9y|suYe3gWn ztU@(HC=si{Pzh?^(i~Vd1$BuE)k;F`uMBITuBwxOYA0A-83!s=g?jW%U>N!HRVXb9 zs2NZRYMF7M?!h)*L;(9ss9Y0jlB}!eAe1P8r!FMaVwyt$sTf^XC#q2E&Je8LydtcD z01i$9Y9UmDTE93@%b!wMRY<5aRjAJ(l!(>zI|;Rx=3=lqSB3hsOt9K9JFEd#Gm?OM z7bdrd|^#{#?6&0iFs#=BWBB5HUPz#fQ`uTD~ z`QkunD%9(`2;d{ovz$W!yC(tl&H_Rm69-Cszb3pqD3efqRj7BLFvAh&)sEJJ)oYNn ze2fktzh4v4uD%{BSgn~QHKxeA8lD8yPq&kmZbDHp8tSvh6{ssD)C3hud=H0T{*J@y zWT*tSuV@Zw(G=7S6)HnQ{eD?k19deQLWwdkTd5>OXF zC8)KC1GP|v>MfxW%8gl zE)LYsixpNkNvO+Bs0(CW9fVLKR=3S3)E=4xD=J3U)m+{S2kI>mu{>Jf!ise~#}q4;gsL;=hatX`(M7_3fK zp}rgFU<&RAbMRv%_h7+l>-4Y&SWQX->J6v_wb5~)zJEYrb)$s3 z#Dv0u?P?!{60y4VW52NAU!YQFnRH5F0P@(|Z1*_+2E=B+cs8E}W1*_%gSg3(S zWL=$+1k~4FvMMp5s2E*WAKeFIVOAGQsB=}QZy=P2RUfDXwa;nJ^f4N0k_u&$P>mQx zEe)`$OadxHu(~J?6u(~+!RoG4aB1XMt5EhNpw5R%Pz%yr3|58;b%KP_Oel1PtgDA1 zlqi6`YY4T-grZ_}0CQBR_fHnAo|`J2Gokt<0d+G}@csWdP;cA=qv5m|DWL|dQ2bs_ zB39!)gnEzW5WuEbouNVn1_@T5O$lotfc#!gBB)oO64XY-f%@idh1K;EYK94gL7a89 z7ea}kZn}|B4KxQY0J0k-t!dIywoc zCG!Z?CJq$8UlWlQ#S*H!3iS$v5(O|_uzH&2Vg#^{3bnCFuzGir)W9^7b#;0YP+wM) z)hQ+v6{G8F^&%JxC;NpGYPbru4MK@nodgy9{uRxERZ~#st5E+8AdUQ=E5aIJH7g0I zG{I_G9H_n6TZ>?IhlHA|LZv4GbskiL+TS!6gVoI{)NvB3wF!l_59{iF2qg+&uNw%p zz=Wb=bX^^-LcQIew)3BwD4jE*PD%pG0~LJ#DGt;tcfx2mEy^X-$tu(;2qj`Q=6XUc zqd5eyDORVeP(St)tkzEmYaoE`#{Px=T}dOgPO$p3y^SOctPCIMv=tR}~S z`sFr-m0v>5QK3?jfEoprpthgpVzBb4P{&HBEE8&$tgE{rlqi5FTtle&CKMH;1K2@@ zdaFPilC8*`bfqK!e1kf#^2B=VV5K6>qrdSP8p}s8; ztUfv~tbw!`l?2qYPzh>h#(~;0Utx8ngqmbRT`uctCxjBQx_%C!cF-JHQ8Bu%W~xw` z5^CR=um)J&kOb5ws06jDI8Z4n)Z&wXVdNVsRJ$agp1F!pE#pAlZ72ckC!umwsAnOR zD1iTtCe$M|7bAc@Rj9SS1gkfoM~&ICu1-w?YU7oJ>T5z#F}kkmZdF)Klu&1=P@5o> zh}H3e)f$>JeT;@0r9%CYFIatZZde0pF+B;Wf2zo8LL8`_K82M}LRG3z|3WAct8<_d z)PASA7_4qkp^lMIb`$CfSyy)^0d<^Ub&Cl_#pnRGQ=wivQ3UYeQPMdR>i8s}u7yfa z>l_E_*;|wV>JqAt3iS?z5(Till2EVG90J%Bt5a2|uX+krt4D@4kQV180rezQ@cS2W zpf=sCu$nEQ&NrdpDd!eHK`0TcYd|EZZKpY~qGEJiO;@2>NT|Id!Ww|OHVLRtp%TS?P}xa9J%J7)s5OrRb*BndD4~v4p`L_Lq5%FioKO$YT#Nu7 zuR?v;L$G=UdelH$VOJ89%^J149GR#TIJI)Ld!P#YTu>Zclol~+Q|Qlb8WP$E{tpc2%6rMVca zu2rErNvL!a>PlHxwQSf!wbSB2eR`w9 z>QV`Ho(Xl8tgG)Jl!(=pmlA3#&4Cpaqw8v_3e`+P{c=`V1FWt}0%{#pg4!i>l?2q{O9+)32kJHzDqli%P@x`$P@(|-IgC*E&|HiFc2%KP38*We64bOfP>*68FCu^?5~`;P z^*V$S1yH|;P|wjE0@xI*{wmbxxq?;Q8DR|suq+9vhoFML`xOUj-E|79izL)26AF6~ ztgEjfl!(>r8HD=I(REd!LIrbZJAY?cSOZX3Bmq?qm7q2w4%DA>VKm%?7fGla zRH%$3pdOq~sQ+j#2CG|Ds2&okoeK33gc1etH=R%m<3M#$q2B8v0{ATS=p1&#SXaH1 zfco%4LUlKxs2E*WucI&`fFmT-sVY=Ggc7kjO0asD=1d=>p~_UKhGR%0f78&g23UT9HB1gq;L)N~c~k* zu$pT^Q87Az87kD%ooPG&P8I5?B%m&XN>FPZ2kIg8RuKU_MM52~LM?$%q5!%sAk^)O}D1YA45ms>duKg4Hw$b&d&zUV?S?C4>^Ox^ya` z*3%qVQ8Bu%#;Z_&A4S{wKe@shfVwORsFhH`-&KkO^&94)5v&$SsB2ZIv?QSJokFOC zG#7)_O)6A336-rv-3OsW0o;8Wp>B@@b(9LVtdj`flhC7c*UP%CJCrrlgR3<0Z0@xI*UMkeb zM+#O;OTrompfd@myPy)(2E>6{d4ltp%T<4#ev#A8%D$P!1)sDDiz9>1k|DlgxW`QF<9NGLgh-R zRw~q85K0ulz^Q~X;y@j#LcOVp06q#mIyX<&)d@*Jy>~vLa!e>HM%UE~mn#80Q$qDu zq27m3B35k$t2b!Q^f4OBsY2~&Cs?g34r?GSMkWE(FrKW=hy%45dutJCQ6-@&RHy)i z60s_VN>KZT=3=nAScPgSq5eEYYTPL6>iQ(0+6Y#aCKMH;187sB9%%~tz7nd73iS+x5(V&-afEu9<`BT9Se>9kt!X1zy?%0718Gs51k@c+ z32J@fK)rvd!fJwqDl?%xvaU8lC=sh^V+pmI=D>=I(RDRah5Egs6@E5-LN5x+4jw9|scZ<~UGo zRj6091*?akN9Sr}T^*MM)Uq*zI@*MyVsu?SGZRL`gUC<`)mw#n8$yW!=n$-4p*hpX zXsBWpYP&f-B%qEEtY(=|RE!SbKiFG~VD-QexHR%_QlT74Kuv*4P)m;kb%zR7 zAfb*@p`L(Hq5u}1OQ`#44gqY6)p07+2U&vElKx>0q{YA_p!`q?YA3~kdV2RH%*;Dous*Cjs?sUqX4}Ksi*Xmoh~F?}HwlyII!N zu}MI^If76fOeiWw*VPjjDgiuALiJLi-hxn~0A>nSFVdXpV>Hx26>4is!D?lnum;k? zodnd5;bb)=4%8>p6jql=s4*(kw-8FisvlH>+7_CN!D@;Ml`5fj_m&#B$hx{R380L{2NuM%p{;DLM5oB#DVgwP$x>LBUPxy5K0ulzUL6?Zkj^? zn__jW3bi~#uzH~|tbw%Xmjsj#DnYGh9H_UZ!f3b;JXbtNtF;hH#A-r0q3UQ3 ztf&}WS8f%mv4vo@xge|oRuhwedJQT;ZB!hnZ>K1%swLFLD%2kkO2q1xvkA46=3=nA zQiakalud>5B?0x-Nrbu~4pgQJ^;~liz`LMF=WdmCbxaaaubxGyb|w@Rqw8w%WF>%u zCDaKj)N2q*6u=gO)w48b`WOw>Plfs{U9ft;S6BmSp(g>geHdAt8V73aB!$%s2{lrM z`U*mcSQSDAfB%c-Vz8R1Lj9K}SOxN>h9T=}b`ns{1*_>M6cwWb_(z4pYN3R>UWICr z1k^aF1hs!@4y>Aj@~KeWB~)7#>Olx43SfboP#U|8z8o-Cn`Q=wjlP@(`f6Re)3 zIRvmNs6rKLy`Yi*c8{?wgr6#_gM$SK-FlbT@9y z(tYc6|0@|CfEf9AweIOIUC0!*Q=B7xhfl3gAXyxVzmk=GJpEmZ9k_@laXltG__Jsu zVS;}aElZmC3PM!OpFfn+Mnk6jyIdL~=B&j(Hs@0Q3*z{*XrDsb{Ih6BScuO!V*Dkq z(BH9iSfYA%BGGJ1^p;kML|a>;Iw{LP_+CT%DwG%=jRx!Ey zwf;~+OZ$eR;_vIVwa{YH^=oCPThi@>H2&1(zT@bS5sP@}Pwi=z`B=O|lI<8s>1=L)dLM`_ zV~5JLd6Xp? z5vn)FD52t{2%#F>O@``dXdqOpA(SXX@}L6B?^0Ay?kvzy=c&9CkFxofbB_tR7lX3y z*Y=%eneSpr$EI!C&9NjSXumgFp* zp#s{UQdH1B-XhYDcao^>?jiSL*LKDb%X|+@Iw9>7EXfGk>qjZHYcN9r?Xky7+C8BG z+TTMc5$%(p0@_EoDN|l1J%DacCT%oEk5rFb9I#3hk)pE<%)%UL&dLS+V4~C=zPwRD8(IJ1fWOxu z3}(I3g%^Jh#~shA?wnG^`P-x!42k%>L8Gh~7Qz^F{ za*eYP^oya(>E9_(NAr0C<)|(c6w@AmOfinF{=(%2#DoS6OAQ^x%WwglqlG`|ql3X9 zW~%Y#kJCASEaLpJg!4yCB4_56bN+})+riMRt$Ux!JFUPk;yiYjzZ6v6LZRUF!*`kF@chQGC)bTuedC(q!|&fel)Yi z?eCULiP-RH8>Q16+OYqOyRF`;wDMFQslDh&Md(d=a) zhxgkgaJB^24zl zRhunW!*oQ+0)%qybuIZD_hp5-^>%Cm|EG! zb1c1Nl-^nhoL%S;A9 z%g~MV^kH;;RYq_}h?zVQ_kF8Pf+ggap_|u~7{NsM>?+WWtd6JIYz-f=Bk~+TXN1*3 z<(0KqJ=z*xZVl^3)`1~7f1UzH)(<>A*RD&95U7q1KCgteHj1IbSb#}uxWE}>e z?c750>lJ0b-^zSj+0DX>nPf8;vJO6~u zlExZGml9xfz7H3HN>DPdXYhJz_*&c_8NU9D_g&%Z<-G2TYleXJYv!|v2=Y->aFLGM z!FV~6!-b1`4(>bz3YFXtaFA+NZ#d}&W9L$2tZf-nzu{}%!T{a9VU-ZlD|FwNWxhQP zALFuNJ_za{E#_uwl4HcqejPm`~uo=CPrFJ*f z?htA&l#SPouom~h0<#e!@Vp4&0)=HnZ}5^_SNS8~vu%RzT$ zo?hy$V=k0e9#39qHM|R6C7jjh97xJh`D4QU1#15b0HT^V;!vOd3`R52tN2#vJ-*aE z2U}eK2L8>w{&=jTA>rL!)!8V1j%UHDwno1vgtGQ13-;*_*Ox}7ca6jAh4aRUH19@- z_Zo4Ufv&~jeE_D>X|%#1KZS||S2{c+1nqt!{`?Q@vC=Pl2MRV628}b*jJ7W0v<#=? z%{o10ub%Ro=RmgGXzAczKd@bOh37zqqvltfB5aTMz<}&{bG?pjgB%pu8Xo-@DF+Dj zUHfp;;R$ev_2&ovAky5t6PeGza4t=w3Dj6hz({GJ1~H*|=(fkpjVsXxqLcLP~nLvmu{S4?EsWsj0iVuQUgc2tiqQ zI~&pe-{6oCLZGjdz z4UMT;EFf{GC(T9;0-_SIvcw zRjYOHHb)J+8g#9`FF~dmyuja;#GFF7A7EoezK_y(K7XH2r#B`(c z&nVITqZ&$)*f5@hAR_=}zU7XZCzwtC(jB@nssa6f;TrZsT-YF#F2uxNiZKDB8T#92 zvGfoPu;FJr&W!X0Fbf4=KmKA_efp0GhCR(zSD*e3&KPP;LVmz0M0bm6NNF8TcgoY% z_}zVa=g)c+1^8WZTm-cjF=tsuBf4)n-or;(!wOW_v<13RngMg21ru@lD%=TN3HH|f zWxBq!_haAW-`A7CVrks@N`ZCglL7RumHGPqO}el1IEuuba7g@szyYajbB{~#xd>{IP_&qVo_L+*yeY$8@!61gp!d+#B|CAN}QI@;P z?b}f{aJ#;LePsu?uiNr$5=dWxLtt`<-_noH&)GQbGbF-0eN2{(8^u&a{KNUlw(pUy z8FJbt=gYdM_&cuMjLvfr#mcdszk`+i&@7L_*m4o$8fx#pt-*F5dKy!z_Q3{fJF5|D z<0b@t_|xh?pfUg|jV(kxd@KE@rC_Y}oU++gwYTwkXmsq#NcL;#M0_?QzrbM{z$=f& zv@eJESfziI2y(@^5x5lOptt+jutGnSSAB}YA0i5KShx&@pR!Q<7AES`9Vj$&%!bp( z`_=UV<6)$KjadO&db)^9efm0Ul7KJ;zGN2@;tzTB0_oj2^)f{F&^fps1?^biFUMM- z1o@|>^Ag?4_l9;SZExg+CF=&9>fSY#N7bj#!6`rZJI8}jSf4)8EMQn8X4Jrg^>e8x zJ2cU?u>)eS283gnl+hg%jOgZi%AYk5%J}=9gfTjgq$Sks$=FNDC}B~*t8pjP-y50S zE%+d~8K#fWy}K*zIwmN576F#yvY}eF9S&V5tP$9s^#$9S+A$J8xI^@ZT#aGXHFS1+ zEZwTo8+xKLtud}U)^81+P++82!UzV~svltR7ST;iz`y+9?;4(pdRr&wCr0`iC`Vnj zuTMV(XRO+gxs_=D{;V<-}t1=o-<*~Ad?pv!HWuU*N>K8!#ggzyx&4EhTT#fJ+YvXL)Hzd2^WDH-8pUKPg zuOZ*K%Zx{nk$#itBFA$*hRMC|LkBZAufX?-Ri8PGCn^<%2xZaAaQ=x$L$zex@NF}= z>Z_L{us2xwAsib9!@dE!Z(;_1-?5mC(kBzkpVdb|7LOE%q1o7%!R^92OqrAq+rzYZ z1BZ>RY$2#+tkkDCPtjAB&l^}?isrSmux{GCt2<<-Iu>#9ml3`GroO4+a=dj;bH`iG zcBM%Fe8*dLSOTSVC|uKsF|4k;%P478>RVnmaKlx0SF@4~_rMjiTY8qKxCU;R{f!BY z`GWMPxb4pWg7B%ec_SPTeTvo(_b}}2#q^g8ym>{!(a)#Nn*%qiK8715aHAY<)K$Lk zcxxlv$nH?Mu`xr|M_u=QCCBF=&r$1->7GD}X9b*CG5g2HJHz-3VSLzFpv=cfWy=Wv zrf6>vIAz7WK?3)OY4ffj?)Jv*W_=@`#Um_z_o4b#P3yz&;myl@Ysz{ocf2)31aY+t zVk*6FDcb`>Y3Qw-zSYA9E}xyzOwTAAxMp^y;IMJ_w+k8jQwIJz zdwbv#oSRuI@(uZAC%wSKnAnHvrkV{>+~=*|{}wvIZWo^8_e4mXeAna34T zN9+EODEVv5>&MJvs(G~JdYjj42T45ZdZT%5$(>=!%{Gs1%;O2>v88#m4BeH<#Dur7dWkn8)b)T6~(6FLB9Z zLq}ELiic&!nu&jG&RVVVWRI6zapjcRMFqX4&YWoz=K~_nD@Cy|HSvlIDksjk^vX#y zXH1Q^|RcTv&A!ajWp z`V8#duK-J{L*)7v_UYTV?|{Dj2Tbf;@PF8Q5BR!{Dt~|2D(M~9&udI?Yvp-sR#sHB)~S-!X{Q{#ru2_nXRng}as9~j zxAyk+4(wmCvZCzV6}8RrWK}bLR_sj;_x25TuNWEbSkc|r-sep%RC>eRvSOkmy#w8& zeQm?N=>yTF&9$2wpwh$7p?41UxAhK0Q^Ui9!_lQxjrFlwVrfM1U44W5qQh+i-6>6O z+#YYLu4~+JmPoV>r+eG_qJ4uSBhkSwMAJRc+tu6AHqeoZE=|-mHq*g8AzB906LPgU zT;S_TMTb)(qkZXU??^N~I2ax2Z|m!WE80$!ArR5dQk~JI+Zw8LDz**m85rEhsi){f zvcE0egUl>F>-^}VktlMuXk_W4?q$(M-DgFkONUcwbkz$0K#YC!yRW?RcC2Xo@c&)- ze+D3jN1}^{Wa98A8r5kY8cB_I4kBxPgB@+@-ob(B(C}b- zuw$?xi_n+#EYv5UI_xS?i4(~_jmmLAO6~{D!qmLoyFh9{MGrZU^v0wPX6xa?_vJl$=^r#`vQMo;;+3^#TVu8 zM*hB?ziIv+=C6+bt@fkJ?XC9n`r6M2L{+K}Q`1UJ1TaeXHK#gg%n>(U!IU+lCK_&Bo?bx^i@Q7(;Mkc(4Owny49ztL+q9J=m6>;Z$2EkYbdub!{W* zI88I4kt4W%uyeF8RU>E0F(Gav90eXzgC=d20n?nuOk;GYcA#r8CcY^#2S(DV{uYeM zv61TDz7)B{Pl(de+p(u|5aYEFOU&8<OOSj~N@)R5@1 zT2jOPy#sCOl&%cXZ?*J|pa{0N^^K;)UTeZY#8nnY3cqQz0<4xbMrK!t29Zo+-7`3l z+TWWN7X#`}rD>MfHrzcTngI8rY*Pb!aexo*!S-Yq%0Y--fciQH2lh(35W4}>@~CZS zD49MmloFy{VMein;CY&WF_dImM}KGEfY=9D|44UIMk_8C$qwauQY5?j2Rq^aKZFb# zh0!nO8%fNZ_J~0v$tgW$Bu57%Zk5^AJ~*5f7n*45zzDI~RAFs1yM~5)2hv^QZDto* zxDXv?*FJO#xc=6pDJOAXWA>-|JBCn8cbhb`ZR^9fkU5eb?n?~_@d&vFIxtmw*4(Ru zgP^|Cw|}HJ**V;c`CD>tSMTshI+@1&c?7iQB#p`~*_omsf%}QX4XOT=+}DP}7Qc|V z;Z%1o8u@V2S)=_b6OW}{$0#P5;y0Q2UUU=jQYH>9C5h>C2MUK`5aK^G>FL3t5%EWb z8y!eu1&Q^76b{7fr#w+DcBt;3#9{x_w}~-pr%s;-KZ^{5vuREeiLonk$i#=rIb>nMTP5GDZlRq^-mMg?!&t-gO9xp*s;ueSu2!K2bCMsJsqn1^&3~NvKW>f3t<&@Q7R|pg zqQd{zY(+nNSK;4}nSx4xd7k3`Ph+z1KMd_O^B0^+`d9AL{2Q77x=F*Qw-;6Trwdj1 z?USaz;{BRGQKI^#W!u+oUD(v)Wn;b#J3lKA&Dt@_r4_7 zd=zN1r*>c@P0LI1=W(cgLzVqllwwYO*21xV2by~Z_klsQfT)5U>Y!zY81W#6h9rv0 zV5ZC%W$I42(B4FHAhk~|uK>)EH5*MZ}3x^ z(tTL5bf&SiUx|%JtR4>x05_u6fzJ_2L7lLJ{aXzp&sd`6L!e4(3Jc(K2uSYlg8`B% z?YvC9L$hGr*eO0L3Emizw8(33!&>k$?Wse2UpqU+OK_^9p;G8ek91nZKNQB=i_qF(+kn7#*T77UO9dLKaD`?Qiu`pr(*r2#Tf= zXtTcKrS0wL=)=N3$ux={M-os3|GpVz1J0=A-rtMXcAj=#CYqG91K2&iIs3@7z@e#9iIDQ{Fk@6gNHqBU0DRxgC0=ZqK?9QP9^ri}ahHr>1bPG7 z3zS_GOYgxwy(#el8M;Ga?5D@W(gJ^|FGYL4lm{*o8b=**0*wgv>J)jGOc@QE7o$vm zD$BETFxlNV*xuHc>_AbBh*h#}Q8kJt>2w)Lq>P9q@Y>apuBR>ebRBl7w(u_19vM0f zvY!Xbf6CBR3AWTVJ3^sH2AafPo+{pS&oD}-e-LeMPwGHYimeyM;lbve%RqVEE~7i| z3L*Xo*H!qROg3$6XsNA_Clk%_ZB>oQrg&XrWwNfexg{BIs7fZqS6_uI2|6SQ{+ohE z|8r1FCozdiLXk)N^QqeYw(iuXwvm+hZ$ei?Pnd{n?QJZQjfz7tBz{3?C<7C5*Z13T zfg)Ku(l9tc+Ah*p)()^nS2Bq$)NC?>-*lh>#~EY-SIGn>uN7h|!nP^=WMxZZlgesR zoFy?;9t?TASo)$#NA;V{^l{ zXl1mbtfFjnbX9rzc`H|zuZ%85D@T8Z+)14k9bUO+^|^Rq+9)eqAsZm=94|*}UBUn9 zXhw%vu>);Tu`Z`=`}R=`tieYZSx5P;apW13q6cBetL&1Zuog*bbjePQbQOJ1X!>(*K%E?f0UinhQLH>b^E8rOmUL;)@(bi00WgMPe?n-4OJ)f_aXe z3u$34$PYJ+_P3{oag;%w+CebOIG9q`AMHGlwwiWJ%;ol{up@6Sh>9t8z&;B}%uQA{ zHnhZBTf`}!eGVlroLE^ih<;<<=zoP%n^>hVH!;QzCsr%WQO4wl6BP<`j4`F*#2SS; z&X{O8u~uPjX3Wws?=x^I+~QZ|Qy#A0UpX=?%v%{z5pGU(S0aPA`R|fxT1SW~AU?&2 z^9d0L;&w)CAVf6~cQE2YLTm=&PDX4bL^BX~F=A6V&I$Q6$6FPy8ZH;+-JISUfGdT0 z4}%GStAu$kgRKBp3-dk(cLQ82%=;Pa0eG%3PcS$XZo&l1{0xKp!%ZCkACRE6;E)k+ z>ID3E41Wl4JK)bUd>HV^kT5^T@C`%<{PzqWCwi|iKhN-;fI9&{$nXik>xB6Q1|I}? zo-iL`@Fc*M$o9hwKTR<5{RqRS2u8*qW%zl5k@LqGK230iFu%y~iv+I`=1GQM0^Ez# zeu-gRAZtRiM7GWFc5LYk4ma@zf-ryJze14$OrjPuJlH49e`MdsL*c4a`)IdAc!3Fb zhQhRGw+UMq9X&0BGRhw^?Sz+BJv`VisXt=sgNo|(UiSP?Og@=KcKn}ahNnZqs@g$< zz~4?rM64aP4VxMp>x939i8yDKG0vh_%D=JVmq67~`T_DyKzR$W3d4m<`3 zLQ(BgM3rnb{Yvzac(b5jZTt#P-J8Dq&tpLdmMEi8TrH zVl_@VjYVI+Pl{It1NJRoDljZe;;=n3?!m+0ho1yb!2UY^+QzT(HWJ)Vp;#bc{6YcS0Z_&YL)(p3_bt}||{Zx_W0$;cZbIRepsZMmGk1*07mfOjVL$ov!=HIaQ0HeFQXclT- z$)X5D0c}1vW6Y)=B23&UlVL}ku+b&2Vq|W(3g@R9IgF2T82J+G2633Vi=80Qi;pmJ zsusdmv+q)cNY=NF>=EWQjGYy(rZ%g4EX3)xvcxdRgj@UjJ@}9LpFl}k3rIL|X+TI^ z=YLjVEm1;MMm$r=C{R$rM3r!!D51jqnTC_XpIu$%_5L*oV%l-Te8Rs8awA-W9ek`o z%_k*DO`tB--PUnHm`{0A31RoNY%nr)NH(hJ8Ad=>0)evqDkC5sfj~)pjS-NCKp-z) zX9NTw5RiD^U<4!{5Eu=<$p}a~ATS<$ixGz<0y6m&BaTZ1r1G~JaY7GWtT2&EZ1`a(Zd2!ow}oj613}c#l5DBn zB-WV?;l)^8xD&EEY>f-EF}xXAN)SXy#~!&tG7~Z|n)XR#U2i|M8Ro@|%?~$^wm0+X zk#=D=F}^gf1&N~m78}MMHl28(GtLRtznD9iZz<+Gu;*>IhU;b2 zm}eoQ=zxQH0V5YHkLa!!=KcufXf9X^3?hU|Q*Bgni_K5w znpnn%>)SedNN;Q2$jKGF;%MyR1w68R6Vov0VLKjuB88?4{f2ooSIy;pVQz>mgUtu} z+Xws9VI%Vx(``3UNk*8*nG^{(l!SED>d)Yhkk`OO?>ez+3L!L|-)8PTVDJK*&e zCKc*3@#f6DHFpWJt}|T~Yl$VRYO!R)x__sz&1ZAFDBpa($XReb$H_rgMRFh{b_RZ|8t@-qTYGiXJm z?(xSu?#SIl{bvU4NIphP^Ct{OB4pps{3(O^5h(kG`7;KK!z67QyOaR?Ib%yB?2w7e;my_)AXu(#UrS{1t;|N2Wl!o4;moS>#JZ{Z|IdA{z+& z4TI&8^%T*+F}N!7N20#O5miKri2Cmgu8YulW%FeQH$)(oh51_sH=;!Y{2d2X6}gX? z|AWEm$d`!vpUhknA>EbvUkuhoK2G588B9dJN8leAY>E6E1@+$ywnjce;2#-$dqirA z{v@l_T(Db|P&>K`b<7hN-3_yv0w$Q|pL5BIQNVuR#4QHX_?OJQycQ@M4_V31qK zOim=Xj#&(H>zK_Tw~jdsa_gAOAh(VvgWNjiaYWoY<}=8xV*!KQIutz!`f#jRs8 zgWNina0a<`EM+jETE|%owyM@~HiNrW>o|ua>QSv@8H0VQbu7=LPH!$4(o!Rn`$Y# zIgg|~txdA!YmIDc=7LfuDH(B?wRs(gAz=RmY0-&wIWWPHDbN(?vXhnAN!%2x+zJ&~ z;W6p=Q}}Be594o$CQu=2qSA$MzXa@Tg9g8E6TJbG50O(NHzXS>=H^O1!2|HH&$)KLe~E@`ST}Q8WYL7`1W|6X99$g0`o=@ z`h|~D<*%ER>6gCQ2)!tYJ<_I@ZHd~3>c*Jp3EdF*?NpR~N4p4pJfM1=2=@)?<&Fq_ zB52I5}_LzRT}Qx*D69cabQt^J4NUygA0i&2c^(4 zMlB@{g*witWyB?q{?c$cB0@Jap`3WVgj<+U0RoyzUo4#-?rq0GO%b}4N$ZFtb(W#q z7_}k1k9Kjfn~bei5&Bd>$)=4c2A%O$B6K^WH+jkf=sNV06!1C7^bt$d?>&6e!0kQK%dhqDZo=6U7R#PLxOlf}N@`a7`nZR0NfhtEMU5ysfT9 zOecU;M>ELL9IKAUnwnxe#Y_S#m3tPsw>8I`s^ZnP4e=^5n-EG>G)FmiP@dq&{JtQJoxM_n_tVNXjpZUi<%aSz`d>odwXprlOFD>6^pIUhU)w)ww@ zK=kDtzGwy-Gws9BrmMP5&?zwvjFTK_(Ki=jc!$F2d=L{gCfo&~J1}(YCdpUwlPIZV5A@JbOBz2w1$`CKE$*sK)Cl7R;|B!v zfK?bjG;%S3VIp)J3X1R;Z~+Hw@~{GjmgvZV=R}wBfXvYe&dJ#&8^JBadX(CivHq** z8ydL`EfXD39mg_0OXy#Nyar2leMw0epR-ZX){#^1spuW3k_!BbM{(0e7=M2i`uTkH z<&}pJZUyz4q`ZS-JVG6$75^)`dZ8JE-otp5P&X5509_^p%wyF2z7NdWAe!@!IhnuY zukq-=u#-R-UtECft$P>H>-Nx} z9r&|SAiJxsf(yyG1E0adjpP;w_-njS4`1tl3{oWmUiTehJ)c^{{mikETH((RfNSLt z1$IAZs~^FiE}4)G)K}YzHd3JCIPnIIb<@%M*X82R%G1ECUI^EV;9gx0#~d8{Sam+p zq9~hHak%6!U~fV0E-37fmFH zLRP89;vyG@l4gaCYAkqZ^f9)pFqUdO6{jTZP=tJ!AO|KnF10G!GEM7g8%cJ^T^D1g zBKb6Fgu0F#d5v8(K!>byP13Reg@Pi36mUxBZ87xhkYzJx3^&x|i6S~))ramvdrBfA zvu_x&WN-gaGRb$yjO8WNsDSmHYcwh-RfKe=2W=XoJWHWRSKe}ZN%Xs+^4+zZ0V+R2 zkAI#m=i1i!L_dpW6l0l47KogWy-V^0?91`pMCry*pKOP+32QcE5h*O)h;a~0p4v24 z=?tl(e#T0b^2$lip&Dx?SI81>8D^c=GtN~3m3#%&GIas&LQulxaXDi{7_iJO;LC(@ zfr3kD9T0O^=?tB5Aw9OCSUZUIA_pG-45tyhTzV*cALc8FP#ro{#}JLmqmmY|Wki}t zClZY+MT)5dV9v2fqgodSAFdmF>ei^CMXeFCPS(cI3?R95%hSB>8C;{5gg$srOvLM) z$Tham0v4I2(&QVqj z{i+l)8QcS{#;mann8wLNtj27niQ7;%rZ{Mk=HZPViqbu8(qmEj7KQ$P8WP^rK7|oH zjs&5AhPVw|>fn;NL#FfoHzl3=?DK0%=PzYCy#l82R+ZF4SxN21MxQDE=}k#0&RCC( z4xLd#5Ab!6vk`IjIkO3mm05hO%*sAiW{rD{jB5iQd3Zsdv&cF+h9hj&ct^)9BkSmx z-ww#L62hE@`LPJ7!y#t71oYt$v*RC8$d+>mq>fK*>|FvcNWAy>hvhx~5m1cQmBbj} zGaJ^|2@1MLG%Q=rmM!O4hLw3N!?wQ-4}qP;CpRFha|&si1nu3F_(11a@-imd zQyg0D03F1)mNO;arBq@^a1*vuM}$?z)Y2(x6KPj!c#w2j!dg*CiN=2E6w-%zw~wrH zCM^^}wQ-i68Ea)B& zuTmb7ODT^e&QTr_#L6SdB9%wva+F5|ILade9OV%Kq&$)wq&!j%D36o_$|K1F<&i=_ zc_a~}JW?1^9+68akI3aHj|h;;BXW3@M+9o+5xFyzM}%PIk#Z{K5xKSUh}>FTw1@y# zc|?#$c|;&9kI3mLj})MlM=r@#6g@7htr@$2F7645%TykbU#UEj4pttKdmQBvp{WM7 z@<_4K4kMID1USkg$*Gk`1bCH41hck?lt&)WQ63S_t2_!Q<&m%CYiQm1hWA#BkupfM z=waw<{um%3uEdO+p8PG4_798{HDsen6uK8+#B5D-|DsS?clpmGw%dV)e$E6+$29+SEa3cs82Q$6>6 zjG1R4bd1va3aui_dA~5`+=EC!+{pKA6;V#;<}yiN!JW!CgN%8!SqeYvoY?5f5K=Lp z2?>Wl*N)^Chq34Y$`+mkM>LH@YNRO1}EXq=N@jC1s*aZY|Q&e4~~Ir^$`j$CS-lQ?IbBZ$X2$s)%& zayjE10nRu_fHTe!K;xX`pm9z)FwQ9l#yQD?aZVvH&PfD~a|%P_9J$muM=ocaBS4OG zA$XiqPBqSvTaR<(cE&jZ+;NT|&p1aQk8|X7#yJJ(an2>VW7^}g z+Oo$v9iF>MLKr#DNe7Q}dxA*Jh8AFWYl=$NfHrg0L&OoRwjO8b5^Uah5P)=dz=b%cLQemtno8 zR#zX566y``x^%Y^cY^Nqnc}`TML4OX_N4l-jW9B)h&s-q=@I_Y&Beb45#_hfNJvd6 zm;zqGM7K_ZXeBI|$f*Pqxkxa{F9Z{PNifMT1QUHpFws{DCUPmkByo;lB8UZ(WRZf2 zT#jHOz!6LYID&})5=@eV1e0<=FewKFlVpKlQV0kpi6FtGFeI4Br34eX9Kl3@6inps z2qprxU?O*hU?KzyCgoIuiQHN+k=qeW1h|5UAdg@okOdPt9l@jkEtp)AS1@TF7x#p$ z%FGEkaY?}>9W0p0J&s@^w08oo*jO+T;0PwksRa`OUcp4LM=*InM=%l2E0}B}`|7h% zWYF~w-AV7^PMU{PYt?A8Av*Zqi92z^C?Y=0y%9#%RcT|o4C6#rN8gMv=n`;9dY{hT zbCl$8wTE;6SxLAPdJc69U{lZ`ls7(y+MnK^CLQji66z!;Tz|JfLYi8c9XzU%caoE* zHDC#161{IqDsf?1T7o;HR9?Y$Lp~x?nR$WnuXn1)N@!f1G#4h(&;oV}e}l#kQTh{$ zSep(x+D6C`wZ0*f?wseK-Lt4ArxLZ~B2g>95ViCrQ7gX?we%%XOJ5~w$)!ZC#5tmt zAQrWfMT%N-Iii*TN7NGFh*|61C)V zL@fbQ)RMy^Y6;Y$mfRVlmJlpzl~ajYa%)jbZb#G-;EGy;JffCB7PaJbM6Cj}sC7xM zSbbbpTlO4Khv&`#2_r?Vbg-x;_c)?f`u5BL6&s6M0vu5*Ikl)Iz$!=!jav zc}1;X={l^EE6_y4hLU=02*Q|WGytpR&86I<4?z*wiD!1`b}3{CM=r^ zo{(cb$2@9KnAsxWs}OkiAl~qhIzS?fTmmgz+K9-c82JwXJ`XTdN7Qe8Ha*cgg}QPs z6q}jmc#JSb0$F)VPi_AIW)nJ{H$%YTh@aH(PA=qFxEJ@kUNHA0!ve2jv&@LHg2sP<}BVq%X|}>8s|0%?A~R=7Z!?^FeYs z^FadSe2^R-@kXE)Z{*GpZ-ij+rkrX%NNznJB)2mkB*2{y66Bc=63FvGays)t1?c&p zOY+VKHIIvXLRMww(wn&Cd{8=gK1l9y=7WUxF1-~S&j$%`=7W+`i#Gzi;*DUBc=Ldc zcq5!wyag}BZivr1Os@+D#+-KsCiA?r7lEURrm)Gsbv3o-&;%!-j46W%9P;M(Jp0O_ z$i{NZqfkcfjf(jtW)9o801a1tJz|w~qlxBFqm*k?K}$oN&f%kv{(L29N_pc# z5wQClU_!ZvAWuLBnIJL<@L@9{p9$i_G+7kOvD@;-mzWTcbl&%jCSafB2^c-F{J&rV zW;k{mjY7HKrDd=#hi}px`UEYx#lAPCGSp*V%cTLxNoz#gMLsVQqgE$A@>*)dhjY*a zqaAoN0k1TWk&GozBM85pOp>KJoU(Q(r-9eU`FsxhvIl_1F}cf9WMpo-aRYH>ANC7C zVcyx>)kQ3|IGhib*L(aAmgCOs_@8b2)QLY|J1JhB`FF^^3t-M<+w?wpfKN^{{mUss zzUJCp@nnne|IL%|>r+Sn0$2VGg*(yFzHqWWUJn}w$xX55+DgnVs~ekO(HHmLM0w!j zk*6^EiI7bIZSo-SiOA0o9#(~s7`-SW?>k8oC4o;e#r9D2ODusKBV<_=%f$$p{TUpP z_fP{jMZPbwr4gI}#6^<8QD%!q9G}M+wJ_2`FRcWQGrUv;CQN$Z<_Mj|n_?U17h&R- z+t%4B)nw~cB1A6PHl;fN8x+DPeA<$!YR|aHhRh}LcuIeAFRq4M@FE2lG2(+1+{l4` z+-=AXYcq$H+a)i-8Z`>GCk-2S)oRa0mv0+ih_X%B_*wP^&SWYpyj z2Sh>X^8C7F*}E!9mRgK&P$UI#e3Q=S6c!8$E5KE_f>=T? z<{0<<7XrNs;IwvO+(+HTI&%ErbX@Gf>o;g%_f!6U3A&hJOl&k4h9iwr9KDv0^Kk`# z3k3DdeYj#mixgq>7#AV5kac=v3<2UQ!tnwwN6zVoV_l)Z&0IL)Ye z&6~_lEN*tJI1M(#n3PSKlQqgUYv$dMl?p9>hqRj_51OJkK7r_f`Cz_rGwG0}P4h3$ zQzc?zQ{XjEB7E+sb3F=U%&7Ca$CxwLSqJQxL3?`j_-p?g(ty1QI^h-am^fes!wrG6 zZB%kjTtCoN$<|4!LqO=e1U83xmaQnh@OJovbyA81W~y99J#xT0>B};Z{G9Quld51! zU4L2DNiU|dUl0`$SSQU#R8u_GNdxDQCFC5}I_Xb1wXStig|Ty7>!b>kpW|95RhZHo z*E*@fL~~s0qzbb%C)+xyN`85cW1UnXDso^*R9PpbE0X4db%apXNfqLJLMZE`3bBC@ z$~vh+Tu2CIom3$<5~3LTZD2I;jGC07~nm3LMH|>!b?YpTpKk2_);JIc%L&!5;#wtdlDEFkoe!RKYh8 z9VVgvUdBx(_CS}`NfrH0z{)zQf=>XJ)=3rkAV6uIRDmY}D(j>Qewtw9`w& zqYOV!FmnDF!>0+ZAnT;c|BD1m>!b>P39zzGs^H%nxz}M5P1Z?s##$$ZsZSzdUt5}G zbc~SxBZq!Gl#^|pR0VryC}$k&q>6UJOLMG?{)mHoP*I)U>sTjMo=;|x9sj4%mblzUj|DK&d6AfXDQw>Ww0YCbO>TPlYveJf=UXXkcC^M;k#w8~uo1 zA^kbhe2HuNQ+W?C+A;m9`Z?rmw&_pBA92D)d%ue1L~ah|ZzIHo^Un6q-mn*O8`g9OikLCFl$pCOz23H5xh>Cfp3Yl%Xt zGU6#JM8gXzn5YuY6NOZ6XK5G~fW|TXc>qBaOVghpK&Q;nc8;F(6G%@ z8Y<<&Gh+VCzYfEF&L(=H!ULN&tiq7hcQ%K))I5^+EzDhW^&}k_Fp|3W4oJiJPd-TES^0OHUawL=dJLp3tB z!gxE)(XJ<2rV(Z19XTNUmIzh7BSURzn0gv!7GYdMz8YbnV6L_TvYV1|H(@gDg|v~W z8Zz28*JB%`op^I$RL_$&(vu{e7le#*nXP=zj$X#U8-8v^wxXn2L3*I-T18>u3SCTP zd@fL{zW~(VgLUo@Y}yK=g5>wF2~N6i&lqcNMTxJO2G&9vvOa@g<#;kzL{t5v$swgG zTSn2r9s&nnNR8%&EY|&sb@|sN>+wx0CE_`NrnnPo`!}xl=pISp8a=(9G^yG{zc9GC zK&9bAWc)R&J>EP$#~B}9@!KoWOakL*HT-A)9F(BbVYF7GT6Px?!O?0ciqGys3x!tW z9C*j@?1tdX16g{TNZ0im=SNtvc~ zgiu-yg*cxON~@s|8wjDa8VYeCA(U1_AvO|1X*CpLQ_$6FsCcV_tkqEItpSK>?md15 zCIDg{a4&WX`Mw zrwB&IA7%J?f|2vb7(Pv~)M_aIFA^-Z8VY_1u+nNM`1eM5F+>?@HG*Tc8m)?ii&5EH z4dwfID44C)P=q@}!Ev-2igvma;tV{fs7~*7v>M9u$t<$t|1|r@@+6`Z8CJU= zoh%|18CLfUa!W;q)$MgkO6B22l>sl%|hc`tVe@TV8Fg!T9`$K>KVe`OMpFKLw-0oGxQ6xOlX!Q$;(& zcoFd+%^Cj8nKH%+_Zka5dYC_Q(&!16KgcwTpw`1s*bug2ilc}58n}zb*TZ}mi%KcC zJbD-}uKi4HR3I{#e?Yl&j2GU6#Q(R70fCaQ$IOytOJgJm=7>Zq{j+7FlhfxTKP9RXWUu6WOB@ig7uQ3AR5eVev z>x_Ur1VZXzR2UF|KuA4|LO}2VA@wi{aabax9!4RKON7+JD8vbgPvwfZ z6b6a?o=%FwAhpjj<|Tzea-U<&A&U~D^e~(nt_+gWvhWQtP3mDtHWzsGFjO0WJ$e|z zTPxb72!G`)NHq2}2XWDdRo~Qzru=|K16r^PEe*zu8dfg{ga z6oWug&!q&J;uBMx)i=yDJ%B(;9d$@x2ybYRe#B9SkW;Bc$VKW9`Gq=!zN8M3U#LUq zOX?8%Ds>3ClsZJ>9CZjmtPYVZQXN7rM;$_dqYfd!QHKyf>JZ66>Ja6CIz&034v{QS zhbRQpAre9A5QQOi2)UFxgj|j~gaD}yA%{mDLZDWMkUK*iLI_reD5p|~kXx%m$nB^@ z2yn*w#RNGOa#9vOt3!z5s6!N>)gdm)s}9jTF762@JXak;Tv8n(9jp!^_c-bhLVHhF zD>hb#5a6goB&Sw~5a3mZ5bRNhctA%TLO8EFWQJ|beFjZ&W>-2n0u?Eq<}{)-SCNSH z@Ms5)EzF}XAR^T*!kAC(mNXG#9`Q9UG4#6goctL0VYdg%>T9u5o{e$5V^5`e(bu?y zrVF13X%4^UYwW%aOxBST2Z50<046Gh(f%2*8O?Kh`@7}wB!&1_@<(PgGu0Q8**ub% zi^q852mCOq*frAjH}WawY;I0E(_wzE z%2-Ik4HhdZRp}8=ruZ@zk-QIC8>?InCluBMLld>+y;1{ln9q;TBe^)=lQ*5_b12O? z^?s5W$MSfeR5q3|`_s(MHWzmymv3CNL9gg`4XrGnT(80UjP?Ab2Y*ci{-ja~Sux79 zIG#a`h}lFsgq4l*-4X)-r*BAzQ$)pU%K`TvYUW20l008?HIB-ZS+n?D^`p8gn%O(;?!b}nKglmr+`)eSF z4ZrgY2t5{JJ@s2)1N>Ns^%Pllp~yoHm$07lVP%zLe}f{^kA+xIlfQ^FAmjS`y*L&% z1=5lCC&;M=WOC7fEWa3#=}QB${9-_+FAd1_RRc1))PO8;&VWo156F^54#?zk24n)9 z0hs`2Kqi0&WXVATvT|TRRt^lvk_7{@LSR6a2pW(Th6ZGEsR5Z>&VWpS9FWQ38ITFo z12VZY24q6;fUKNqKqj{ykjd=~$OO0pGC`gJnLr+p$>|Kp3eW?xOL7O_$7Qu;?@#FP z+yR*|azK_29+1gB&VVd^d-f+38xP0?I0Lfe)B`dB-T|3l&w%U!odKC}-T^s8ci5BC z@<;dyYO-BqqV!TazF9~YgK~`@;cuS&L#-Vx`zEkS+k08B*Bi?XEs9pLC^Dww>?5Rp z!2n{wP_crRg6%*S;E{lG0%pSqv?!#l28cPFKQ%V8*Dn4Z07GVMWJwTV%`}FXMGL;q zfc0aDtq6&qO%4ohdhp1xslyfZ)Q{}#fFi`mqkRTg*shIHrbJQKa4MD5#C*yrtm+;>C1+ z6C-4l!_KkE2$T3bIiP$JbA~rI@b&e!(_8DEqx~>-CAW6cJ;R-ojxDFTh&Pp}a@vn` zt$z(;3#{ZS4W8LP1+6UL8piz>6lg#y@ywoY!|f>X0*;Z~)$lQyN>3T!l>*FKPG)BU zQtRfs{x{@iqq)AXQ!qi*7~%~rcos0%`#To#{745n4S({$_b`K z?8bd~-KM*xhhC)-zRwij%c-Yenp6F4Lp_6(s0Wx(id5p=jKNVDgz)`c@nMxK+M7Z2 zIf+Ti-*;#6KY%A>Q-$S>$0&TCl{FN|u0i2{kZfe*-l^`v$vvq9xPoHLphMhKGV!9+ zm@x!&E_h&KR296)RmNE7z6%u8TrP|n!GH8}3FeDDg9!Q5evw4-9! z#JB??BWH=c+tA|T#-bSf3h@g_WI9S;gy6nap)l$ZZDAasC|Awm7?bJPTtZ<-7>(PJuB3*W#rQnaj*L+d4Zv5iXGtp5TbI7(NKEwHOstgi9#G z2Z5TZ>U9ZKUoqZ5nTBfu zw@8v2o2rD>^F2zYW8+>OCbs$yfg@-);qMua;lAaRUo~HlgSzi;Z=qP^pzgo^-$C>o z9`?VQ?R$!uE|Z05;t|9Sy*jw&ee2r4EcXNGb9kUxUe*%+<++!D83Qm-@~vxs8DsdZ zYyS#{owu(2<&5#Zb?sltNSngO>tb}}PWV?bHsh^p|7ynaTi5;yhWV{){~Cs!x32wb z8N+W~`_E;V-@5j%W7zZ7wf{WEId5J2&u5H&>)O9wmWKDOYySr3@xFEKzkrd>Ti5;z zbFV`=X1sOnzbN-{S(Y1wO@&GfvMMYE->%`1@QtY%|HfRZI(^vCQ)i&ih#jn!Mi zvwZuX&wUyNWm5E3zSt4Qg zz#XZErJ_{$r!qO8pUhSfPh)Ix*gYfWFU>n8b5I)QS$3=sFYxxK$nMy5_7Ig1@OlU{ zn6MC~ghFYgX9IE1xw{$q;Z(m%kUuo^Fw9>~Kb zO#f0QCfuaycL#<4EGD*kh}z@XOxqo<8*J;u(Mfqt*nbYEzQ=j(zG-h8&V5qLMTVC# zxi3u3vZ{Aj9=kb!F(z*zIvc$j&2n#SNAs8EQHRvxZ(N3dLPreRlduW@`n<=$G-dop zrTy`|9-O8}e)Xf${%THg#-q~y&3PnF$9YuRUn8XfJu0nJ>OL7ww}EQ&u0RYy+lRkn zIfTDerXbhnzb5YoV9CoqukXKBCMeH)Uf=&QiF2fsUQg@u`u-z%zrtooSf1DSU!C_y z*@Gdn=)AuFy1d&E5tdp?VqVV~){;YTBK@23u)DaX~q4)9D(l25VD*6Z!r+)+i6%OHnaXtlN%=j6dOF-DwcrH2- z*BS}q9D{1YIO&9Y4uxAhycb(?=lmUh5U6MrwcuuU zCsc8xxTjj*ZBV4UIdM1g_oMLib|?>L%XA$imZx--oy?O!G{$>Xl!r2+ z{AFg8wIdCK19UAP+ppsParTPn220WP3Yx{ZTBK}kMbh=5hHPiG|izroHWHHX^8 z57<{e1eaW%LS;;WPX->Sab3W#irE z(E_i!c?9iTBw+D92ysc)8;4Rd!fD+KI6Ah$W^-)gqCd$aoU4R*2QDn z<6dN9TXPLtf`R(O;oTK)YQ%B+7SgBesBNi9#u}>dn2ST-*3i^g2W50iQ(e3PDh7v8 z6^|#9o0?(`l{Lu(-q5O5H~V}p#~5M~d#)|bIPB#H(ztCC7Gx^osw&(Hb4Zkl=2%TN z1|$bp-?+UMuR1w!BG%MW3srejd^1uQtE+>)Yz(owF1Fdjk>heu6g9Q=ja6~%Gd3nf zIFr=uAw@DtNJu$s&p2^WNR@RhRXCKJforX-*}O^Qxya^3T`l%R8yhw!5k|ZjFV;97 z@a}yTuvN9yJCi%G3lPUEHscZTrj3J6G&R;Yw#1Vc%e3N=8;4RI!$t%urnChZ{j#UxP&Bnnu~U0rowF&rDI7owTd*|CB>?$lJVw5 zvO>%li(DsWy2$2jo0xOfSmZh}+ePxB=44g1tV&g`b6mQ&l~lzOXzB3=Y{$=aIhs*@ z6L3W{xwbXL>S{MPU~ieCNz#0~K2|5@Wpb%nmc=qZlR_K0b@AqAQ~(~HYZePK$v81l zRSw&~$wVvOj9cgu*$z^DZ8PctJx;auMJ@xXqporDHtPJSSeZJp*d@mkwPVOjTyk?w zZFS2^vDC#-i>|J2LMO+~Yo{$xQ8(fI0ot%C=CjA8;h-sRnKz4bT#o9-ZB5B7+i*0b zuC~6mMJ#hk&6PFrs%>>~vE0Q`5;nDnvP?{ELnV$ph!rk2fo{_jZ`sz=&@9SbG=y4m zUHR&D=hB56loyGfl`grtrm+c9FWCwP)IZ*U}3J9<1r)Ro5VdW?!Sp#fJ?Zh3rSM1rIxk4;|Gx=lPFNn|TT z2#-S&-qgG!mVmWrsy&I2sqk|uFv;vqAHWcq6QZ@HT}$nu1;>ypHGoq}C~QMfD&w_v zh)ii(kK&+E z8w?-jJBZG~Q7p%V6?HK3wPQx-I0ubOO4D|pM!eC9%bg)!7ep^?pa7p=MuUJtHkdi zN?UG^msY^}EKs)E!7e=wIJ^-4LIyc=R^bn3)Z`;0n&IpX`a&67lw;BL5kpX`&^lCX zg%sNX3O(YydK#icqP3mS2gU%t^`jCn3XDZ|QP{F)+66EYD~%v6#5fiX(>-r%DxBws z*N1D-?R*ObVJ(c!e#ZL=AIJlq96`l^iYPIbL=0d;enEtsB7;JtfCw9&qC$mlx+Nb0 zmX+E3mTghbr=C1Od00xcj1l!l9C6h%%Heu|>l@h!m;!>BDH{M32i zUV-4J6&Ya)T#`$td4TC|>Y%ZsXrZS810`Q_&niOlHz4ZSluZ@x9QK5AphV8~=?qIB z(WUS)3;MBnh}9{E`C!U*!38K&Dh64U3(Jg)BC_cH6h%~)+akYHZcw}6@Gw=4tb!$F zh>rl5d1;x*3_*yq*2v79?J}!y&k3W)EOW8c5H<#=xR$#ylC{IKG8JcdNks7ov4Xt; zRa@kGET3(xENU{g1zN*9QQ9lRaH5k|h?NL+HGNFL6`TiTnZPw35V5VLFepe0gHm&@ z2Z4=@b$%Jzd118SLge6lZ=A%xo){?L4ITjsC)Gk+=$3#tD;Ign0AX!(%bkLb zxoN&ALXBe68uY44FP2KKY96q0*Q??kzx!qTwcLI;Zg+jH{GRUZhRmHCU0Zd(fVbxd zz1_)5l_z0Hm10Vri|u#Kv45sP&Ji&SLUN-QbiYfSzcqS(Ot=}N6rcB$>~&5 z5{5E|o1BUAiZ1EYB61UPlSDM@Z-yUKHgt(qz1yX^^**{whH0-8e< zF-9h;*!Aqr3rgGos0B`?8+Fs%aHt2Cs#ZDOg9Yadr-@^ZQtCW(>%Snla3CEVgx=~|d?9O{jyz}0vZkkXhYTio|V`ttw zJ+m9l(0zPnW}}(q)Ku0;J{xuK8KdW9HifxvT~N!2dO%d{Yv?dql*-N`yNXjpvh0Iy zKB@iNnPo4#j$W}iWseHtwTidwr+C`{)+=sNTEH_URibCir1bSRc}(`ajWp;KmTYI#+&$9Y9VxtR zIjoB?qKi<;B4_EEJx=Jd!uUWvu0XX7&s^OtFmGX?z>2jjF7dWWJqM-*z|_oE=@~6B zR=CZM#Q(TO=CY`k`T8cM-r{k^eMUj+hGpy03+d8x^&hehUPb>QE6kAuxn2OShWN1~i4qy^d{W9EcRraZN^-odW1KFlAgI{HRCQ&1CTdDH}f5Y%!CJiz(BgJ2YWhVFAhL=jVQOeo~PVk z0YSOx5u)@+rvgUOo!G`v?$rK{Ar1%p#HR3g$U8NRJ2HT977eCm+lCdPgB}r9&VA(M zh|wcVk-t$^v-NGuXtX~6?uWMSvG3Sp_gFigw!UO+u`WA&?AWoJt->D__+1^_t>qghQ2wx>--*{ zXOze_HX$ZfFB3blJXGju3LM%temoIUpW3t z5WX$FJh1=h!;kE=FSPz(wEp&n-|e(sdH3O6Uwp)xVn4LC_Ji9;N4M{N)Oy(H+H5bV z9WAf9aHqZE6AdlaH{SD8>kCHOdg?uwTMx-^I(30vKHPK7!}nWnx3_%udaL>&8C~nu zR?bm-zP-X~|EV?gxo3}AA2p92x%bGCXRQ0)^PF|V!Kb$#+f{1~9hRRvjKd$Z=G$df ze(S@ZdYe`9kagd|%dKzWOtkS2H5V?kP8{qq7fdD6S47%+^xzhJTfwuzn)ckopSs2> zPg{vCR=Gl*66OlSO1=EEtyYsAwTkSc=5(~n4X7DYtfj_zMlDq&aX+#j=9Fnt$v7VCd8-a0Nm2_`6@bsF@2ADz&acawqLk&$0+{ovPKVE;fG$_dao>$+hwNu-qcKq{?zcWN_T%- zx@UYzt{;`Rat-@YtF-lp=J_SoCFWwoir8iQt)VU}VlFXm*l+DWykDmD8ky3+eN$69 zaq)YejYsi&3pum+#a}jAo(G*LPgbKWEz-}PnUoOjE3F8a`jCE#)G9LSq~J+|V2V$i zagb*sc!c+6xoR@6d9LA+xU%o_6SCHKAXhIsY{gowi+;Mxe$l?dDr#+5XGbrk>A^eg z4R-ST_RY_K-%8uhS)VcNm+OY@3(wzGVg2wuXn58!<2mcc?>RbPO+`zz58ErP5_^jk zd8p1>Z+BVyTCIzZ#;j18weRwCt=tXP{tZ>u47>2|Bi4flyDk{9-*Mqp7gRTFwGOme zWiMOvj#~L$7>hCdT8|xUwWizA3()4R5QfhVyRh6UM9@FAw!eIVHG7M_*t!g(z$SB< zVMb7W>twm#_U4v5CVHMX^{6emv%NE#;dy6uo?(G%zA)rT~-fj>ERz*2hz*C`>g{TUf6j__09|GHeY1z+hXP0Wk<|eD7%m> zyX)WFvdgf`pILO?nLx&bc#`7Mb`&iXmle9)+LyLMt=*$PTYo{1m2a*wtemv9uk64v z>%h@>-Ft8MGxi16rRjSyNwc>!e*7JSc5IgwJ!*AgDo4#Sbhzh|_Z{omUe|uf8Y_MD zf{m3I-8cGYb1DiWfns<~6Eu&RK4g=sR$JV&LdF&;6rPn~z8infOwOE;+ zRu!;^#e;}vz2TL~vegyDD2>8eZd`h>*P2rH4!dsqCAFi=t-XhTXAQsn+x4SstpoNw zN7j$7p#RU@WKFZXw%)q!<{GQ!r}aNyaaa92-(l}M)_tEGZe}5BQ+(`TCb!{9S9Tg_p}^(znDt zR;0|j)1gO=RX!@)+Y~Me(e3$zli6oYp}Zd zgRrA3tPe?C!R6@rt=7#4yX@oj>t8w_?3eGlpmwKq_ra&_Pg$XR)>@VJJ@--g<(Jpm z$Ls7v=bIt)x2I(le0hSB!`ue{(3Qr$p9RyaUA^5adT|t5tk?mgJ1f_$KKDG_b+u9kAT|Hje|TI+yn>$r^GW%Upj|-L zS@45_BlTmA2oA){UsWy+zv8Fq`An~oSR8c9-6&m}zMJV=Rj{C!OFFHV=y#%Eqx@b* zwS?cM-2B?y(pYXZmb)~TTOP|@w>kHeQD6lNe1QVLzaZc%h*$+vF#4)rdC5lU(6A0) z!-)y#bUu`RLrnhyr!SV9AImK*u&e@~xfywxtbC&IqnFuGW6Phne29LEm*FcNy2?Mr zPxO3VPxUfg#g9@{NtiVL)-~XV=?A{wRO>Xrubk@!b>&ewpnhAvB)|sr-FKu_2v@jQRqf zRbcsQ3jC&^{7`uMo$p?xiGEeNyE|S>eki^>5ssb|e+6|%KcovqilSGbjF0&A>sNFv zpMHq%5_Bi(ROnjhV6vx8Tz-`9QXlFtPv!5AG87qKLBOn>5{My_jmRbA9sYd9|DTLU zy@4z6Hg8^uG*S6ey&a}_c^dXw>W%EtXxpVPbNt!;jKY_EJnLD^eBS;{bZwQi%b~0O zJW;wAg0BW=PW5c+5yxL*7YeMv4?*KAu>2H1@oCd{2OU0FUJzXyr@NBrs()3pRK<1} zhof!EUG0RkG2v-`la}-E5s#jsxN=L$6-p=3wGo7RC;UvhHe*0jclvqDk^E~50vi;0 z3|-&wzc!0b?TP&ByYcia8~v(s6I)P>D9n0QtO`A8K2MXV;JXB{S}T9vbP`?PHNfHR z3_62<^&i(pj$=WGjU>T%SxRiec1mNHv}QEMT2Vb2U~>Fx`$3s@X;fIv%3{B?nB&@# zP&66#IF_Ci71lr;b2^-^EaOd{7_`M6*DD*_ktxkIB$Z62po8m$`Nxqo-cA}FqHj7S zl;5!ipvJTMBvU=60G!xi)MrFYX0EBaw=d<|cv26>;c-=uy&uOOKU?)5$9R#OJ&xJv02aI(o2kFURHSTV*SKveW(SW@jgV$)k4P&65(~%3UAIMM^gM(FO_q43U`6?Ctyo>MC~L z=%N(6`&S2aj8TSYA{_z!E_Hl0>40>Sz@>QHzbBac0sc}>wEJNfm#^KAxVTd7e$>T9 zwfiv_w^X~o=;F$?`$-qKPP@;>&`3WN{V66ZghXQgN52~WqP*5~UAOQTD8=LX%iKMV zuO{v5635q=!g+~fpU)Fe1WdM`>35!s(gAci0gZ{CUZ0}hddF9@_O-|H)vS2jznc3| z*O%sg!1Z;Ko&W5j+#r z&R1L%R0r~hqtx<;6qT}bfs0Z!_wVIgduyD4wrOtNW(={-Jq!wT$)ElI^RU zlR;+W=tmtxyX#!sm@b}RT8qnLYj>ZE8{==D91J0EpW&D!}B2i2sV^nw8W4AJ~2cAia>Pl;;M&PE5-d@(!!zxKXAKC-IH zvtk(S;R6!+F*J%}RuEc|Sos}X#f0vryCL0FI%!DvFuYVMm89sTs;pGfX$Cbi2tA@} z*g>X2nGLQoJ*aE^3?Jy354xEVXBwA}n;Btg9X=3rMq5|c9=5@jVR66b-E;1Jx6VsC zU9#>!b3dJa_kG{F=bU@ax#!;d>eZ`Z3vHL`r!3TaCs!Y~&~~Xxe<0DwasS`X8vKP- zDkXaU)IrV6bED;*Dsc5<4*C{XpL5WQTs?&?iuimZSKsC!r;e!|WXX>@4MZ8S7#MA1 z-f{%=9~hD&pl>oH$^rTrL!ulY`t?C7q8y+L8FI!`Bl%9&@9S32J~7_Q4*Fvjx1WzS zi9T_R(?E?IqYu7l%M}_oQkVTMusWfWqX07hQah=4IbLeqNV~T(4Z`|ju1d3*X-~K6 z(XDz!H#zE7{koMb9Hfz*jD#KaOzJY_kVT|^Ew===R_d}tj2+o2Zg3wT(O;=P;vlZs zgKpKMTZx`4wCdNblnExd{fGF70ew4H=N-f~b&&8O!%6*3OhtxM4HyvGXuH!^XeW#$ z?5Lg8>6QVNmE`d(8%`BcUt^ku?W8WZ6=R*r^a;F_E-Y}SRI?W9rO(``+;0w)leuOO z;shau=Joa>SA^qTd=56k@y{Jp*-BjY5MLlWN&PX0C6{X_!5lL#rAeIYUg3){+fkuY z$Bm4D_s6*^?PA2elSw{kTlI=_zT_YoM2|Y?@3{JegP!K&t7zyd?5_ewzGBZ7+##9Jn$R3h>jb1B4g`6Pu zdw6{eCoF{*qyN2|qlJD2h6+p|)xbhKALOdrsqB>MX3zYO0{629;r@PXs1*^WT76Z{-K3-O7)W#QuF0b zHuxTA`1QoX`c!aF84#qbuM!Vs;b!@_WNj54f=v|gcABsSjtnTlrc`MXWW_5a*`Z@t0G z_i()-^=~jCcqKI#gS>+;zyt5+>RtzZmaG5WK`(OkYU>19zw!llsOKsIQFxafB)q@n zAkABiD<)37%eLwl-XC_5@P5)knzt;Jgrz+?-a9q#otpPf&3mV1#ua)Ph{}3%KscxF z<|;ikN(Io*O)Vh|0c~VEZ?X1HUC-6DgT}c!>7ZGze%e8Q#?>!5Nbb=|tUw~`U=Iyk zlP-Yd7KWq?fDSYC6Fvfx5V_8Y6P4*TYg9lbD&OiLQTg)@5|!nCBpMnH?ti@KJS-dd zh>>?DGdR~W>TTodRSpt8ML9GSr9_jMQM||up5!A&S@;q#lKwLu&9PJKg?zDrkKjGQ zRcRL^PFlUwwn|C9{)U78ma9K-5L?3@US@rpElFQDSDkpZaFXWS*vmbUMLWGdJS_6j z`s)%?b<(!-DEk}a9;r&Rn5CPz^;u>E&ZCtan;B^1Bceo>_y;YtQ#d$bYPcdW!*WW* zxl)&@0?s|kOx@xA+~8V1)+Daq%T;Ly$kF>Xu^z>e)K|KBBCT11=N0y8I3q}%@8}S= zR_ZS>6Le=m_}SyxTtP>)oz7V4Gih=o#8En28Y zs-k?%fH{w;n{2CIskT}uB^{G4fRU)a-BPLL_)6h%5jz7mR*}Eba{O-BF3FPBL1H2r zACEE>{I{qY$T*(kD=~Hw>ppBruB~LVz`$dCB=J)JzzS+hyQjDv2D(&#=%7=XLpvX1 z7K55mk7m>(jARUA-N91qK{M*tjQTaBe#?O?ovdt^>;4+4Z*o|j)Sqye@~-geVHy}f zs{Iz~m8vXWK_yk0*Wuj*+(6{TIGnz#Lgik|d%LufPw~K8KB#kyWIUMG_QZu6xZxwmTPzMk#v?i{VpMuIGyDq@B0l2QgG@BSEX6ZqEK2rU|aRb3e~kz zX+l~>Wc>{@5ScNu>R9`;T`$&1z1v}R%*h_s@ijTdu$D>XQYJ;bzL%@QIVRhsn52)7 zWNNAZlf#rk((XZ~fukSd>LU)a`g3KNFO6H0o&S-mBCIB%R$9_()V4}Jz}4?L=)|&m zZenW5Wij>;Sx&`UoU^vQ*kMWVLDD^*gX>PGn$AmPLJFhUKRO@X{>ucevu!_lP zzr!T*5CW3=9@R=^D{1vED{Dtdwc1gswX}MRZI$Zb>Rt!k%GFOhsFIPK$0bWiZE@pV z+C?&obSvfBdTw?hAIY^+ztmxBfJi%`SwSJ@@^6R#2NoD&p7-!^mPhCp z`B=xtA|ESN_;uSq&wMXA#c-BKa^#_uPR8Y_ol5!gc+fAI&)a!4$(?~W^7)y3e68Dm zh57!FkMa!91#$efl z$>*}fs1$Ru^nJ`v=3yC^A7{Lh|H;w|pHDGAxqJ5y%kV#0`bz!&?fn0*1saC&5IR(# z9n!16!WSoN>^qt~#ctxWnnXQ*(8jxDPd(ONKT6`Y3H;8_$P{X8 zJtTv(vn_&3f}Wp9T7+7avgO1&i=dKt2!8|kGqO-gEZ~nm?=iAaNj!nSdFbkBp5yC| zhITS*7=?!8>0BPds27fg?ph|1&xwyoD>Q0T9&#}ddQ8yBYQSr*%HTl-7ho}Zq)cuT zg>a$)KbV>})sSnPRT~B;CYZ$NQ_~`+-cjx#(H6M2=EJeMcq=J_aw`66YtDH`B7DhD z2-*MSZpdjN4SoK`jhLdLvAX4(6bt~HQ&Ym3`9upXNYvFt)ckSQwT*96^f7A zngLA!Ts{sWO>1l3rf6iu{d-)uBW^G|lFK(_0EI>3CyCyW$k^C$t~g=*bb?11&$`>8 zJc=KR8WTU7NOZmu)JA7&_P9qFjvb&zXBsKG7q{a&#g;24GYUG!V{SyHILO^6*kjRDZ&)kV*0*6hetv{5hJ7QT+je z&}Agrx?YbV>5vZqdD%m*0f>bC(|Oq*WB#dCnyA-1+Qw=JO!I?VChjaDynD;Li&m-Argrvz6JOj5$ob*|GK^Qg2nMNtIe{;d z$&Py4Q)+$~t#0C0&KIPQ@t2IR0nl1sgx;Z#Je*H|2tFe(h@FY^F(BXh-%;dOK)R1b zkyF9)n;vowkjq~b8u5kc7ujb7*DoOUwumomziK*h4e2sc#1{j=!d|T43(CJx6tcb$ z;b5xbiwALPd=YsSBvXsd3t=yak78q2Xai!yI2vA|717-2O#kabGwes8u$f_#ng9r` z&}dy|R%nz5{aB?&v_hkGnOUKA5~K>Pc4&=bRy%J)4M3!bef|oVu}|bxmrVCs+h+!R zPh_93tinEDv-Y8U7;m4StinFW(c0MO?Wo1u=O?&g>|@`?3B;96=#Yt@`eoM%^u@YG zJ5s3a5Rkf;%-DGyzPcJr4+ZH&Kdw;n!srf>n-T*S(Z2XgzJ>!A8DB0|X-=u=7su)> zt{7j`NptT=vHG0#h3qXnk}y_BFOpathp=@%0nR8^-vvGHI>`GhnZx~$)q)p^6N0gn$(DhlnA>hWiGNi(=gn)!uxNwhaIyQHfzLUf_L z@n-y)aY3^${#BIw0a5mX!{INgX;!oYqJ0nVfGRk+bqnpQ&G>S!fsGQEVfq;!DY5yP zciD!9^m!AS`#Q_GC;}!;YioRel02Dr=1`}raWsGvG!pQuC7i=&2~ve}_)`rsF75+6 z%I1Edaq%WxG2`M(xCkIpO#EzFPtmtrj1f8ceGY%d?-c#o7v%S+;ZWmuqS1x?PWR1? z-#38(Kt&*YH%BOv7E64t0@rv5Xqqj}vgi>*hvUQ5^|7xvD-@>l5*Q7!b{&8#7rvL+}C=}sISk*-M0Irf|exV5e zh>dW0l-Q>s@6e9jm0Dd>4;6Ypj5Gk_HU4Wgj zY(u{~K|m`ZzmQL7txq@OB2Fp_`Se>**kmo5>2)EWUI0PHr*u0=laWu4;>wA9S^}N% zY2?+~EK~SMuby$JSO{b?Y(uEYH|5@*Z%eJ{cR%f)7PRoPAEl zhM%)GyhG_s0NL3Y2NT&cIqKVBa-y~I*|4J- zU;17OsZBYm9)A=$aeE^1*I$Fi_^aZz^uz?^?;vL`%u)5RV~1#-22J9Z)ML;PkoXah zMMh}Up{JOWmvAJ~T02`A&ZHqddvPAFxl)~%iN7wC{q|Td%kv&S9eKHSn|S#l42F82 z0B7Xok6SNK;|%{IMP@7NCRw7khVEzSLXkjk+BA`{9|Sb^{6e!8enyZZ;m5F_E;K5e zAI)(b}%wLjoXk(9qCGmN2qNXZntlJQ)}=wQK&>WC<(1 zhGghM@pA@Jgvk>3;7k{apGW#6evZSGemqkPiXZy*GLt3Vhclm*#Lt3_ADwB455>;` z8$U%LWEa0s{QMjyF`49MO#pI=pA4>;_@V6Q>q8tVerE8uQvA?tel09#W^;-nzexPl zg2u#;_k#kK_(pg+`74eMtx8DuVbF9!XX+4*G0Z0v8>l+u^FS8Ro}5`Zdy0eUtv-iN zK=T}EZ2W|>UIdbamBI+OdCcfcvgq4jzZ+7@e>#tmeMAdGB`XlyiyC@vLl=s}0|*`y zhhN2+E)<7f$x0l455mq>L==bg%Yr5jPsf>NMKMNi)ipV48a&9pexVrK4fZC+ZqQ6X zPBHdnG&M2y2S79##TezF6UEpt%w}Rtk1dHY`Z~UevB+;ZMASYVsWw@|9@MHNg5mI` zl4VQdyd1V7FO&WKB3_;YNAm4T>&$wXB8=4->BN=1yc69tUVf)9h|kE&e>5dtJ^)l_ z3i9&RaIx_+Qd5lO$;{h*{2a3JGXn(TDhg$Z8Vp#IC64%l zn3m#alZ~GnfNHY)0nulyCyF0>`G&~=`jKy*` zjlFCu6hEJVBTQ~-j^SkXu(y0j;^z_Q>(6M!jN)e+NyFrpdYoxi6dUw@aFbi+(TY6h z7mAG^zgc2KKPN)e6dPZ#u~7h`Wl?N&t|B&Ww6Q^)e}TTaBECrd7Wr!#JM$B6tMSF0 z#6}HyO&9XTw=q8(UnDgF5E{#u-73ELS0J9sVH@(re&ht>i!HHy$cAU5DO5uI?85&5 zB1LlV9o9bf_hcee&=u2+u@7>l&%)BtD-~x$8%kb%50E7fSr263_0e%bcN6D4qy(h% z4ML;6Ltm|;)qpD}Gg9WQcU=2ujlA=!_0F-_zLIxZJ|^C|1mkL3ltox4?>uk4LtpRH ztZ1YhwZib5l$2+J*(MTwlE`zUD8eMHNQ zW&)hn{2yTt)Ye={&AcKJbsuO;;BZT791U}d$A+ee5Bu3n7eEI_RL}tX@D{6R0 z@@a6F_>|U9I%|Tu% z!%r~FqotuBUl^xf$_&ds$T2otepKEEn)=YrG%B^_F9i#z5-EB#VWO`nGViR$@}D?M zEPqc07jsIMe{fDLzm@Iclal3sWG(+`T+~*j(X@n7VCJ2E&}hABG~IWBj3#V3V+wh2 zqxIkpP-s~+n&zPCa-&J?oRkQ+_azq4lI)GUVf+k?wPB(mM?rsKdmru<&_D-`L0T6O z2_Dii13n(oM$c3*!cQYqCw+sGKBuG)DCyTK=^a(|eY28IcRakFwu~z2H@-&FTa@$} zCB0KgfAec3J*lMA)2M#mJCyWOmGsW4EZ?c5?^n|MmGlit`sS*ne?m!5V)*)fKdPjk zi2>-xe5xwxGfFz$1M#IFR??5DzV}xp{Z=KtUP)h4(qC252da{uQqm79ztcT$&l+vF zDCwhBN$*zD=auxLl3rHQi&aVQSJDqD>HC!QyOs3ms-zc{^y5nUK_z`rNuQ}o`aUIn zor=06O8Oc#;`UV~{e4RMtm=D8NpDeopRG#zfRcV#N#C!eKd7YduSz<7%f=f`t&2+f ztdjmYC4H_c>F-w3i%NP@Nq+?^D?ig7s7m^flD?#*&nf8-slLxwCA~vQr*D&czG&I3 zq+g_@AFN7xSxKiQsxN&(Nk6QlFH|M{W+k28@8?TjRMP2tWWL`IRVDo{CB0Kgr-iYn zPuoQ*+bmWkeN;(5qWpe9_5JIr?}w|BKCPtF`vAS3wj5H@zptb(RV95)Nk6LkKCh(z zt&)DED(SOIdWY)!aV7nH=Xd^A_(!3$*$WS+cW3==+h{&LPLRu5!{yP*{BGRwa6RQc z(NXCIWL6g0`O+8+_h@l6mAke$8nbI_qWkZmmusj7RU(CVb}de4+3Q7g=Dv5sV$1bi zcACGzezmNTaT@o867N_yi;ef{y{cI(@UrAr9q<>FIPgW)UsIq*WlD?=c|GP?hM^(~~ zDd}sK^utPei;~`1mGnAg`IM4gr!4<>CY(XN0jsfO8UH#K3$dcpDO8VRMZ_((oa!QH&d1L<4StHlD?#*Z&uRxRVDou zC4HTeend$hRMKawl73K0pHqGxP|_(K;U6YrSuO9cO8P@e`hF$7Ur9eAD`6{rt}5xL zsa&^NSsu$(>8V-mw9PBaAE-+DA=UQ<)%O|I_if7Z^HoXzCnf#3l73K0e@00^Se118 zgpT8fcQ><2dP+&BXQ=$BTc}FKU zU$PofR{u+URv%5L2;c8D zG-V*NQ!og93s62OHpo3dWL;;Fhk(q4oJIN*K&X%j49!0Rp?g*^EF&*44f)H^oQ~_V zRyW97fXuKj4Dx;;M{K|7eNAIQCeaBV#u}(3t^$ojrfGE}5E_+E`pr0_@+&+NXK3ys zq%Mkl8OTvwbQ*pe$bywl?P*_5UUht40zxeuawcM@1Blb`LLhRd-AL~OQs;SRJCFgd z?>7P|f{*J(8HkGnTuR&qWZu(|K67x=jm2&Xe}2yCLo0#>+tVPJ%#Rf^yUpj_^=u!S zbMUxmMEX-e4%t>D{bxXCJQ{kNW{1~{-=?LIM{_PAFd5WlS??vp^Tl=`v@dXd4}i$$ zO~!`PKXf^9`ein$i10y{> zO0qn^zmXU9&dXPX54T49el3trG<3OT5XeERAuc6u210j@9G|;@EMoZ$vhWYz_XN^m zbvEN79T_RaXC5@u5uZ}F8A@!%6&GWV6KBsCPXj4>$Ug(2&q|y=$AHXxG^gU>=s6EL z7f5GBL;9ZI*1mbK7Z-!3=pl_jWY*0Sr3C|7DvbVZwT=?KL=#dLtX?z#aZKTLi=VdwSqB<73fhRq zv)DC2d|%uEWFD=YK6xN(y;e5^DcW8Hne-U4U&1_lK(QJG!{db^j8l)#?bOQC@LnMM zy?#9mq#mN3&W{1v?6rCt$fD<+=Ydd=y1a1=$dcEp7GrduhnxdMR(fcRk_58WlYTjn zbza}M5dvCg`QHaZ)^gHIK-PGzZUM62ITA1VScrUfw~nJemTKSr54x$RR6>_D! zuY*8}o~)GK^R^_FuG6!NqX zpY`;49yFA(UHtqnAZvh_sH4oELmVb8f=c35&@AHU^r^$QIzDTWV4_^gXU2=>86nCN zRMvrJji+-95Gu~6-3s}AAO}5b>;`feEL}w10)%8ZK7R^iv+X;{_$m-8j^=S7Ydy~2 z2hwRZq+X0=^NG#YUqSC!Hd{n&cs6_it>!Ha$#@mWaZkfHV==bhla⩔Q91wAp5Lz z;xj}v9`Z*(QXX;u$bQfQgbjjluZ8&W^jQE+y(j%yAax${OCSqg{G4_U#)U`o9w4Kh zRo4TV_j-B*5ONI~vfpQb%y~M00my(S>+3{=7N!@dB>tWdPx_C6tnrXFzeAMK=sgRF zpA#+sa>%pBr9f!i@8V|@kPfe3yMWYLokwxjFq9pf9KmmXr1K-$2{|oJ4${+R_B@v# zF37d?;9w~`C1+!~d{*kiC3-@QIU>rWN;4~?EC%Dxysk2rpGuGAhEk9}o-Jofo74HB zv1}<3;5`LFrdSMedAzz0A)79hLnX`whR4(S^hkCnT`baDe9QEucdVCsiFgvwXnG>Z zWXc7w6M2QPq3m>~SjIILRN3gdTz+c>pI{_Ei3rSOi{)G)Z<~tgJbOefWo#3^z;;Vl zSHis3YCYAA(LH-bj8tj;3g`H)B0HA@m@mjp-+>}W%FIvc(K0QbOyJ%!TlU_3~= z(sEfxvgxwRnmdA~=GL~R#`X?WjhXRY`o?5qOKT!nU&xn(#^%d{#&&zs9yGS`Npov( znN(YX4yiT;LfzaLSlVPD44WKPoHYl@M9?+1 zC%tz9`m_d(;Kipc&<^b6tOM8GSyRvmrhE+@Yy{J=Q_XEbV={3O*XOZwjfY*Y3SeDNZpas#+I-@a@yWb{gJb#wsu${s!ObdSY28gn_F61 z+FQV)DcRoK*pzH-Y^>0wEi}DIYi+XDZ%tb3!-`NhG))V-VwRSkx+8LYE|VgdUK8u(cK`G83jW|qh0@pO3< zZ;?c8B8!2JA2@>iQnrY-OaR;r_Hd6)o76&SFRmA}x#o_xpfs5;=f<<3#$dzCM8RYa zQUvMM(ALzHL>x<==-s&4aI>S`ULx`!Yx4(jfI?YtNOpijc6>4@hF|LqtJ9`xRUDZZ zPUprZOW8!QsW&t`ez|BnY)~2sk&TjO7z;mZypTGZnZ%8Qy+Ni>*qx&+OJAZ?I4+MD z$Fk$uJhCPPSavC6-wEoXaC6focvwOo>@ULWy;jPK>z26`M5}^*paueu-IHa$;m-c9bX>2h7 z27B6C%oIZHN^ta4);84y>7gNaHTp8ROG!!?!`IS0gjdCG8OM}zMS21b3a-rMhf*7R zvl+_!mFF9$vlRQAvgOpqXrnC?+X{IK!1Ul)c1wQ!WT^yigcOx5uGqVcW=!cMbw#@G zfx3UW@gkP66S>eA7(=wPp(fjRZ0p;ybz_j~-MC}JHNp0c-Pf!Sy0`T9VM9f#vNoww zA(Ne$*h2opH`ifH{J%R}%4f%#n}RgB8oemU%;Zb$gJ477HQOz_AXFkqXU2!dAbfjy ztZQiK%E^3atv>2KHNhyIoXD2!u-!<*d_ByQgFl^V4KEqxTTC)Vgegjh>BbP4EQ%yB z3BrvZj)dMK{Tp>?{`6XlJZL#&07*$^Yy5``PBJ~Fsd zN^26+eY1(;6LQGZSYc!;T?*0@-L5#-JHx^34#N^<_UUY0c zn97zWXkin&7$2Y09WQIh-fVeC-ekKWn@z6FjfKe?5!Gu}TU)R~>=Gr(4n-4U$grWY z^4UG%{S-t*C?V%0NF0q%jF@%4qcL{^xa$xWageMZbLFw}#89bF4u%V*424{1ClGHf z3{8$@&5Dv#8!E`pZU_TLXF1nMADZ66PH#vq- zrv!yTJc{#d9zSlD4FgODvWV~u%C|$p6pMf;On8wHn$heGolSegi0Oljt_cJ|mTKY9 zsWf)j`bx|i-MPV1Ivk)m%B;a4QmYGRjOJ@|SgohWcI5XU+e+`k{a!dzg-dSa=8-&2 zYOW<5+?UJjUSGhZF0GKvB1?-H-YccYhCSKz?uH;(!S)T|vO2oOFu~ScNDpy8DbQ%~ z6OJFz3%YXR?Hes20fl)ts7O#~fzJgHrj&EVPr*nw+}2>BL(^wy)zI^jl+30mSRYNy z6{g{k41e-25p-wMQ#z~mjZL5x=0HkTVbTt;s|w0{i*(LE7m)}yWXG^eQ#n~CX?T#f zQ^8nq{WuJQouL_7(i<6>{w!n!W}?d*ZyCemBV&z1_C>5@r_nNGxtv-ekET1!O~`@a z8YE0WnaFSp={OESTgHSB)-rniAr(e@{4fZ7H<%3N%vcd6wD>|29hXjoGlEQjre7<~ zmHY_|Tfp-l1qjClJsB3nj2`~9&&kde27_VD-GUGgn#w2w;Eg=i%W{eDkOsl8Vm5|3Mbb2PUrM;fsi_HX;qt6-s2; zp`bJ|nj0p`6d)!8hm^1pG{VoLN)K4`PyjH>l)mBn;1{IMa+Z`eQDZoTx42{1LHx-` zB3OR5tW1Yk!iAb~6v)IMPASp(+9dCCWx#rQ0DJz-WEppCz%#lUb+f!(Lh$`RjvW5v z1I;)eO=U7;Se{`~ot_9+y%x>H+E%)jLxJWEGaie$tHc3{b@Om~atsNUx0K$RVq&u3FDb%jE+nkYWENdH zjbReNDsgx$jrYG=WAkGoY;1&o#d--Mms^X0SS3nBu7!s_!i2XzT`XgBN!xk)MO+p; zWrGty#Xygr4@~}16G4TiMz`cKYhh@LAkS6hLeZPEWMxb%!iwdwo7jd*ShSadso`8{ zq8yZQuM0dpztHUkZ>hKJjzEkOzb)}59O=M`R=ezw$TS`bQLYMS_~lm{vWg5FlhOP{ zHV6Y@;Xi0*&_HgeP}qi_RGM9|vx=RcBX09HT?Su1q0%Tp0~&IYY)&WZd+_unCGz zQgn)<(-g%_;cT-Monxq^iq%0xnKvEDu+vC7rlp&(hzUNB5!3L_|D-H=6hrVjQl(eN zSfpMkhMX)JEgUTOB1{ohxvVgkWR;<5KG8Mmlbe5?xg;ADMHvO@BwG~Sc4*-)+o55* z6y2lfK1B~GdPvbDhUTlIL0xb}c#@fZNX#)sPaJ9<_PCPQaY}v9m~Vc4=QQww1tymi zy`tzfMQ zQwG&}2u8QR{35~ZzD`#GP+M-feMk0AQ&-p7HNK9nDe9Z)R|k9W)q8+4qVZUZ^f7SU zQH~8|3G6_GA^o1}fv*<<{nW!LxT{IH{pr%|VN%9Lx&%055Ih89!OrW4hv1&#<=9OH z9c^kK%!nv|JJ;29c8#y2%gRmQpgXjRPOJrgBC15^FB&h#morespKkNAQL4r$i-m0z y;&J`1l2PEHjDwiJWhb6xmWSReM_KK_7VF0{6zj+G5bMYCpjURQ761PC{qqal_9zbk literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c new file mode 100644 index 0000000..2546973 --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c @@ -0,0 +1,9104 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/RAM.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; +static int ng3[] = {7, 0}; +static int ng4[] = {2, 0}; +static int ng5[] = {5, 0}; +static int ng6[] = {3, 0}; +static int ng7[] = {4, 0}; +static int ng8[] = {6, 0}; + + + +static void NetDecl_23_0(char *t0) +{ + char t5[8]; + char t21[8]; + char t29[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t18; + char *t19; + char *t20; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned int t30; + unsigned int t31; + unsigned int t32; + char *t33; + char *t34; + char *t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + char *t59; + char *t60; + char *t61; + unsigned int t62; + unsigned int t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t1 = (t0 + 7008U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(23, ng0); + t2 = (t0 + 5608); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (!(t14)); + t16 = *((unsigned int *)t13); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB8; + +LAB9: memcpy(t29, t5, 8); + +LAB10: t57 = (t0 + 11896); + t58 = (t57 + 56U); + t59 = *((char **)t58); + t60 = (t59 + 56U); + t61 = *((char **)t60); + memset(t61, 0, 8); + t62 = 1U; + t63 = t62; + t64 = (t29 + 4); + t65 = *((unsigned int *)t29); + t62 = (t62 & t65); + t66 = *((unsigned int *)t64); + t63 = (t63 & t66); + t67 = (t61 + 4); + t68 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t68 | t62); + t69 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t69 | t63); + xsi_driver_vfirst_trans(t57, 0, 0U); + t70 = (t0 + 11544); + *((int *)t70) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t18 = (t0 + 5768); + t19 = (t18 + 56U); + t20 = *((char **)t19); + memset(t21, 0, 8); + t22 = (t20 + 4); + t23 = *((unsigned int *)t22); + t24 = (~(t23)); + t25 = *((unsigned int *)t20); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t22) != 0) + goto LAB13; + +LAB14: t30 = *((unsigned int *)t5); + t31 = *((unsigned int *)t21); + t32 = (t30 | t31); + *((unsigned int *)t29) = t32; + t33 = (t5 + 4); + t34 = (t21 + 4); + t35 = (t29 + 4); + t36 = *((unsigned int *)t33); + t37 = *((unsigned int *)t34); + t38 = (t36 | t37); + *((unsigned int *)t35) = t38; + t39 = *((unsigned int *)t35); + t40 = (t39 != 0); + if (t40 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t21) = 1; + goto LAB14; + +LAB13: t28 = (t21 + 4); + *((unsigned int *)t21) = 1; + *((unsigned int *)t28) = 1; + goto LAB14; + +LAB15: t41 = *((unsigned int *)t29); + t42 = *((unsigned int *)t35); + *((unsigned int *)t29) = (t41 | t42); + t43 = (t5 + 4); + t44 = (t21 + 4); + t45 = *((unsigned int *)t43); + t46 = (~(t45)); + t47 = *((unsigned int *)t5); + t48 = (t47 & t46); + t49 = *((unsigned int *)t44); + t50 = (~(t49)); + t51 = *((unsigned int *)t21); + t52 = (t51 & t50); + t53 = (~(t48)); + t54 = (~(t52)); + t55 = *((unsigned int *)t35); + *((unsigned int *)t35) = (t55 & t53); + t56 = *((unsigned int *)t35); + *((unsigned int *)t35) = (t56 & t54); + goto LAB17; + +} + +static void NetDecl_24_1(char *t0) +{ + char t3[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t21; + char *t22; + char *t23; + char *t24; + char *t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned int t29; + unsigned int t30; + char *t31; + unsigned int t32; + unsigned int t33; + char *t34; + +LAB0: t1 = (t0 + 7256U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(24, ng0); + t2 = (t0 + 4248U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + t21 = (t0 + 11960); + t22 = (t21 + 56U); + t23 = *((char **)t22); + t24 = (t23 + 56U); + t25 = *((char **)t24); + memset(t25, 0, 8); + t26 = 1U; + t27 = t26; + t28 = (t3 + 4); + t29 = *((unsigned int *)t3); + t26 = (t26 & t29); + t30 = *((unsigned int *)t28); + t27 = (t27 & t30); + t31 = (t25 + 4); + t32 = *((unsigned int *)t25); + *((unsigned int *)t25) = (t32 | t26); + t33 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t33 | t27); + xsi_driver_vfirst_trans(t21, 0, 0U); + t34 = (t0 + 11560); + *((int *)t34) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +} + +static void Cont_27_2(char *t0) +{ + char t3[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t21; + char *t22; + char *t23; + char *t24; + char *t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned int t29; + unsigned int t30; + char *t31; + unsigned int t32; + unsigned int t33; + char *t34; + +LAB0: t1 = (t0 + 7504U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(27, ng0); + t2 = (t0 + 2328U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + t21 = (t0 + 12024); + t22 = (t21 + 56U); + t23 = *((char **)t22); + t24 = (t23 + 56U); + t25 = *((char **)t24); + memset(t25, 0, 8); + t26 = 1U; + t27 = t26; + t28 = (t3 + 4); + t29 = *((unsigned int *)t3); + t26 = (t26 & t29); + t30 = *((unsigned int *)t28); + t27 = (t27 & t30); + t31 = (t25 + 4); + t32 = *((unsigned int *)t25); + *((unsigned int *)t25) = (t32 | t26); + t33 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t33 | t27); + xsi_driver_vfirst_trans(t21, 0, 0); + t34 = (t0 + 11576); + *((int *)t34) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +} + +static void Cont_28_3(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t36[8]; + char t43[8]; + char t75[8]; + char t89[8]; + char t96[8]; + char t128[8]; + char t140[8]; + char t161[8]; + char t169[8]; + char t201[8]; + char t217[8]; + char t225[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + char *t95; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + int t120; + int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + char *t135; + char *t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + char *t141; + char *t142; + char *t143; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + char *t150; + char *t151; + char *t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + char *t162; + unsigned int t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + unsigned int t167; + char *t168; + unsigned int t170; + unsigned int t171; + unsigned int t172; + char *t173; + char *t174; + char *t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + char *t183; + char *t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + int t193; + int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + char *t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + char *t214; + char *t215; + char *t216; + char *t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + char *t224; + unsigned int t226; + unsigned int t227; + unsigned int t228; + char *t229; + char *t230; + char *t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + char *t239; + char *t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + int t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + int t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + unsigned int t252; + char *t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + char *t259; + char *t260; + char *t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + char *t270; + char *t271; + char *t272; + char *t273; + char *t274; + unsigned int t275; + unsigned int t276; + char *t277; + unsigned int t278; + unsigned int t279; + char *t280; + unsigned int t281; + unsigned int t282; + char *t283; + +LAB0: t1 = (t0 + 7752U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(28, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t43, t22, 8); + +LAB16: memset(t75, 0, 8); + t76 = (t43 + 4); + t77 = *((unsigned int *)t76); + t78 = (~(t77)); + t79 = *((unsigned int *)t43); + t80 = (t79 & t78); + t81 = (t80 & 1U); + if (t81 != 0) + goto LAB24; + +LAB25: if (*((unsigned int *)t76) != 0) + goto LAB26; + +LAB27: t83 = (t75 + 4); + t84 = *((unsigned int *)t75); + t85 = *((unsigned int *)t83); + t86 = (t84 || t85); + if (t86 > 0) + goto LAB28; + +LAB29: memcpy(t96, t75, 8); + +LAB30: memset(t128, 0, 8); + t129 = (t96 + 4); + t130 = *((unsigned int *)t129); + t131 = (~(t130)); + t132 = *((unsigned int *)t96); + t133 = (t132 & t131); + t134 = (t133 & 1U); + if (t134 != 0) + goto LAB38; + +LAB39: if (*((unsigned int *)t129) != 0) + goto LAB40; + +LAB41: t136 = (t128 + 4); + t137 = *((unsigned int *)t128); + t138 = *((unsigned int *)t136); + t139 = (t137 || t138); + if (t139 > 0) + goto LAB42; + +LAB43: memcpy(t169, t128, 8); + +LAB44: memset(t201, 0, 8); + t202 = (t169 + 4); + t203 = *((unsigned int *)t202); + t204 = (~(t203)); + t205 = *((unsigned int *)t169); + t206 = (t205 & t204); + t207 = (t206 & 1U); + if (t207 != 0) + goto LAB58; + +LAB59: if (*((unsigned int *)t202) != 0) + goto LAB60; + +LAB61: t209 = (t201 + 4); + t210 = *((unsigned int *)t201); + t211 = (!(t210)); + t212 = *((unsigned int *)t209); + t213 = (t211 || t212); + if (t213 > 0) + goto LAB62; + +LAB63: memcpy(t225, t201, 8); + +LAB64: memset(t3, 0, 8); + t253 = (t225 + 4); + t254 = *((unsigned int *)t253); + t255 = (~(t254)); + t256 = *((unsigned int *)t225); + t257 = (t256 & t255); + t258 = (t257 & 1U); + if (t258 != 0) + goto LAB75; + +LAB73: if (*((unsigned int *)t253) == 0) + goto LAB72; + +LAB74: t259 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t259) = 1; + +LAB75: t260 = (t3 + 4); + t261 = (t225 + 4); + t262 = *((unsigned int *)t225); + t263 = (~(t262)); + *((unsigned int *)t3) = t263; + *((unsigned int *)t260) = 0; + if (*((unsigned int *)t261) != 0) + goto LAB77; + +LAB76: t268 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t268 & 1U); + t269 = *((unsigned int *)t260); + *((unsigned int *)t260) = (t269 & 1U); + t270 = (t0 + 12088); + t271 = (t270 + 56U); + t272 = *((char **)t271); + t273 = (t272 + 56U); + t274 = *((char **)t273); + memset(t274, 0, 8); + t275 = 1U; + t276 = t275; + t277 = (t3 + 4); + t278 = *((unsigned int *)t3); + t275 = (t275 & t278); + t279 = *((unsigned int *)t277); + t276 = (t276 & t279); + t280 = (t274 + 4); + t281 = *((unsigned int *)t274); + *((unsigned int *)t274) = (t281 | t275); + t282 = *((unsigned int *)t280); + *((unsigned int *)t280) = (t282 | t276); + xsi_driver_vfirst_trans(t270, 0, 0); + t283 = (t0 + 11592); + *((int *)t283) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 2168U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t34) != 0) + goto LAB19; + +LAB20: t44 = *((unsigned int *)t22); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t22 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB19: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB20; + +LAB21: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t22 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t22); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB23; + +LAB24: *((unsigned int *)t75) = 1; + goto LAB27; + +LAB26: t82 = (t75 + 4); + *((unsigned int *)t75) = 1; + *((unsigned int *)t82) = 1; + goto LAB27; + +LAB28: t87 = (t0 + 4408U); + t88 = *((char **)t87); + memset(t89, 0, 8); + t87 = (t88 + 4); + t90 = *((unsigned int *)t87); + t91 = (~(t90)); + t92 = *((unsigned int *)t88); + t93 = (t92 & t91); + t94 = (t93 & 1U); + if (t94 != 0) + goto LAB31; + +LAB32: if (*((unsigned int *)t87) != 0) + goto LAB33; + +LAB34: t97 = *((unsigned int *)t75); + t98 = *((unsigned int *)t89); + t99 = (t97 & t98); + *((unsigned int *)t96) = t99; + t100 = (t75 + 4); + t101 = (t89 + 4); + t102 = (t96 + 4); + t103 = *((unsigned int *)t100); + t104 = *((unsigned int *)t101); + t105 = (t103 | t104); + *((unsigned int *)t102) = t105; + t106 = *((unsigned int *)t102); + t107 = (t106 != 0); + if (t107 == 1) + goto LAB35; + +LAB36: +LAB37: goto LAB30; + +LAB31: *((unsigned int *)t89) = 1; + goto LAB34; + +LAB33: t95 = (t89 + 4); + *((unsigned int *)t89) = 1; + *((unsigned int *)t95) = 1; + goto LAB34; + +LAB35: t108 = *((unsigned int *)t96); + t109 = *((unsigned int *)t102); + *((unsigned int *)t96) = (t108 | t109); + t110 = (t75 + 4); + t111 = (t89 + 4); + t112 = *((unsigned int *)t75); + t113 = (~(t112)); + t114 = *((unsigned int *)t110); + t115 = (~(t114)); + t116 = *((unsigned int *)t89); + t117 = (~(t116)); + t118 = *((unsigned int *)t111); + t119 = (~(t118)); + t120 = (t113 & t115); + t121 = (t117 & t119); + t122 = (~(t120)); + t123 = (~(t121)); + t124 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t124 & t122); + t125 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t125 & t123); + t126 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t126 & t122); + t127 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t127 & t123); + goto LAB37; + +LAB38: *((unsigned int *)t128) = 1; + goto LAB41; + +LAB40: t135 = (t128 + 4); + *((unsigned int *)t128) = 1; + *((unsigned int *)t135) = 1; + goto LAB41; + +LAB42: t141 = (t0 + 5928); + t142 = (t141 + 56U); + t143 = *((char **)t142); + memset(t140, 0, 8); + t144 = (t143 + 4); + t145 = *((unsigned int *)t144); + t146 = (~(t145)); + t147 = *((unsigned int *)t143); + t148 = (t147 & t146); + t149 = (t148 & 1U); + if (t149 != 0) + goto LAB48; + +LAB46: if (*((unsigned int *)t144) == 0) + goto LAB45; + +LAB47: t150 = (t140 + 4); + *((unsigned int *)t140) = 1; + *((unsigned int *)t150) = 1; + +LAB48: t151 = (t140 + 4); + t152 = (t143 + 4); + t153 = *((unsigned int *)t143); + t154 = (~(t153)); + *((unsigned int *)t140) = t154; + *((unsigned int *)t151) = 0; + if (*((unsigned int *)t152) != 0) + goto LAB50; + +LAB49: t159 = *((unsigned int *)t140); + *((unsigned int *)t140) = (t159 & 1U); + t160 = *((unsigned int *)t151); + *((unsigned int *)t151) = (t160 & 1U); + memset(t161, 0, 8); + t162 = (t140 + 4); + t163 = *((unsigned int *)t162); + t164 = (~(t163)); + t165 = *((unsigned int *)t140); + t166 = (t165 & t164); + t167 = (t166 & 1U); + if (t167 != 0) + goto LAB51; + +LAB52: if (*((unsigned int *)t162) != 0) + goto LAB53; + +LAB54: t170 = *((unsigned int *)t128); + t171 = *((unsigned int *)t161); + t172 = (t170 & t171); + *((unsigned int *)t169) = t172; + t173 = (t128 + 4); + t174 = (t161 + 4); + t175 = (t169 + 4); + t176 = *((unsigned int *)t173); + t177 = *((unsigned int *)t174); + t178 = (t176 | t177); + *((unsigned int *)t175) = t178; + t179 = *((unsigned int *)t175); + t180 = (t179 != 0); + if (t180 == 1) + goto LAB55; + +LAB56: +LAB57: goto LAB44; + +LAB45: *((unsigned int *)t140) = 1; + goto LAB48; + +LAB50: t155 = *((unsigned int *)t140); + t156 = *((unsigned int *)t152); + *((unsigned int *)t140) = (t155 | t156); + t157 = *((unsigned int *)t151); + t158 = *((unsigned int *)t152); + *((unsigned int *)t151) = (t157 | t158); + goto LAB49; + +LAB51: *((unsigned int *)t161) = 1; + goto LAB54; + +LAB53: t168 = (t161 + 4); + *((unsigned int *)t161) = 1; + *((unsigned int *)t168) = 1; + goto LAB54; + +LAB55: t181 = *((unsigned int *)t169); + t182 = *((unsigned int *)t175); + *((unsigned int *)t169) = (t181 | t182); + t183 = (t128 + 4); + t184 = (t161 + 4); + t185 = *((unsigned int *)t128); + t186 = (~(t185)); + t187 = *((unsigned int *)t183); + t188 = (~(t187)); + t189 = *((unsigned int *)t161); + t190 = (~(t189)); + t191 = *((unsigned int *)t184); + t192 = (~(t191)); + t193 = (t186 & t188); + t194 = (t190 & t192); + t195 = (~(t193)); + t196 = (~(t194)); + t197 = *((unsigned int *)t175); + *((unsigned int *)t175) = (t197 & t195); + t198 = *((unsigned int *)t175); + *((unsigned int *)t175) = (t198 & t196); + t199 = *((unsigned int *)t169); + *((unsigned int *)t169) = (t199 & t195); + t200 = *((unsigned int *)t169); + *((unsigned int *)t169) = (t200 & t196); + goto LAB57; + +LAB58: *((unsigned int *)t201) = 1; + goto LAB61; + +LAB60: t208 = (t201 + 4); + *((unsigned int *)t201) = 1; + *((unsigned int *)t208) = 1; + goto LAB61; + +LAB62: t214 = (t0 + 5928); + t215 = (t214 + 56U); + t216 = *((char **)t215); + memset(t217, 0, 8); + t218 = (t216 + 4); + t219 = *((unsigned int *)t218); + t220 = (~(t219)); + t221 = *((unsigned int *)t216); + t222 = (t221 & t220); + t223 = (t222 & 1U); + if (t223 != 0) + goto LAB65; + +LAB66: if (*((unsigned int *)t218) != 0) + goto LAB67; + +LAB68: t226 = *((unsigned int *)t201); + t227 = *((unsigned int *)t217); + t228 = (t226 | t227); + *((unsigned int *)t225) = t228; + t229 = (t201 + 4); + t230 = (t217 + 4); + t231 = (t225 + 4); + t232 = *((unsigned int *)t229); + t233 = *((unsigned int *)t230); + t234 = (t232 | t233); + *((unsigned int *)t231) = t234; + t235 = *((unsigned int *)t231); + t236 = (t235 != 0); + if (t236 == 1) + goto LAB69; + +LAB70: +LAB71: goto LAB64; + +LAB65: *((unsigned int *)t217) = 1; + goto LAB68; + +LAB67: t224 = (t217 + 4); + *((unsigned int *)t217) = 1; + *((unsigned int *)t224) = 1; + goto LAB68; + +LAB69: t237 = *((unsigned int *)t225); + t238 = *((unsigned int *)t231); + *((unsigned int *)t225) = (t237 | t238); + t239 = (t201 + 4); + t240 = (t217 + 4); + t241 = *((unsigned int *)t239); + t242 = (~(t241)); + t243 = *((unsigned int *)t201); + t244 = (t243 & t242); + t245 = *((unsigned int *)t240); + t246 = (~(t245)); + t247 = *((unsigned int *)t217); + t248 = (t247 & t246); + t249 = (~(t244)); + t250 = (~(t248)); + t251 = *((unsigned int *)t231); + *((unsigned int *)t231) = (t251 & t249); + t252 = *((unsigned int *)t231); + *((unsigned int *)t231) = (t252 & t250); + goto LAB71; + +LAB72: *((unsigned int *)t3) = 1; + goto LAB75; + +LAB77: t264 = *((unsigned int *)t3); + t265 = *((unsigned int *)t261); + *((unsigned int *)t3) = (t264 | t265); + t266 = *((unsigned int *)t260); + t267 = *((unsigned int *)t261); + *((unsigned int *)t260) = (t266 | t267); + goto LAB76; + +} + +static void Cont_29_4(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t36[8]; + char t43[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + char *t92; + char *t93; + char *t94; + char *t95; + char *t96; + unsigned int t97; + unsigned int t98; + char *t99; + unsigned int t100; + unsigned int t101; + char *t102; + unsigned int t103; + unsigned int t104; + char *t105; + +LAB0: t1 = (t0 + 8000U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(29, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t43, t22, 8); + +LAB16: memset(t3, 0, 8); + t75 = (t43 + 4); + t76 = *((unsigned int *)t75); + t77 = (~(t76)); + t78 = *((unsigned int *)t43); + t79 = (t78 & t77); + t80 = (t79 & 1U); + if (t80 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t75) == 0) + goto LAB24; + +LAB26: t81 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t81) = 1; + +LAB27: t82 = (t3 + 4); + t83 = (t43 + 4); + t84 = *((unsigned int *)t43); + t85 = (~(t84)); + *((unsigned int *)t3) = t85; + *((unsigned int *)t82) = 0; + if (*((unsigned int *)t83) != 0) + goto LAB29; + +LAB28: t90 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t90 & 1U); + t91 = *((unsigned int *)t82); + *((unsigned int *)t82) = (t91 & 1U); + t92 = (t0 + 12152); + t93 = (t92 + 56U); + t94 = *((char **)t93); + t95 = (t94 + 56U); + t96 = *((char **)t95); + memset(t96, 0, 8); + t97 = 1U; + t98 = t97; + t99 = (t3 + 4); + t100 = *((unsigned int *)t3); + t97 = (t97 & t100); + t101 = *((unsigned int *)t99); + t98 = (t98 & t101); + t102 = (t96 + 4); + t103 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t103 | t97); + t104 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t104 | t98); + xsi_driver_vfirst_trans(t92, 0, 0); + t105 = (t0 + 11608); + *((int *)t105) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 1368U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t34) != 0) + goto LAB19; + +LAB20: t44 = *((unsigned int *)t22); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t22 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB19: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB20; + +LAB21: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t22 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t22); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB23; + +LAB24: *((unsigned int *)t3) = 1; + goto LAB27; + +LAB29: t86 = *((unsigned int *)t3); + t87 = *((unsigned int *)t83); + *((unsigned int *)t3) = (t86 | t87); + t88 = *((unsigned int *)t82); + t89 = *((unsigned int *)t83); + *((unsigned int *)t82) = (t88 | t89); + goto LAB28; + +} + +static void Cont_30_5(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t34[8]; + char t53[8]; + char t61[8]; + char t93[8]; + char t105[8]; + char t124[8]; + char t132[8]; + char t164[8]; + char t178[8]; + char t185[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t35; + char *t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + char *t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + char *t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + char *t131; + unsigned int t133; + unsigned int t134; + unsigned int t135; + char *t136; + char *t137; + char *t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + char *t146; + char *t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + int t156; + int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + char *t165; + unsigned int t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + char *t171; + char *t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t176; + char *t177; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + char *t184; + unsigned int t186; + unsigned int t187; + unsigned int t188; + char *t189; + char *t190; + char *t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + char *t199; + char *t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + int t209; + int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + char *t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + char *t223; + char *t224; + char *t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + char *t234; + char *t235; + char *t236; + char *t237; + char *t238; + unsigned int t239; + unsigned int t240; + char *t241; + unsigned int t242; + unsigned int t243; + char *t244; + unsigned int t245; + unsigned int t246; + char *t247; + +LAB0: t1 = (t0 + 8248U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(30, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t61, t22, 8); + +LAB16: memset(t93, 0, 8); + t94 = (t61 + 4); + t95 = *((unsigned int *)t94); + t96 = (~(t95)); + t97 = *((unsigned int *)t61); + t98 = (t97 & t96); + t99 = (t98 & 1U); + if (t99 != 0) + goto LAB30; + +LAB31: if (*((unsigned int *)t94) != 0) + goto LAB32; + +LAB33: t101 = (t93 + 4); + t102 = *((unsigned int *)t93); + t103 = *((unsigned int *)t101); + t104 = (t102 || t103); + if (t104 > 0) + goto LAB34; + +LAB35: memcpy(t132, t93, 8); + +LAB36: memset(t164, 0, 8); + t165 = (t132 + 4); + t166 = *((unsigned int *)t165); + t167 = (~(t166)); + t168 = *((unsigned int *)t132); + t169 = (t168 & t167); + t170 = (t169 & 1U); + if (t170 != 0) + goto LAB50; + +LAB51: if (*((unsigned int *)t165) != 0) + goto LAB52; + +LAB53: t172 = (t164 + 4); + t173 = *((unsigned int *)t164); + t174 = *((unsigned int *)t172); + t175 = (t173 || t174); + if (t175 > 0) + goto LAB54; + +LAB55: memcpy(t185, t164, 8); + +LAB56: memset(t3, 0, 8); + t217 = (t185 + 4); + t218 = *((unsigned int *)t217); + t219 = (~(t218)); + t220 = *((unsigned int *)t185); + t221 = (t220 & t219); + t222 = (t221 & 1U); + if (t222 != 0) + goto LAB67; + +LAB65: if (*((unsigned int *)t217) == 0) + goto LAB64; + +LAB66: t223 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t223) = 1; + +LAB67: t224 = (t3 + 4); + t225 = (t185 + 4); + t226 = *((unsigned int *)t185); + t227 = (~(t226)); + *((unsigned int *)t3) = t227; + *((unsigned int *)t224) = 0; + if (*((unsigned int *)t225) != 0) + goto LAB69; + +LAB68: t232 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t232 & 1U); + t233 = *((unsigned int *)t224); + *((unsigned int *)t224) = (t233 & 1U); + t234 = (t0 + 12216); + t235 = (t234 + 56U); + t236 = *((char **)t235); + t237 = (t236 + 56U); + t238 = *((char **)t237); + memset(t238, 0, 8); + t239 = 1U; + t240 = t239; + t241 = (t3 + 4); + t242 = *((unsigned int *)t3); + t239 = (t239 & t242); + t243 = *((unsigned int *)t241); + t240 = (t240 & t243); + t244 = (t238 + 4); + t245 = *((unsigned int *)t238); + *((unsigned int *)t238) = (t245 | t239); + t246 = *((unsigned int *)t244); + *((unsigned int *)t244) = (t246 | t240); + xsi_driver_vfirst_trans(t234, 0, 0); + t247 = (t0 + 11624); + *((int *)t247) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t35 = (t0 + 1368U); + t36 = *((char **)t35); + memset(t34, 0, 8); + t35 = (t36 + 4); + t37 = *((unsigned int *)t35); + t38 = (~(t37)); + t39 = *((unsigned int *)t36); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t35) == 0) + goto LAB17; + +LAB19: t42 = (t34 + 4); + *((unsigned int *)t34) = 1; + *((unsigned int *)t42) = 1; + +LAB20: t43 = (t34 + 4); + t44 = (t36 + 4); + t45 = *((unsigned int *)t36); + t46 = (~(t45)); + *((unsigned int *)t34) = t46; + *((unsigned int *)t43) = 0; + if (*((unsigned int *)t44) != 0) + goto LAB22; + +LAB21: t51 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t51 & 1U); + t52 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t52 & 1U); + memset(t53, 0, 8); + t54 = (t34 + 4); + t55 = *((unsigned int *)t54); + t56 = (~(t55)); + t57 = *((unsigned int *)t34); + t58 = (t57 & t56); + t59 = (t58 & 1U); + if (t59 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t54) != 0) + goto LAB25; + +LAB26: t62 = *((unsigned int *)t22); + t63 = *((unsigned int *)t53); + t64 = (t62 & t63); + *((unsigned int *)t61) = t64; + t65 = (t22 + 4); + t66 = (t53 + 4); + t67 = (t61 + 4); + t68 = *((unsigned int *)t65); + t69 = *((unsigned int *)t66); + t70 = (t68 | t69); + *((unsigned int *)t67) = t70; + t71 = *((unsigned int *)t67); + t72 = (t71 != 0); + if (t72 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t34) = 1; + goto LAB20; + +LAB22: t47 = *((unsigned int *)t34); + t48 = *((unsigned int *)t44); + *((unsigned int *)t34) = (t47 | t48); + t49 = *((unsigned int *)t43); + t50 = *((unsigned int *)t44); + *((unsigned int *)t43) = (t49 | t50); + goto LAB21; + +LAB23: *((unsigned int *)t53) = 1; + goto LAB26; + +LAB25: t60 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t60) = 1; + goto LAB26; + +LAB27: t73 = *((unsigned int *)t61); + t74 = *((unsigned int *)t67); + *((unsigned int *)t61) = (t73 | t74); + t75 = (t22 + 4); + t76 = (t53 + 4); + t77 = *((unsigned int *)t22); + t78 = (~(t77)); + t79 = *((unsigned int *)t75); + t80 = (~(t79)); + t81 = *((unsigned int *)t53); + t82 = (~(t81)); + t83 = *((unsigned int *)t76); + t84 = (~(t83)); + t85 = (t78 & t80); + t86 = (t82 & t84); + t87 = (~(t85)); + t88 = (~(t86)); + t89 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t89 & t87); + t90 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t90 & t88); + t91 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t91 & t87); + t92 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t92 & t88); + goto LAB29; + +LAB30: *((unsigned int *)t93) = 1; + goto LAB33; + +LAB32: t100 = (t93 + 4); + *((unsigned int *)t93) = 1; + *((unsigned int *)t100) = 1; + goto LAB33; + +LAB34: t106 = (t0 + 1688U); + t107 = *((char **)t106); + memset(t105, 0, 8); + t106 = (t107 + 4); + t108 = *((unsigned int *)t106); + t109 = (~(t108)); + t110 = *((unsigned int *)t107); + t111 = (t110 & t109); + t112 = (t111 & 1U); + if (t112 != 0) + goto LAB40; + +LAB38: if (*((unsigned int *)t106) == 0) + goto LAB37; + +LAB39: t113 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t113) = 1; + +LAB40: t114 = (t105 + 4); + t115 = (t107 + 4); + t116 = *((unsigned int *)t107); + t117 = (~(t116)); + *((unsigned int *)t105) = t117; + *((unsigned int *)t114) = 0; + if (*((unsigned int *)t115) != 0) + goto LAB42; + +LAB41: t122 = *((unsigned int *)t105); + *((unsigned int *)t105) = (t122 & 1U); + t123 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t123 & 1U); + memset(t124, 0, 8); + t125 = (t105 + 4); + t126 = *((unsigned int *)t125); + t127 = (~(t126)); + t128 = *((unsigned int *)t105); + t129 = (t128 & t127); + t130 = (t129 & 1U); + if (t130 != 0) + goto LAB43; + +LAB44: if (*((unsigned int *)t125) != 0) + goto LAB45; + +LAB46: t133 = *((unsigned int *)t93); + t134 = *((unsigned int *)t124); + t135 = (t133 & t134); + *((unsigned int *)t132) = t135; + t136 = (t93 + 4); + t137 = (t124 + 4); + t138 = (t132 + 4); + t139 = *((unsigned int *)t136); + t140 = *((unsigned int *)t137); + t141 = (t139 | t140); + *((unsigned int *)t138) = t141; + t142 = *((unsigned int *)t138); + t143 = (t142 != 0); + if (t143 == 1) + goto LAB47; + +LAB48: +LAB49: goto LAB36; + +LAB37: *((unsigned int *)t105) = 1; + goto LAB40; + +LAB42: t118 = *((unsigned int *)t105); + t119 = *((unsigned int *)t115); + *((unsigned int *)t105) = (t118 | t119); + t120 = *((unsigned int *)t114); + t121 = *((unsigned int *)t115); + *((unsigned int *)t114) = (t120 | t121); + goto LAB41; + +LAB43: *((unsigned int *)t124) = 1; + goto LAB46; + +LAB45: t131 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t131) = 1; + goto LAB46; + +LAB47: t144 = *((unsigned int *)t132); + t145 = *((unsigned int *)t138); + *((unsigned int *)t132) = (t144 | t145); + t146 = (t93 + 4); + t147 = (t124 + 4); + t148 = *((unsigned int *)t93); + t149 = (~(t148)); + t150 = *((unsigned int *)t146); + t151 = (~(t150)); + t152 = *((unsigned int *)t124); + t153 = (~(t152)); + t154 = *((unsigned int *)t147); + t155 = (~(t154)); + t156 = (t149 & t151); + t157 = (t153 & t155); + t158 = (~(t156)); + t159 = (~(t157)); + t160 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t160 & t158); + t161 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t161 & t159); + t162 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t162 & t158); + t163 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t163 & t159); + goto LAB49; + +LAB50: *((unsigned int *)t164) = 1; + goto LAB53; + +LAB52: t171 = (t164 + 4); + *((unsigned int *)t164) = 1; + *((unsigned int *)t171) = 1; + goto LAB53; + +LAB54: t176 = (t0 + 4408U); + t177 = *((char **)t176); + memset(t178, 0, 8); + t176 = (t177 + 4); + t179 = *((unsigned int *)t176); + t180 = (~(t179)); + t181 = *((unsigned int *)t177); + t182 = (t181 & t180); + t183 = (t182 & 1U); + if (t183 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t176) != 0) + goto LAB59; + +LAB60: t186 = *((unsigned int *)t164); + t187 = *((unsigned int *)t178); + t188 = (t186 & t187); + *((unsigned int *)t185) = t188; + t189 = (t164 + 4); + t190 = (t178 + 4); + t191 = (t185 + 4); + t192 = *((unsigned int *)t189); + t193 = *((unsigned int *)t190); + t194 = (t192 | t193); + *((unsigned int *)t191) = t194; + t195 = *((unsigned int *)t191); + t196 = (t195 != 0); + if (t196 == 1) + goto LAB61; + +LAB62: +LAB63: goto LAB56; + +LAB57: *((unsigned int *)t178) = 1; + goto LAB60; + +LAB59: t184 = (t178 + 4); + *((unsigned int *)t178) = 1; + *((unsigned int *)t184) = 1; + goto LAB60; + +LAB61: t197 = *((unsigned int *)t185); + t198 = *((unsigned int *)t191); + *((unsigned int *)t185) = (t197 | t198); + t199 = (t164 + 4); + t200 = (t178 + 4); + t201 = *((unsigned int *)t164); + t202 = (~(t201)); + t203 = *((unsigned int *)t199); + t204 = (~(t203)); + t205 = *((unsigned int *)t178); + t206 = (~(t205)); + t207 = *((unsigned int *)t200); + t208 = (~(t207)); + t209 = (t202 & t204); + t210 = (t206 & t208); + t211 = (~(t209)); + t212 = (~(t210)); + t213 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t213 & t211); + t214 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t214 & t212); + t215 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t215 & t211); + t216 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t216 & t212); + goto LAB63; + +LAB64: *((unsigned int *)t3) = 1; + goto LAB67; + +LAB69: t228 = *((unsigned int *)t3); + t229 = *((unsigned int *)t225); + *((unsigned int *)t3) = (t228 | t229); + t230 = *((unsigned int *)t224); + t231 = *((unsigned int *)t225); + *((unsigned int *)t224) = (t230 | t231); + goto LAB68; + +} + +static void Cont_31_6(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t34[8]; + char t53[8]; + char t61[8]; + char t93[8]; + char t105[8]; + char t124[8]; + char t132[8]; + char t164[8]; + char t178[8]; + char t185[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t35; + char *t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + char *t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + char *t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + char *t131; + unsigned int t133; + unsigned int t134; + unsigned int t135; + char *t136; + char *t137; + char *t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + char *t146; + char *t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + int t156; + int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + char *t165; + unsigned int t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + char *t171; + char *t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t176; + char *t177; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + char *t184; + unsigned int t186; + unsigned int t187; + unsigned int t188; + char *t189; + char *t190; + char *t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + char *t199; + char *t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + int t209; + int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + char *t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + char *t223; + char *t224; + char *t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + char *t234; + char *t235; + char *t236; + char *t237; + char *t238; + unsigned int t239; + unsigned int t240; + char *t241; + unsigned int t242; + unsigned int t243; + char *t244; + unsigned int t245; + unsigned int t246; + char *t247; + +LAB0: t1 = (t0 + 8496U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(31, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t61, t22, 8); + +LAB16: memset(t93, 0, 8); + t94 = (t61 + 4); + t95 = *((unsigned int *)t94); + t96 = (~(t95)); + t97 = *((unsigned int *)t61); + t98 = (t97 & t96); + t99 = (t98 & 1U); + if (t99 != 0) + goto LAB30; + +LAB31: if (*((unsigned int *)t94) != 0) + goto LAB32; + +LAB33: t101 = (t93 + 4); + t102 = *((unsigned int *)t93); + t103 = *((unsigned int *)t101); + t104 = (t102 || t103); + if (t104 > 0) + goto LAB34; + +LAB35: memcpy(t132, t93, 8); + +LAB36: memset(t164, 0, 8); + t165 = (t132 + 4); + t166 = *((unsigned int *)t165); + t167 = (~(t166)); + t168 = *((unsigned int *)t132); + t169 = (t168 & t167); + t170 = (t169 & 1U); + if (t170 != 0) + goto LAB50; + +LAB51: if (*((unsigned int *)t165) != 0) + goto LAB52; + +LAB53: t172 = (t164 + 4); + t173 = *((unsigned int *)t164); + t174 = *((unsigned int *)t172); + t175 = (t173 || t174); + if (t175 > 0) + goto LAB54; + +LAB55: memcpy(t185, t164, 8); + +LAB56: memset(t3, 0, 8); + t217 = (t185 + 4); + t218 = *((unsigned int *)t217); + t219 = (~(t218)); + t220 = *((unsigned int *)t185); + t221 = (t220 & t219); + t222 = (t221 & 1U); + if (t222 != 0) + goto LAB67; + +LAB65: if (*((unsigned int *)t217) == 0) + goto LAB64; + +LAB66: t223 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t223) = 1; + +LAB67: t224 = (t3 + 4); + t225 = (t185 + 4); + t226 = *((unsigned int *)t185); + t227 = (~(t226)); + *((unsigned int *)t3) = t227; + *((unsigned int *)t224) = 0; + if (*((unsigned int *)t225) != 0) + goto LAB69; + +LAB68: t232 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t232 & 1U); + t233 = *((unsigned int *)t224); + *((unsigned int *)t224) = (t233 & 1U); + t234 = (t0 + 12280); + t235 = (t234 + 56U); + t236 = *((char **)t235); + t237 = (t236 + 56U); + t238 = *((char **)t237); + memset(t238, 0, 8); + t239 = 1U; + t240 = t239; + t241 = (t3 + 4); + t242 = *((unsigned int *)t3); + t239 = (t239 & t242); + t243 = *((unsigned int *)t241); + t240 = (t240 & t243); + t244 = (t238 + 4); + t245 = *((unsigned int *)t238); + *((unsigned int *)t238) = (t245 | t239); + t246 = *((unsigned int *)t244); + *((unsigned int *)t244) = (t246 | t240); + xsi_driver_vfirst_trans(t234, 0, 0); + t247 = (t0 + 11640); + *((int *)t247) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t35 = (t0 + 1368U); + t36 = *((char **)t35); + memset(t34, 0, 8); + t35 = (t36 + 4); + t37 = *((unsigned int *)t35); + t38 = (~(t37)); + t39 = *((unsigned int *)t36); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t35) == 0) + goto LAB17; + +LAB19: t42 = (t34 + 4); + *((unsigned int *)t34) = 1; + *((unsigned int *)t42) = 1; + +LAB20: t43 = (t34 + 4); + t44 = (t36 + 4); + t45 = *((unsigned int *)t36); + t46 = (~(t45)); + *((unsigned int *)t34) = t46; + *((unsigned int *)t43) = 0; + if (*((unsigned int *)t44) != 0) + goto LAB22; + +LAB21: t51 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t51 & 1U); + t52 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t52 & 1U); + memset(t53, 0, 8); + t54 = (t34 + 4); + t55 = *((unsigned int *)t54); + t56 = (~(t55)); + t57 = *((unsigned int *)t34); + t58 = (t57 & t56); + t59 = (t58 & 1U); + if (t59 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t54) != 0) + goto LAB25; + +LAB26: t62 = *((unsigned int *)t22); + t63 = *((unsigned int *)t53); + t64 = (t62 & t63); + *((unsigned int *)t61) = t64; + t65 = (t22 + 4); + t66 = (t53 + 4); + t67 = (t61 + 4); + t68 = *((unsigned int *)t65); + t69 = *((unsigned int *)t66); + t70 = (t68 | t69); + *((unsigned int *)t67) = t70; + t71 = *((unsigned int *)t67); + t72 = (t71 != 0); + if (t72 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t34) = 1; + goto LAB20; + +LAB22: t47 = *((unsigned int *)t34); + t48 = *((unsigned int *)t44); + *((unsigned int *)t34) = (t47 | t48); + t49 = *((unsigned int *)t43); + t50 = *((unsigned int *)t44); + *((unsigned int *)t43) = (t49 | t50); + goto LAB21; + +LAB23: *((unsigned int *)t53) = 1; + goto LAB26; + +LAB25: t60 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t60) = 1; + goto LAB26; + +LAB27: t73 = *((unsigned int *)t61); + t74 = *((unsigned int *)t67); + *((unsigned int *)t61) = (t73 | t74); + t75 = (t22 + 4); + t76 = (t53 + 4); + t77 = *((unsigned int *)t22); + t78 = (~(t77)); + t79 = *((unsigned int *)t75); + t80 = (~(t79)); + t81 = *((unsigned int *)t53); + t82 = (~(t81)); + t83 = *((unsigned int *)t76); + t84 = (~(t83)); + t85 = (t78 & t80); + t86 = (t82 & t84); + t87 = (~(t85)); + t88 = (~(t86)); + t89 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t89 & t87); + t90 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t90 & t88); + t91 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t91 & t87); + t92 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t92 & t88); + goto LAB29; + +LAB30: *((unsigned int *)t93) = 1; + goto LAB33; + +LAB32: t100 = (t93 + 4); + *((unsigned int *)t93) = 1; + *((unsigned int *)t100) = 1; + goto LAB33; + +LAB34: t106 = (t0 + 1848U); + t107 = *((char **)t106); + memset(t105, 0, 8); + t106 = (t107 + 4); + t108 = *((unsigned int *)t106); + t109 = (~(t108)); + t110 = *((unsigned int *)t107); + t111 = (t110 & t109); + t112 = (t111 & 1U); + if (t112 != 0) + goto LAB40; + +LAB38: if (*((unsigned int *)t106) == 0) + goto LAB37; + +LAB39: t113 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t113) = 1; + +LAB40: t114 = (t105 + 4); + t115 = (t107 + 4); + t116 = *((unsigned int *)t107); + t117 = (~(t116)); + *((unsigned int *)t105) = t117; + *((unsigned int *)t114) = 0; + if (*((unsigned int *)t115) != 0) + goto LAB42; + +LAB41: t122 = *((unsigned int *)t105); + *((unsigned int *)t105) = (t122 & 1U); + t123 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t123 & 1U); + memset(t124, 0, 8); + t125 = (t105 + 4); + t126 = *((unsigned int *)t125); + t127 = (~(t126)); + t128 = *((unsigned int *)t105); + t129 = (t128 & t127); + t130 = (t129 & 1U); + if (t130 != 0) + goto LAB43; + +LAB44: if (*((unsigned int *)t125) != 0) + goto LAB45; + +LAB46: t133 = *((unsigned int *)t93); + t134 = *((unsigned int *)t124); + t135 = (t133 & t134); + *((unsigned int *)t132) = t135; + t136 = (t93 + 4); + t137 = (t124 + 4); + t138 = (t132 + 4); + t139 = *((unsigned int *)t136); + t140 = *((unsigned int *)t137); + t141 = (t139 | t140); + *((unsigned int *)t138) = t141; + t142 = *((unsigned int *)t138); + t143 = (t142 != 0); + if (t143 == 1) + goto LAB47; + +LAB48: +LAB49: goto LAB36; + +LAB37: *((unsigned int *)t105) = 1; + goto LAB40; + +LAB42: t118 = *((unsigned int *)t105); + t119 = *((unsigned int *)t115); + *((unsigned int *)t105) = (t118 | t119); + t120 = *((unsigned int *)t114); + t121 = *((unsigned int *)t115); + *((unsigned int *)t114) = (t120 | t121); + goto LAB41; + +LAB43: *((unsigned int *)t124) = 1; + goto LAB46; + +LAB45: t131 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t131) = 1; + goto LAB46; + +LAB47: t144 = *((unsigned int *)t132); + t145 = *((unsigned int *)t138); + *((unsigned int *)t132) = (t144 | t145); + t146 = (t93 + 4); + t147 = (t124 + 4); + t148 = *((unsigned int *)t93); + t149 = (~(t148)); + t150 = *((unsigned int *)t146); + t151 = (~(t150)); + t152 = *((unsigned int *)t124); + t153 = (~(t152)); + t154 = *((unsigned int *)t147); + t155 = (~(t154)); + t156 = (t149 & t151); + t157 = (t153 & t155); + t158 = (~(t156)); + t159 = (~(t157)); + t160 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t160 & t158); + t161 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t161 & t159); + t162 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t162 & t158); + t163 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t163 & t159); + goto LAB49; + +LAB50: *((unsigned int *)t164) = 1; + goto LAB53; + +LAB52: t171 = (t164 + 4); + *((unsigned int *)t164) = 1; + *((unsigned int *)t171) = 1; + goto LAB53; + +LAB54: t176 = (t0 + 4408U); + t177 = *((char **)t176); + memset(t178, 0, 8); + t176 = (t177 + 4); + t179 = *((unsigned int *)t176); + t180 = (~(t179)); + t181 = *((unsigned int *)t177); + t182 = (t181 & t180); + t183 = (t182 & 1U); + if (t183 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t176) != 0) + goto LAB59; + +LAB60: t186 = *((unsigned int *)t164); + t187 = *((unsigned int *)t178); + t188 = (t186 & t187); + *((unsigned int *)t185) = t188; + t189 = (t164 + 4); + t190 = (t178 + 4); + t191 = (t185 + 4); + t192 = *((unsigned int *)t189); + t193 = *((unsigned int *)t190); + t194 = (t192 | t193); + *((unsigned int *)t191) = t194; + t195 = *((unsigned int *)t191); + t196 = (t195 != 0); + if (t196 == 1) + goto LAB61; + +LAB62: +LAB63: goto LAB56; + +LAB57: *((unsigned int *)t178) = 1; + goto LAB60; + +LAB59: t184 = (t178 + 4); + *((unsigned int *)t178) = 1; + *((unsigned int *)t184) = 1; + goto LAB60; + +LAB61: t197 = *((unsigned int *)t185); + t198 = *((unsigned int *)t191); + *((unsigned int *)t185) = (t197 | t198); + t199 = (t164 + 4); + t200 = (t178 + 4); + t201 = *((unsigned int *)t164); + t202 = (~(t201)); + t203 = *((unsigned int *)t199); + t204 = (~(t203)); + t205 = *((unsigned int *)t178); + t206 = (~(t205)); + t207 = *((unsigned int *)t200); + t208 = (~(t207)); + t209 = (t202 & t204); + t210 = (t206 & t208); + t211 = (~(t209)); + t212 = (~(t210)); + t213 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t213 & t211); + t214 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t214 & t212); + t215 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t215 & t211); + t216 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t216 & t212); + goto LAB63; + +LAB64: *((unsigned int *)t3) = 1; + goto LAB67; + +LAB69: t228 = *((unsigned int *)t3); + t229 = *((unsigned int *)t225); + *((unsigned int *)t3) = (t228 | t229); + t230 = *((unsigned int *)t224); + t231 = *((unsigned int *)t225); + *((unsigned int *)t224) = (t230 | t231); + goto LAB68; + +} + +static void Cont_32_7(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t34[8]; + char t53[8]; + char t61[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t35; + char *t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + char *t99; + char *t100; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + char *t112; + char *t113; + char *t114; + unsigned int t115; + unsigned int t116; + char *t117; + unsigned int t118; + unsigned int t119; + char *t120; + unsigned int t121; + unsigned int t122; + char *t123; + +LAB0: t1 = (t0 + 8744U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(32, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t61, t22, 8); + +LAB16: memset(t3, 0, 8); + t93 = (t61 + 4); + t94 = *((unsigned int *)t93); + t95 = (~(t94)); + t96 = *((unsigned int *)t61); + t97 = (t96 & t95); + t98 = (t97 & 1U); + if (t98 != 0) + goto LAB33; + +LAB31: if (*((unsigned int *)t93) == 0) + goto LAB30; + +LAB32: t99 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t99) = 1; + +LAB33: t100 = (t3 + 4); + t101 = (t61 + 4); + t102 = *((unsigned int *)t61); + t103 = (~(t102)); + *((unsigned int *)t3) = t103; + *((unsigned int *)t100) = 0; + if (*((unsigned int *)t101) != 0) + goto LAB35; + +LAB34: t108 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t108 & 1U); + t109 = *((unsigned int *)t100); + *((unsigned int *)t100) = (t109 & 1U); + t110 = (t0 + 12344); + t111 = (t110 + 56U); + t112 = *((char **)t111); + t113 = (t112 + 56U); + t114 = *((char **)t113); + memset(t114, 0, 8); + t115 = 1U; + t116 = t115; + t117 = (t3 + 4); + t118 = *((unsigned int *)t3); + t115 = (t115 & t118); + t119 = *((unsigned int *)t117); + t116 = (t116 & t119); + t120 = (t114 + 4); + t121 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t121 | t115); + t122 = *((unsigned int *)t120); + *((unsigned int *)t120) = (t122 | t116); + xsi_driver_vfirst_trans(t110, 0, 0); + t123 = (t0 + 11656); + *((int *)t123) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t35 = (t0 + 1368U); + t36 = *((char **)t35); + memset(t34, 0, 8); + t35 = (t36 + 4); + t37 = *((unsigned int *)t35); + t38 = (~(t37)); + t39 = *((unsigned int *)t36); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t35) == 0) + goto LAB17; + +LAB19: t42 = (t34 + 4); + *((unsigned int *)t34) = 1; + *((unsigned int *)t42) = 1; + +LAB20: t43 = (t34 + 4); + t44 = (t36 + 4); + t45 = *((unsigned int *)t36); + t46 = (~(t45)); + *((unsigned int *)t34) = t46; + *((unsigned int *)t43) = 0; + if (*((unsigned int *)t44) != 0) + goto LAB22; + +LAB21: t51 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t51 & 1U); + t52 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t52 & 1U); + memset(t53, 0, 8); + t54 = (t34 + 4); + t55 = *((unsigned int *)t54); + t56 = (~(t55)); + t57 = *((unsigned int *)t34); + t58 = (t57 & t56); + t59 = (t58 & 1U); + if (t59 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t54) != 0) + goto LAB25; + +LAB26: t62 = *((unsigned int *)t22); + t63 = *((unsigned int *)t53); + t64 = (t62 & t63); + *((unsigned int *)t61) = t64; + t65 = (t22 + 4); + t66 = (t53 + 4); + t67 = (t61 + 4); + t68 = *((unsigned int *)t65); + t69 = *((unsigned int *)t66); + t70 = (t68 | t69); + *((unsigned int *)t67) = t70; + t71 = *((unsigned int *)t67); + t72 = (t71 != 0); + if (t72 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t34) = 1; + goto LAB20; + +LAB22: t47 = *((unsigned int *)t34); + t48 = *((unsigned int *)t44); + *((unsigned int *)t34) = (t47 | t48); + t49 = *((unsigned int *)t43); + t50 = *((unsigned int *)t44); + *((unsigned int *)t43) = (t49 | t50); + goto LAB21; + +LAB23: *((unsigned int *)t53) = 1; + goto LAB26; + +LAB25: t60 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t60) = 1; + goto LAB26; + +LAB27: t73 = *((unsigned int *)t61); + t74 = *((unsigned int *)t67); + *((unsigned int *)t61) = (t73 | t74); + t75 = (t22 + 4); + t76 = (t53 + 4); + t77 = *((unsigned int *)t22); + t78 = (~(t77)); + t79 = *((unsigned int *)t75); + t80 = (~(t79)); + t81 = *((unsigned int *)t53); + t82 = (~(t81)); + t83 = *((unsigned int *)t76); + t84 = (~(t83)); + t85 = (t78 & t80); + t86 = (t82 & t84); + t87 = (~(t85)); + t88 = (~(t86)); + t89 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t89 & t87); + t90 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t90 & t88); + t91 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t91 & t87); + t92 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t92 & t88); + goto LAB29; + +LAB30: *((unsigned int *)t3) = 1; + goto LAB33; + +LAB35: t104 = *((unsigned int *)t3); + t105 = *((unsigned int *)t101); + *((unsigned int *)t3) = (t104 | t105); + t106 = *((unsigned int *)t100); + t107 = *((unsigned int *)t101); + *((unsigned int *)t100) = (t106 | t107); + goto LAB34; + +} + +static void Cont_34_8(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + unsigned int t17; + unsigned int t18; + char *t19; + unsigned int t20; + unsigned int t21; + char *t22; + unsigned int t23; + unsigned int t24; + char *t25; + +LAB0: t1 = (t0 + 8992U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(34, ng0); + t2 = (t0 + 1208U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t4 + 4); + t5 = (t3 + 4); + t6 = *((unsigned int *)t3); + t7 = (t6 >> 18); + t8 = (t7 & 1); + *((unsigned int *)t4) = t8; + t9 = *((unsigned int *)t5); + t10 = (t9 >> 18); + t11 = (t10 & 1); + *((unsigned int *)t2) = t11; + t12 = (t0 + 12408); + t13 = (t12 + 56U); + t14 = *((char **)t13); + t15 = (t14 + 56U); + t16 = *((char **)t15); + memset(t16, 0, 8); + t17 = 1U; + t18 = t17; + t19 = (t4 + 4); + t20 = *((unsigned int *)t4); + t17 = (t17 & t20); + t21 = *((unsigned int *)t19); + t18 = (t18 & t21); + t22 = (t16 + 4); + t23 = *((unsigned int *)t16); + *((unsigned int *)t16) = (t23 | t17); + t24 = *((unsigned int *)t22); + *((unsigned int *)t22) = (t24 | t18); + xsi_driver_vfirst_trans(t12, 11, 11); + t25 = (t0 + 11672); + *((int *)t25) = 1; + +LAB1: return; +} + +static void Cont_35_9(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + unsigned int t17; + unsigned int t18; + char *t19; + unsigned int t20; + unsigned int t21; + char *t22; + unsigned int t23; + unsigned int t24; + char *t25; + +LAB0: t1 = (t0 + 9240U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(35, ng0); + t2 = (t0 + 1208U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t4 + 4); + t5 = (t3 + 4); + t6 = *((unsigned int *)t3); + t7 = (t6 >> 20); + t8 = (t7 & 1); + *((unsigned int *)t4) = t8; + t9 = *((unsigned int *)t5); + t10 = (t9 >> 20); + t11 = (t10 & 1); + *((unsigned int *)t2) = t11; + t12 = (t0 + 12472); + t13 = (t12 + 56U); + t14 = *((char **)t13); + t15 = (t14 + 56U); + t16 = *((char **)t15); + memset(t16, 0, 8); + t17 = 1U; + t18 = t17; + t19 = (t4 + 4); + t20 = *((unsigned int *)t4); + t17 = (t17 & t20); + t21 = *((unsigned int *)t19); + t18 = (t18 & t21); + t22 = (t16 + 4); + t23 = *((unsigned int *)t16); + *((unsigned int *)t16) = (t23 | t17); + t24 = *((unsigned int *)t22); + *((unsigned int *)t22) = (t24 | t18); + xsi_driver_vfirst_trans(t12, 10, 10); + t25 = (t0 + 11688); + *((int *)t25) = 1; + +LAB1: return; +} + +static void Cont_36_10(char *t0) +{ + char t3[8]; + char t4[8]; + char t18[8]; + char t19[8]; + char t31[8]; + char t43[8]; + char t44[8]; + char t56[8]; + char *t1; + char *t2; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t20; + char *t21; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t45; + char *t46; + char *t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + char *t54; + char *t55; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + char *t65; + char *t66; + char *t67; + char *t68; + unsigned int t69; + unsigned int t70; + char *t71; + unsigned int t72; + unsigned int t73; + char *t74; + unsigned int t75; + unsigned int t76; + char *t77; + +LAB0: t1 = (t0 + 9488U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(36, ng0); + t2 = (t0 + 5448); + t5 = (t2 + 56U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t7 = (t6 + 4); + t8 = *((unsigned int *)t7); + t9 = (~(t8)); + t10 = *((unsigned int *)t6); + t11 = (t10 & t9); + t12 = (t11 & 1U); + if (t12 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t7) != 0) + goto LAB6; + +LAB7: t14 = (t4 + 4); + t15 = *((unsigned int *)t4); + t16 = *((unsigned int *)t14); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB8; + +LAB9: t39 = *((unsigned int *)t4); + t40 = (~(t39)); + t41 = *((unsigned int *)t14); + t42 = (t40 || t41); + if (t42 > 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t14) > 0) + goto LAB12; + +LAB13: if (*((unsigned int *)t4) > 0) + goto LAB14; + +LAB15: memcpy(t3, t43, 8); + +LAB16: t64 = (t0 + 12536); + t65 = (t64 + 56U); + t66 = *((char **)t65); + t67 = (t66 + 56U); + t68 = *((char **)t67); + memset(t68, 0, 8); + t69 = 1023U; + t70 = t69; + t71 = (t3 + 4); + t72 = *((unsigned int *)t3); + t69 = (t69 & t72); + t73 = *((unsigned int *)t71); + t70 = (t70 & t73); + t74 = (t68 + 4); + t75 = *((unsigned int *)t68); + *((unsigned int *)t68) = (t75 | t69); + t76 = *((unsigned int *)t74); + *((unsigned int *)t74) = (t76 | t70); + xsi_driver_vfirst_trans(t64, 0, 9); + t77 = (t0 + 11704); + *((int *)t77) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t13 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t13) = 1; + goto LAB7; + +LAB8: t20 = (t0 + 1208U); + t21 = *((char **)t20); + memset(t19, 0, 8); + t20 = (t19 + 4); + t22 = (t21 + 4); + t23 = *((unsigned int *)t21); + t24 = (t23 >> 0); + *((unsigned int *)t19) = t24; + t25 = *((unsigned int *)t22); + t26 = (t25 >> 0); + *((unsigned int *)t20) = t26; + t27 = *((unsigned int *)t19); + *((unsigned int *)t19) = (t27 & 511U); + t28 = *((unsigned int *)t20); + *((unsigned int *)t20) = (t28 & 511U); + t29 = (t0 + 1208U); + t30 = *((char **)t29); + memset(t31, 0, 8); + t29 = (t31 + 4); + t32 = (t30 + 4); + t33 = *((unsigned int *)t30); + t34 = (t33 >> 19); + t35 = (t34 & 1); + *((unsigned int *)t31) = t35; + t36 = *((unsigned int *)t32); + t37 = (t36 >> 19); + t38 = (t37 & 1); + *((unsigned int *)t29) = t38; + xsi_vlogtype_concat(t18, 10, 10, 2U, t31, 1, t19, 9); + goto LAB9; + +LAB10: t45 = (t0 + 1208U); + t46 = *((char **)t45); + memset(t44, 0, 8); + t45 = (t44 + 4); + t47 = (t46 + 4); + t48 = *((unsigned int *)t46); + t49 = (t48 >> 9); + *((unsigned int *)t44) = t49; + t50 = *((unsigned int *)t47); + t51 = (t50 >> 9); + *((unsigned int *)t45) = t51; + t52 = *((unsigned int *)t44); + *((unsigned int *)t44) = (t52 & 511U); + t53 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t53 & 511U); + t54 = (t0 + 1208U); + t55 = *((char **)t54); + memset(t56, 0, 8); + t54 = (t56 + 4); + t57 = (t55 + 4); + t58 = *((unsigned int *)t55); + t59 = (t58 >> 18); + t60 = (t59 & 1); + *((unsigned int *)t56) = t60; + t61 = *((unsigned int *)t57); + t62 = (t61 >> 18); + t63 = (t62 & 1); + *((unsigned int *)t54) = t63; + xsi_vlogtype_concat(t43, 10, 10, 2U, t56, 1, t44, 9); + goto LAB11; + +LAB12: xsi_vlog_unsigned_bit_combine(t3, 10, t18, 10, t43, 10); + goto LAB16; + +LAB14: memcpy(t3, t18, 8); + goto LAB16; + +} + +static void Always_38_11(char *t0) +{ + char t4[8]; + char t31[8]; + char t36[8]; + char t43[8]; + char t75[8]; + char t89[8]; + char t96[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + char *t95; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + int t120; + int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + char *t134; + char *t135; + +LAB0: t1 = (t0 + 9736U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(38, ng0); + t2 = (t0 + 11720); + *((int *)t2) = 1; + t3 = (t0 + 9768); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(38, ng0); + +LAB5: xsi_set_current_line(39, ng0); + t5 = (t0 + 2008U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(40, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t5 = *((char **)t3); + t6 = ((char*)((ng1))); + memset(t4, 0, 8); + t12 = (t5 + 4); + t13 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = *((unsigned int *)t6); + t9 = (t7 ^ t8); + t10 = *((unsigned int *)t12); + t11 = *((unsigned int *)t13); + t15 = (t10 ^ t11); + t16 = (t9 | t15); + t17 = *((unsigned int *)t12); + t18 = *((unsigned int *)t13); + t19 = (t17 | t18); + t20 = (~(t19)); + t21 = (t16 & t20); + if (t21 != 0) + goto LAB18; + +LAB15: if (t19 != 0) + goto LAB17; + +LAB16: *((unsigned int *)t4) = 1; + +LAB18: memset(t31, 0, 8); + t23 = (t4 + 4); + t22 = *((unsigned int *)t23); + t24 = (~(t22)); + t25 = *((unsigned int *)t4); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t23) != 0) + goto LAB21; + +LAB22: t30 = (t31 + 4); + t28 = *((unsigned int *)t31); + t32 = *((unsigned int *)t30); + t33 = (t28 || t32); + if (t33 > 0) + goto LAB23; + +LAB24: memcpy(t43, t31, 8); + +LAB25: memset(t75, 0, 8); + t76 = (t43 + 4); + t77 = *((unsigned int *)t76); + t78 = (~(t77)); + t79 = *((unsigned int *)t43); + t80 = (t79 & t78); + t81 = (t80 & 1U); + if (t81 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t76) != 0) + goto LAB35; + +LAB36: t83 = (t75 + 4); + t84 = *((unsigned int *)t75); + t85 = *((unsigned int *)t83); + t86 = (t84 || t85); + if (t86 > 0) + goto LAB37; + +LAB38: memcpy(t96, t75, 8); + +LAB39: t128 = (t96 + 4); + t129 = *((unsigned int *)t128); + t130 = (~(t129)); + t131 = *((unsigned int *)t96); + t132 = (t131 & t130); + t133 = (t132 != 0); + if (t133 > 0) + goto LAB47; + +LAB48: +LAB49: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(39, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + goto LAB14; + +LAB17: t14 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t14) = 1; + goto LAB18; + +LAB19: *((unsigned int *)t31) = 1; + goto LAB22; + +LAB21: t29 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t29) = 1; + goto LAB22; + +LAB23: t34 = (t0 + 2008U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t34) != 0) + goto LAB28; + +LAB29: t44 = *((unsigned int *)t31); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t31 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB30; + +LAB31: +LAB32: goto LAB25; + +LAB26: *((unsigned int *)t36) = 1; + goto LAB29; + +LAB28: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB29; + +LAB30: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t31 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t31); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB32; + +LAB33: *((unsigned int *)t75) = 1; + goto LAB36; + +LAB35: t82 = (t75 + 4); + *((unsigned int *)t75) = 1; + *((unsigned int *)t82) = 1; + goto LAB36; + +LAB37: t87 = (t0 + 2168U); + t88 = *((char **)t87); + memset(t89, 0, 8); + t87 = (t88 + 4); + t90 = *((unsigned int *)t87); + t91 = (~(t90)); + t92 = *((unsigned int *)t88); + t93 = (t92 & t91); + t94 = (t93 & 1U); + if (t94 != 0) + goto LAB40; + +LAB41: if (*((unsigned int *)t87) != 0) + goto LAB42; + +LAB43: t97 = *((unsigned int *)t75); + t98 = *((unsigned int *)t89); + t99 = (t97 & t98); + *((unsigned int *)t96) = t99; + t100 = (t75 + 4); + t101 = (t89 + 4); + t102 = (t96 + 4); + t103 = *((unsigned int *)t100); + t104 = *((unsigned int *)t101); + t105 = (t103 | t104); + *((unsigned int *)t102) = t105; + t106 = *((unsigned int *)t102); + t107 = (t106 != 0); + if (t107 == 1) + goto LAB44; + +LAB45: +LAB46: goto LAB39; + +LAB40: *((unsigned int *)t89) = 1; + goto LAB43; + +LAB42: t95 = (t89 + 4); + *((unsigned int *)t89) = 1; + *((unsigned int *)t95) = 1; + goto LAB43; + +LAB44: t108 = *((unsigned int *)t96); + t109 = *((unsigned int *)t102); + *((unsigned int *)t96) = (t108 | t109); + t110 = (t75 + 4); + t111 = (t89 + 4); + t112 = *((unsigned int *)t75); + t113 = (~(t112)); + t114 = *((unsigned int *)t110); + t115 = (~(t114)); + t116 = *((unsigned int *)t89); + t117 = (~(t116)); + t118 = *((unsigned int *)t111); + t119 = (~(t118)); + t120 = (t113 & t115); + t121 = (t117 & t119); + t122 = (~(t120)); + t123 = (~(t121)); + t124 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t124 & t122); + t125 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t125 & t123); + t126 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t126 & t122); + t127 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t127 & t123); + goto LAB46; + +LAB47: xsi_set_current_line(40, ng0); + t134 = ((char*)((ng2))); + t135 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t135, t134, 0, 0, 1, 0LL); + goto LAB49; + +} + +static void Always_42_12(char *t0) +{ + char t4[8]; + char t31[8]; + char t36[8]; + char t43[8]; + char t75[8]; + char t89[8]; + char t96[8]; + char t128[8]; + char t143[8]; + char t151[8]; + char t183[8]; + char t197[8]; + char t204[8]; + char t236[8]; + char t253[8]; + char t269[8]; + char t283[8]; + char t290[8]; + char t322[8]; + char t336[8]; + char t343[8]; + char t375[8]; + char t390[8]; + char t398[8]; + char t430[8]; + char t438[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + char *t95; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + int t120; + int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + char *t135; + char *t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + char *t140; + char *t141; + char *t142; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + char *t150; + unsigned int t152; + unsigned int t153; + unsigned int t154; + char *t155; + char *t156; + char *t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + char *t165; + char *t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + unsigned int t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + int t175; + int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + char *t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + char *t190; + char *t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + char *t195; + char *t196; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + char *t203; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t209; + char *t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + char *t218; + char *t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + int t228; + int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + char *t237; + unsigned int t238; + unsigned int t239; + unsigned int t240; + unsigned int t241; + unsigned int t242; + char *t243; + char *t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + unsigned int t248; + char *t249; + char *t250; + char *t251; + char *t252; + char *t254; + char *t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + char *t268; + char *t270; + unsigned int t271; + unsigned int t272; + unsigned int t273; + unsigned int t274; + unsigned int t275; + char *t276; + char *t277; + unsigned int t278; + unsigned int t279; + unsigned int t280; + char *t281; + char *t282; + unsigned int t284; + unsigned int t285; + unsigned int t286; + unsigned int t287; + unsigned int t288; + char *t289; + unsigned int t291; + unsigned int t292; + unsigned int t293; + char *t294; + char *t295; + char *t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + unsigned int t300; + unsigned int t301; + unsigned int t302; + unsigned int t303; + char *t304; + char *t305; + unsigned int t306; + unsigned int t307; + unsigned int t308; + unsigned int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + int t314; + int t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + unsigned int t319; + unsigned int t320; + unsigned int t321; + char *t323; + unsigned int t324; + unsigned int t325; + unsigned int t326; + unsigned int t327; + unsigned int t328; + char *t329; + char *t330; + unsigned int t331; + unsigned int t332; + unsigned int t333; + char *t334; + char *t335; + unsigned int t337; + unsigned int t338; + unsigned int t339; + unsigned int t340; + unsigned int t341; + char *t342; + unsigned int t344; + unsigned int t345; + unsigned int t346; + char *t347; + char *t348; + char *t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + unsigned int t354; + unsigned int t355; + unsigned int t356; + char *t357; + char *t358; + unsigned int t359; + unsigned int t360; + unsigned int t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + int t367; + int t368; + unsigned int t369; + unsigned int t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + unsigned int t374; + char *t376; + unsigned int t377; + unsigned int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + char *t382; + char *t383; + unsigned int t384; + unsigned int t385; + unsigned int t386; + char *t387; + char *t388; + char *t389; + char *t391; + unsigned int t392; + unsigned int t393; + unsigned int t394; + unsigned int t395; + unsigned int t396; + char *t397; + unsigned int t399; + unsigned int t400; + unsigned int t401; + char *t402; + char *t403; + char *t404; + unsigned int t405; + unsigned int t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + char *t412; + char *t413; + unsigned int t414; + unsigned int t415; + unsigned int t416; + unsigned int t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + int t422; + int t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + unsigned int t429; + char *t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + unsigned int t436; + char *t437; + unsigned int t439; + unsigned int t440; + unsigned int t441; + char *t442; + char *t443; + char *t444; + unsigned int t445; + unsigned int t446; + unsigned int t447; + unsigned int t448; + unsigned int t449; + unsigned int t450; + unsigned int t451; + char *t452; + char *t453; + unsigned int t454; + unsigned int t455; + unsigned int t456; + int t457; + unsigned int t458; + unsigned int t459; + unsigned int t460; + int t461; + unsigned int t462; + unsigned int t463; + unsigned int t464; + unsigned int t465; + char *t466; + unsigned int t467; + unsigned int t468; + unsigned int t469; + unsigned int t470; + unsigned int t471; + char *t472; + char *t473; + +LAB0: t1 = (t0 + 9984U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(42, ng0); + t2 = (t0 + 11736); + *((int *)t2) = 1; + t3 = (t0 + 10016); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(42, ng0); + +LAB5: xsi_set_current_line(43, ng0); + t5 = (t0 + 2008U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(44, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t5 = *((char **)t3); + t6 = ((char*)((ng1))); + memset(t4, 0, 8); + t12 = (t5 + 4); + t13 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = *((unsigned int *)t6); + t9 = (t7 ^ t8); + t10 = *((unsigned int *)t12); + t11 = *((unsigned int *)t13); + t15 = (t10 ^ t11); + t16 = (t9 | t15); + t17 = *((unsigned int *)t12); + t18 = *((unsigned int *)t13); + t19 = (t17 | t18); + t20 = (~(t19)); + t21 = (t16 & t20); + if (t21 != 0) + goto LAB18; + +LAB15: if (t19 != 0) + goto LAB17; + +LAB16: *((unsigned int *)t4) = 1; + +LAB18: memset(t31, 0, 8); + t23 = (t4 + 4); + t22 = *((unsigned int *)t23); + t24 = (~(t22)); + t25 = *((unsigned int *)t4); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t23) != 0) + goto LAB21; + +LAB22: t30 = (t31 + 4); + t28 = *((unsigned int *)t31); + t32 = *((unsigned int *)t30); + t33 = (t28 || t32); + if (t33 > 0) + goto LAB23; + +LAB24: memcpy(t43, t31, 8); + +LAB25: memset(t75, 0, 8); + t76 = (t43 + 4); + t77 = *((unsigned int *)t76); + t78 = (~(t77)); + t79 = *((unsigned int *)t43); + t80 = (t79 & t78); + t81 = (t80 & 1U); + if (t81 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t76) != 0) + goto LAB35; + +LAB36: t83 = (t75 + 4); + t84 = *((unsigned int *)t75); + t85 = *((unsigned int *)t83); + t86 = (t84 || t85); + if (t86 > 0) + goto LAB37; + +LAB38: memcpy(t96, t75, 8); + +LAB39: memset(t128, 0, 8); + t129 = (t96 + 4); + t130 = *((unsigned int *)t129); + t131 = (~(t130)); + t132 = *((unsigned int *)t96); + t133 = (t132 & t131); + t134 = (t133 & 1U); + if (t134 != 0) + goto LAB47; + +LAB48: if (*((unsigned int *)t129) != 0) + goto LAB49; + +LAB50: t136 = (t128 + 4); + t137 = *((unsigned int *)t128); + t138 = *((unsigned int *)t136); + t139 = (t137 || t138); + if (t139 > 0) + goto LAB51; + +LAB52: memcpy(t151, t128, 8); + +LAB53: memset(t183, 0, 8); + t184 = (t151 + 4); + t185 = *((unsigned int *)t184); + t186 = (~(t185)); + t187 = *((unsigned int *)t151); + t188 = (t187 & t186); + t189 = (t188 & 1U); + if (t189 != 0) + goto LAB61; + +LAB62: if (*((unsigned int *)t184) != 0) + goto LAB63; + +LAB64: t191 = (t183 + 4); + t192 = *((unsigned int *)t183); + t193 = *((unsigned int *)t191); + t194 = (t192 || t193); + if (t194 > 0) + goto LAB65; + +LAB66: memcpy(t204, t183, 8); + +LAB67: memset(t236, 0, 8); + t237 = (t204 + 4); + t238 = *((unsigned int *)t237); + t239 = (~(t238)); + t240 = *((unsigned int *)t204); + t241 = (t240 & t239); + t242 = (t241 & 1U); + if (t242 != 0) + goto LAB75; + +LAB76: if (*((unsigned int *)t237) != 0) + goto LAB77; + +LAB78: t244 = (t236 + 4); + t245 = *((unsigned int *)t236); + t246 = (!(t245)); + t247 = *((unsigned int *)t244); + t248 = (t246 || t247); + if (t248 > 0) + goto LAB79; + +LAB80: memcpy(t438, t236, 8); + +LAB81: t466 = (t438 + 4); + t467 = *((unsigned int *)t466); + t468 = (~(t467)); + t469 = *((unsigned int *)t438); + t470 = (t469 & t468); + t471 = (t470 != 0); + if (t471 > 0) + goto LAB135; + +LAB136: +LAB137: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(43, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 5768); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + goto LAB14; + +LAB17: t14 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t14) = 1; + goto LAB18; + +LAB19: *((unsigned int *)t31) = 1; + goto LAB22; + +LAB21: t29 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t29) = 1; + goto LAB22; + +LAB23: t34 = (t0 + 2008U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t34) != 0) + goto LAB28; + +LAB29: t44 = *((unsigned int *)t31); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t31 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB30; + +LAB31: +LAB32: goto LAB25; + +LAB26: *((unsigned int *)t36) = 1; + goto LAB29; + +LAB28: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB29; + +LAB30: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t31 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t31); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB32; + +LAB33: *((unsigned int *)t75) = 1; + goto LAB36; + +LAB35: t82 = (t75 + 4); + *((unsigned int *)t75) = 1; + *((unsigned int *)t82) = 1; + goto LAB36; + +LAB37: t87 = (t0 + 2808U); + t88 = *((char **)t87); + memset(t89, 0, 8); + t87 = (t88 + 4); + t90 = *((unsigned int *)t87); + t91 = (~(t90)); + t92 = *((unsigned int *)t88); + t93 = (t92 & t91); + t94 = (t93 & 1U); + if (t94 != 0) + goto LAB40; + +LAB41: if (*((unsigned int *)t87) != 0) + goto LAB42; + +LAB43: t97 = *((unsigned int *)t75); + t98 = *((unsigned int *)t89); + t99 = (t97 & t98); + *((unsigned int *)t96) = t99; + t100 = (t75 + 4); + t101 = (t89 + 4); + t102 = (t96 + 4); + t103 = *((unsigned int *)t100); + t104 = *((unsigned int *)t101); + t105 = (t103 | t104); + *((unsigned int *)t102) = t105; + t106 = *((unsigned int *)t102); + t107 = (t106 != 0); + if (t107 == 1) + goto LAB44; + +LAB45: +LAB46: goto LAB39; + +LAB40: *((unsigned int *)t89) = 1; + goto LAB43; + +LAB42: t95 = (t89 + 4); + *((unsigned int *)t89) = 1; + *((unsigned int *)t95) = 1; + goto LAB43; + +LAB44: t108 = *((unsigned int *)t96); + t109 = *((unsigned int *)t102); + *((unsigned int *)t96) = (t108 | t109); + t110 = (t75 + 4); + t111 = (t89 + 4); + t112 = *((unsigned int *)t75); + t113 = (~(t112)); + t114 = *((unsigned int *)t110); + t115 = (~(t114)); + t116 = *((unsigned int *)t89); + t117 = (~(t116)); + t118 = *((unsigned int *)t111); + t119 = (~(t118)); + t120 = (t113 & t115); + t121 = (t117 & t119); + t122 = (~(t120)); + t123 = (~(t121)); + t124 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t124 & t122); + t125 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t125 & t123); + t126 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t126 & t122); + t127 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t127 & t123); + goto LAB46; + +LAB47: *((unsigned int *)t128) = 1; + goto LAB50; + +LAB49: t135 = (t128 + 4); + *((unsigned int *)t128) = 1; + *((unsigned int *)t135) = 1; + goto LAB50; + +LAB51: t140 = (t0 + 5128); + t141 = (t140 + 56U); + t142 = *((char **)t141); + memset(t143, 0, 8); + t144 = (t142 + 4); + t145 = *((unsigned int *)t144); + t146 = (~(t145)); + t147 = *((unsigned int *)t142); + t148 = (t147 & t146); + t149 = (t148 & 1U); + if (t149 != 0) + goto LAB54; + +LAB55: if (*((unsigned int *)t144) != 0) + goto LAB56; + +LAB57: t152 = *((unsigned int *)t128); + t153 = *((unsigned int *)t143); + t154 = (t152 & t153); + *((unsigned int *)t151) = t154; + t155 = (t128 + 4); + t156 = (t143 + 4); + t157 = (t151 + 4); + t158 = *((unsigned int *)t155); + t159 = *((unsigned int *)t156); + t160 = (t158 | t159); + *((unsigned int *)t157) = t160; + t161 = *((unsigned int *)t157); + t162 = (t161 != 0); + if (t162 == 1) + goto LAB58; + +LAB59: +LAB60: goto LAB53; + +LAB54: *((unsigned int *)t143) = 1; + goto LAB57; + +LAB56: t150 = (t143 + 4); + *((unsigned int *)t143) = 1; + *((unsigned int *)t150) = 1; + goto LAB57; + +LAB58: t163 = *((unsigned int *)t151); + t164 = *((unsigned int *)t157); + *((unsigned int *)t151) = (t163 | t164); + t165 = (t128 + 4); + t166 = (t143 + 4); + t167 = *((unsigned int *)t128); + t168 = (~(t167)); + t169 = *((unsigned int *)t165); + t170 = (~(t169)); + t171 = *((unsigned int *)t143); + t172 = (~(t171)); + t173 = *((unsigned int *)t166); + t174 = (~(t173)); + t175 = (t168 & t170); + t176 = (t172 & t174); + t177 = (~(t175)); + t178 = (~(t176)); + t179 = *((unsigned int *)t157); + *((unsigned int *)t157) = (t179 & t177); + t180 = *((unsigned int *)t157); + *((unsigned int *)t157) = (t180 & t178); + t181 = *((unsigned int *)t151); + *((unsigned int *)t151) = (t181 & t177); + t182 = *((unsigned int *)t151); + *((unsigned int *)t151) = (t182 & t178); + goto LAB60; + +LAB61: *((unsigned int *)t183) = 1; + goto LAB64; + +LAB63: t190 = (t183 + 4); + *((unsigned int *)t183) = 1; + *((unsigned int *)t190) = 1; + goto LAB64; + +LAB65: t195 = (t0 + 2168U); + t196 = *((char **)t195); + memset(t197, 0, 8); + t195 = (t196 + 4); + t198 = *((unsigned int *)t195); + t199 = (~(t198)); + t200 = *((unsigned int *)t196); + t201 = (t200 & t199); + t202 = (t201 & 1U); + if (t202 != 0) + goto LAB68; + +LAB69: if (*((unsigned int *)t195) != 0) + goto LAB70; + +LAB71: t205 = *((unsigned int *)t183); + t206 = *((unsigned int *)t197); + t207 = (t205 & t206); + *((unsigned int *)t204) = t207; + t208 = (t183 + 4); + t209 = (t197 + 4); + t210 = (t204 + 4); + t211 = *((unsigned int *)t208); + t212 = *((unsigned int *)t209); + t213 = (t211 | t212); + *((unsigned int *)t210) = t213; + t214 = *((unsigned int *)t210); + t215 = (t214 != 0); + if (t215 == 1) + goto LAB72; + +LAB73: +LAB74: goto LAB67; + +LAB68: *((unsigned int *)t197) = 1; + goto LAB71; + +LAB70: t203 = (t197 + 4); + *((unsigned int *)t197) = 1; + *((unsigned int *)t203) = 1; + goto LAB71; + +LAB72: t216 = *((unsigned int *)t204); + t217 = *((unsigned int *)t210); + *((unsigned int *)t204) = (t216 | t217); + t218 = (t183 + 4); + t219 = (t197 + 4); + t220 = *((unsigned int *)t183); + t221 = (~(t220)); + t222 = *((unsigned int *)t218); + t223 = (~(t222)); + t224 = *((unsigned int *)t197); + t225 = (~(t224)); + t226 = *((unsigned int *)t219); + t227 = (~(t226)); + t228 = (t221 & t223); + t229 = (t225 & t227); + t230 = (~(t228)); + t231 = (~(t229)); + t232 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t232 & t230); + t233 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t233 & t231); + t234 = *((unsigned int *)t204); + *((unsigned int *)t204) = (t234 & t230); + t235 = *((unsigned int *)t204); + *((unsigned int *)t204) = (t235 & t231); + goto LAB74; + +LAB75: *((unsigned int *)t236) = 1; + goto LAB78; + +LAB77: t243 = (t236 + 4); + *((unsigned int *)t236) = 1; + *((unsigned int *)t243) = 1; + goto LAB78; + +LAB79: t249 = (t0 + 4968); + t250 = (t249 + 56U); + t251 = *((char **)t250); + t252 = ((char*)((ng3))); + memset(t253, 0, 8); + t254 = (t251 + 4); + t255 = (t252 + 4); + t256 = *((unsigned int *)t251); + t257 = *((unsigned int *)t252); + t258 = (t256 ^ t257); + t259 = *((unsigned int *)t254); + t260 = *((unsigned int *)t255); + t261 = (t259 ^ t260); + t262 = (t258 | t261); + t263 = *((unsigned int *)t254); + t264 = *((unsigned int *)t255); + t265 = (t263 | t264); + t266 = (~(t265)); + t267 = (t262 & t266); + if (t267 != 0) + goto LAB85; + +LAB82: if (t265 != 0) + goto LAB84; + +LAB83: *((unsigned int *)t253) = 1; + +LAB85: memset(t269, 0, 8); + t270 = (t253 + 4); + t271 = *((unsigned int *)t270); + t272 = (~(t271)); + t273 = *((unsigned int *)t253); + t274 = (t273 & t272); + t275 = (t274 & 1U); + if (t275 != 0) + goto LAB86; + +LAB87: if (*((unsigned int *)t270) != 0) + goto LAB88; + +LAB89: t277 = (t269 + 4); + t278 = *((unsigned int *)t269); + t279 = *((unsigned int *)t277); + t280 = (t278 || t279); + if (t280 > 0) + goto LAB90; + +LAB91: memcpy(t290, t269, 8); + +LAB92: memset(t322, 0, 8); + t323 = (t290 + 4); + t324 = *((unsigned int *)t323); + t325 = (~(t324)); + t326 = *((unsigned int *)t290); + t327 = (t326 & t325); + t328 = (t327 & 1U); + if (t328 != 0) + goto LAB100; + +LAB101: if (*((unsigned int *)t323) != 0) + goto LAB102; + +LAB103: t330 = (t322 + 4); + t331 = *((unsigned int *)t322); + t332 = *((unsigned int *)t330); + t333 = (t331 || t332); + if (t333 > 0) + goto LAB104; + +LAB105: memcpy(t343, t322, 8); + +LAB106: memset(t375, 0, 8); + t376 = (t343 + 4); + t377 = *((unsigned int *)t376); + t378 = (~(t377)); + t379 = *((unsigned int *)t343); + t380 = (t379 & t378); + t381 = (t380 & 1U); + if (t381 != 0) + goto LAB114; + +LAB115: if (*((unsigned int *)t376) != 0) + goto LAB116; + +LAB117: t383 = (t375 + 4); + t384 = *((unsigned int *)t375); + t385 = *((unsigned int *)t383); + t386 = (t384 || t385); + if (t386 > 0) + goto LAB118; + +LAB119: memcpy(t398, t375, 8); + +LAB120: memset(t430, 0, 8); + t431 = (t398 + 4); + t432 = *((unsigned int *)t431); + t433 = (~(t432)); + t434 = *((unsigned int *)t398); + t435 = (t434 & t433); + t436 = (t435 & 1U); + if (t436 != 0) + goto LAB128; + +LAB129: if (*((unsigned int *)t431) != 0) + goto LAB130; + +LAB131: t439 = *((unsigned int *)t236); + t440 = *((unsigned int *)t430); + t441 = (t439 | t440); + *((unsigned int *)t438) = t441; + t442 = (t236 + 4); + t443 = (t430 + 4); + t444 = (t438 + 4); + t445 = *((unsigned int *)t442); + t446 = *((unsigned int *)t443); + t447 = (t445 | t446); + *((unsigned int *)t444) = t447; + t448 = *((unsigned int *)t444); + t449 = (t448 != 0); + if (t449 == 1) + goto LAB132; + +LAB133: +LAB134: goto LAB81; + +LAB84: t268 = (t253 + 4); + *((unsigned int *)t253) = 1; + *((unsigned int *)t268) = 1; + goto LAB85; + +LAB86: *((unsigned int *)t269) = 1; + goto LAB89; + +LAB88: t276 = (t269 + 4); + *((unsigned int *)t269) = 1; + *((unsigned int *)t276) = 1; + goto LAB89; + +LAB90: t281 = (t0 + 2008U); + t282 = *((char **)t281); + memset(t283, 0, 8); + t281 = (t282 + 4); + t284 = *((unsigned int *)t281); + t285 = (~(t284)); + t286 = *((unsigned int *)t282); + t287 = (t286 & t285); + t288 = (t287 & 1U); + if (t288 != 0) + goto LAB93; + +LAB94: if (*((unsigned int *)t281) != 0) + goto LAB95; + +LAB96: t291 = *((unsigned int *)t269); + t292 = *((unsigned int *)t283); + t293 = (t291 & t292); + *((unsigned int *)t290) = t293; + t294 = (t269 + 4); + t295 = (t283 + 4); + t296 = (t290 + 4); + t297 = *((unsigned int *)t294); + t298 = *((unsigned int *)t295); + t299 = (t297 | t298); + *((unsigned int *)t296) = t299; + t300 = *((unsigned int *)t296); + t301 = (t300 != 0); + if (t301 == 1) + goto LAB97; + +LAB98: +LAB99: goto LAB92; + +LAB93: *((unsigned int *)t283) = 1; + goto LAB96; + +LAB95: t289 = (t283 + 4); + *((unsigned int *)t283) = 1; + *((unsigned int *)t289) = 1; + goto LAB96; + +LAB97: t302 = *((unsigned int *)t290); + t303 = *((unsigned int *)t296); + *((unsigned int *)t290) = (t302 | t303); + t304 = (t269 + 4); + t305 = (t283 + 4); + t306 = *((unsigned int *)t269); + t307 = (~(t306)); + t308 = *((unsigned int *)t304); + t309 = (~(t308)); + t310 = *((unsigned int *)t283); + t311 = (~(t310)); + t312 = *((unsigned int *)t305); + t313 = (~(t312)); + t314 = (t307 & t309); + t315 = (t311 & t313); + t316 = (~(t314)); + t317 = (~(t315)); + t318 = *((unsigned int *)t296); + *((unsigned int *)t296) = (t318 & t316); + t319 = *((unsigned int *)t296); + *((unsigned int *)t296) = (t319 & t317); + t320 = *((unsigned int *)t290); + *((unsigned int *)t290) = (t320 & t316); + t321 = *((unsigned int *)t290); + *((unsigned int *)t290) = (t321 & t317); + goto LAB99; + +LAB100: *((unsigned int *)t322) = 1; + goto LAB103; + +LAB102: t329 = (t322 + 4); + *((unsigned int *)t322) = 1; + *((unsigned int *)t329) = 1; + goto LAB103; + +LAB104: t334 = (t0 + 2808U); + t335 = *((char **)t334); + memset(t336, 0, 8); + t334 = (t335 + 4); + t337 = *((unsigned int *)t334); + t338 = (~(t337)); + t339 = *((unsigned int *)t335); + t340 = (t339 & t338); + t341 = (t340 & 1U); + if (t341 != 0) + goto LAB107; + +LAB108: if (*((unsigned int *)t334) != 0) + goto LAB109; + +LAB110: t344 = *((unsigned int *)t322); + t345 = *((unsigned int *)t336); + t346 = (t344 & t345); + *((unsigned int *)t343) = t346; + t347 = (t322 + 4); + t348 = (t336 + 4); + t349 = (t343 + 4); + t350 = *((unsigned int *)t347); + t351 = *((unsigned int *)t348); + t352 = (t350 | t351); + *((unsigned int *)t349) = t352; + t353 = *((unsigned int *)t349); + t354 = (t353 != 0); + if (t354 == 1) + goto LAB111; + +LAB112: +LAB113: goto LAB106; + +LAB107: *((unsigned int *)t336) = 1; + goto LAB110; + +LAB109: t342 = (t336 + 4); + *((unsigned int *)t336) = 1; + *((unsigned int *)t342) = 1; + goto LAB110; + +LAB111: t355 = *((unsigned int *)t343); + t356 = *((unsigned int *)t349); + *((unsigned int *)t343) = (t355 | t356); + t357 = (t322 + 4); + t358 = (t336 + 4); + t359 = *((unsigned int *)t322); + t360 = (~(t359)); + t361 = *((unsigned int *)t357); + t362 = (~(t361)); + t363 = *((unsigned int *)t336); + t364 = (~(t363)); + t365 = *((unsigned int *)t358); + t366 = (~(t365)); + t367 = (t360 & t362); + t368 = (t364 & t366); + t369 = (~(t367)); + t370 = (~(t368)); + t371 = *((unsigned int *)t349); + *((unsigned int *)t349) = (t371 & t369); + t372 = *((unsigned int *)t349); + *((unsigned int *)t349) = (t372 & t370); + t373 = *((unsigned int *)t343); + *((unsigned int *)t343) = (t373 & t369); + t374 = *((unsigned int *)t343); + *((unsigned int *)t343) = (t374 & t370); + goto LAB113; + +LAB114: *((unsigned int *)t375) = 1; + goto LAB117; + +LAB116: t382 = (t375 + 4); + *((unsigned int *)t375) = 1; + *((unsigned int *)t382) = 1; + goto LAB117; + +LAB118: t387 = (t0 + 5128); + t388 = (t387 + 56U); + t389 = *((char **)t388); + memset(t390, 0, 8); + t391 = (t389 + 4); + t392 = *((unsigned int *)t391); + t393 = (~(t392)); + t394 = *((unsigned int *)t389); + t395 = (t394 & t393); + t396 = (t395 & 1U); + if (t396 != 0) + goto LAB121; + +LAB122: if (*((unsigned int *)t391) != 0) + goto LAB123; + +LAB124: t399 = *((unsigned int *)t375); + t400 = *((unsigned int *)t390); + t401 = (t399 & t400); + *((unsigned int *)t398) = t401; + t402 = (t375 + 4); + t403 = (t390 + 4); + t404 = (t398 + 4); + t405 = *((unsigned int *)t402); + t406 = *((unsigned int *)t403); + t407 = (t405 | t406); + *((unsigned int *)t404) = t407; + t408 = *((unsigned int *)t404); + t409 = (t408 != 0); + if (t409 == 1) + goto LAB125; + +LAB126: +LAB127: goto LAB120; + +LAB121: *((unsigned int *)t390) = 1; + goto LAB124; + +LAB123: t397 = (t390 + 4); + *((unsigned int *)t390) = 1; + *((unsigned int *)t397) = 1; + goto LAB124; + +LAB125: t410 = *((unsigned int *)t398); + t411 = *((unsigned int *)t404); + *((unsigned int *)t398) = (t410 | t411); + t412 = (t375 + 4); + t413 = (t390 + 4); + t414 = *((unsigned int *)t375); + t415 = (~(t414)); + t416 = *((unsigned int *)t412); + t417 = (~(t416)); + t418 = *((unsigned int *)t390); + t419 = (~(t418)); + t420 = *((unsigned int *)t413); + t421 = (~(t420)); + t422 = (t415 & t417); + t423 = (t419 & t421); + t424 = (~(t422)); + t425 = (~(t423)); + t426 = *((unsigned int *)t404); + *((unsigned int *)t404) = (t426 & t424); + t427 = *((unsigned int *)t404); + *((unsigned int *)t404) = (t427 & t425); + t428 = *((unsigned int *)t398); + *((unsigned int *)t398) = (t428 & t424); + t429 = *((unsigned int *)t398); + *((unsigned int *)t398) = (t429 & t425); + goto LAB127; + +LAB128: *((unsigned int *)t430) = 1; + goto LAB131; + +LAB130: t437 = (t430 + 4); + *((unsigned int *)t430) = 1; + *((unsigned int *)t437) = 1; + goto LAB131; + +LAB132: t450 = *((unsigned int *)t438); + t451 = *((unsigned int *)t444); + *((unsigned int *)t438) = (t450 | t451); + t452 = (t236 + 4); + t453 = (t430 + 4); + t454 = *((unsigned int *)t452); + t455 = (~(t454)); + t456 = *((unsigned int *)t236); + t457 = (t456 & t455); + t458 = *((unsigned int *)t453); + t459 = (~(t458)); + t460 = *((unsigned int *)t430); + t461 = (t460 & t459); + t462 = (~(t457)); + t463 = (~(t461)); + t464 = *((unsigned int *)t444); + *((unsigned int *)t444) = (t464 & t462); + t465 = *((unsigned int *)t444); + *((unsigned int *)t444) = (t465 & t463); + goto LAB134; + +LAB135: xsi_set_current_line(45, ng0); + t472 = ((char*)((ng2))); + t473 = (t0 + 5768); + xsi_vlogvar_wait_assign_value(t473, t472, 0, 0, 1, 0LL); + goto LAB137; + +} + +static void Always_48_13(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + +LAB0: t1 = (t0 + 10232U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 11752); + *((int *)t2) = 1; + t3 = (t0 + 10264); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(48, ng0); + +LAB5: xsi_set_current_line(48, ng0); + t4 = (t0 + 2008U); + t5 = *((char **)t4); + t4 = (t0 + 6088); + xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_49_14(char *t0) +{ + char t8[8]; + char t32[8]; + char t45[8]; + char t52[8]; + char t84[8]; + char t96[8]; + char t115[8]; + char t123[8]; + char t155[8]; + char t167[8]; + char t188[8]; + char t196[8]; + char t228[8]; + char t241[8]; + char t260[8]; + char t274[8]; + char t281[8]; + char t313[8]; + char t321[8]; + char t349[8]; + char t364[8]; + char t377[8]; + char t384[8]; + char t416[8]; + char t428[8]; + char t447[8]; + char t455[8]; + char t487[8]; + char t495[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + char *t30; + char *t31; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + char *t38; + char *t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + char *t51; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + char *t97; + char *t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t105; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + char *t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + char *t122; + unsigned int t124; + unsigned int t125; + unsigned int t126; + char *t127; + char *t128; + char *t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + char *t137; + char *t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + int t147; + int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + unsigned int t154; + char *t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + char *t162; + char *t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + char *t168; + char *t169; + char *t170; + char *t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + unsigned int t176; + char *t177; + char *t178; + char *t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + char *t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + char *t195; + unsigned int t197; + unsigned int t198; + unsigned int t199; + char *t200; + char *t201; + char *t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + unsigned int t209; + char *t210; + char *t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + int t220; + int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + char *t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + char *t235; + char *t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + unsigned int t240; + char *t242; + char *t243; + unsigned int t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + unsigned int t248; + char *t249; + char *t250; + char *t251; + unsigned int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + unsigned int t259; + char *t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + char *t267; + char *t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + char *t272; + char *t273; + unsigned int t275; + unsigned int t276; + unsigned int t277; + unsigned int t278; + unsigned int t279; + char *t280; + unsigned int t282; + unsigned int t283; + unsigned int t284; + char *t285; + char *t286; + char *t287; + unsigned int t288; + unsigned int t289; + unsigned int t290; + unsigned int t291; + unsigned int t292; + unsigned int t293; + unsigned int t294; + char *t295; + char *t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + unsigned int t300; + unsigned int t301; + unsigned int t302; + unsigned int t303; + unsigned int t304; + int t305; + int t306; + unsigned int t307; + unsigned int t308; + unsigned int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + char *t314; + unsigned int t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + unsigned int t319; + char *t320; + unsigned int t322; + unsigned int t323; + unsigned int t324; + char *t325; + char *t326; + char *t327; + unsigned int t328; + unsigned int t329; + unsigned int t330; + unsigned int t331; + unsigned int t332; + unsigned int t333; + unsigned int t334; + char *t335; + char *t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + int t340; + unsigned int t341; + unsigned int t342; + unsigned int t343; + int t344; + unsigned int t345; + unsigned int t346; + unsigned int t347; + unsigned int t348; + char *t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + unsigned int t354; + unsigned int t355; + char *t356; + char *t357; + unsigned int t358; + unsigned int t359; + unsigned int t360; + unsigned int t361; + char *t362; + char *t363; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + unsigned int t369; + char *t370; + char *t371; + unsigned int t372; + unsigned int t373; + unsigned int t374; + char *t375; + char *t376; + unsigned int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + char *t383; + unsigned int t385; + unsigned int t386; + unsigned int t387; + char *t388; + char *t389; + char *t390; + unsigned int t391; + unsigned int t392; + unsigned int t393; + unsigned int t394; + unsigned int t395; + unsigned int t396; + unsigned int t397; + char *t398; + char *t399; + unsigned int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + unsigned int t404; + unsigned int t405; + unsigned int t406; + unsigned int t407; + int t408; + int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + unsigned int t415; + char *t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + char *t423; + char *t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + char *t429; + char *t430; + unsigned int t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + char *t436; + char *t437; + char *t438; + unsigned int t439; + unsigned int t440; + unsigned int t441; + unsigned int t442; + unsigned int t443; + unsigned int t444; + unsigned int t445; + unsigned int t446; + char *t448; + unsigned int t449; + unsigned int t450; + unsigned int t451; + unsigned int t452; + unsigned int t453; + char *t454; + unsigned int t456; + unsigned int t457; + unsigned int t458; + char *t459; + char *t460; + char *t461; + unsigned int t462; + unsigned int t463; + unsigned int t464; + unsigned int t465; + unsigned int t466; + unsigned int t467; + unsigned int t468; + char *t469; + char *t470; + unsigned int t471; + unsigned int t472; + unsigned int t473; + unsigned int t474; + unsigned int t475; + unsigned int t476; + unsigned int t477; + unsigned int t478; + int t479; + int t480; + unsigned int t481; + unsigned int t482; + unsigned int t483; + unsigned int t484; + unsigned int t485; + unsigned int t486; + char *t488; + unsigned int t489; + unsigned int t490; + unsigned int t491; + unsigned int t492; + unsigned int t493; + char *t494; + unsigned int t496; + unsigned int t497; + unsigned int t498; + char *t499; + char *t500; + char *t501; + unsigned int t502; + unsigned int t503; + unsigned int t504; + unsigned int t505; + unsigned int t506; + unsigned int t507; + unsigned int t508; + char *t509; + char *t510; + unsigned int t511; + unsigned int t512; + unsigned int t513; + int t514; + unsigned int t515; + unsigned int t516; + unsigned int t517; + int t518; + unsigned int t519; + unsigned int t520; + unsigned int t521; + unsigned int t522; + char *t523; + unsigned int t524; + unsigned int t525; + unsigned int t526; + unsigned int t527; + unsigned int t528; + char *t529; + char *t530; + +LAB0: t1 = (t0 + 10480U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 11768); + *((int *)t2) = 1; + t3 = (t0 + 10512); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(49, ng0); + +LAB5: xsi_set_current_line(50, ng0); + t4 = (t0 + 4968); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng1))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: t24 = (t8 + 4); + t25 = *((unsigned int *)t24); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB10; + +LAB11: xsi_set_current_line(79, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB228; + +LAB225: if (t20 != 0) + goto LAB227; + +LAB226: *((unsigned int *)t8) = 1; + +LAB228: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB229; + +LAB230: xsi_set_current_line(85, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng4))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB236; + +LAB233: if (t20 != 0) + goto LAB235; + +LAB234: *((unsigned int *)t8) = 1; + +LAB236: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB237; + +LAB238: xsi_set_current_line(91, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng6))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB244; + +LAB241: if (t20 != 0) + goto LAB243; + +LAB242: *((unsigned int *)t8) = 1; + +LAB244: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB245; + +LAB246: xsi_set_current_line(97, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng7))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB252; + +LAB249: if (t20 != 0) + goto LAB251; + +LAB250: *((unsigned int *)t8) = 1; + +LAB252: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB253; + +LAB254: xsi_set_current_line(103, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng5))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB260; + +LAB257: if (t20 != 0) + goto LAB259; + +LAB258: *((unsigned int *)t8) = 1; + +LAB260: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB261; + +LAB262: xsi_set_current_line(109, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng8))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB268; + +LAB265: if (t20 != 0) + goto LAB267; + +LAB266: *((unsigned int *)t8) = 1; + +LAB268: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB269; + +LAB270: xsi_set_current_line(115, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng3))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB276; + +LAB273: if (t20 != 0) + goto LAB275; + +LAB274: *((unsigned int *)t8) = 1; + +LAB276: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB277; + +LAB278: +LAB279: +LAB271: +LAB263: +LAB255: +LAB247: +LAB239: +LAB231: +LAB12: goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: xsi_set_current_line(50, ng0); + +LAB13: xsi_set_current_line(51, ng0); + t30 = (t0 + 2008U); + t31 = *((char **)t30); + memset(t32, 0, 8); + t30 = (t31 + 4); + t33 = *((unsigned int *)t30); + t34 = (~(t33)); + t35 = *((unsigned int *)t31); + t36 = (t35 & t34); + t37 = (t36 & 1U); + if (t37 != 0) + goto LAB14; + +LAB15: if (*((unsigned int *)t30) != 0) + goto LAB16; + +LAB17: t39 = (t32 + 4); + t40 = *((unsigned int *)t32); + t41 = *((unsigned int *)t39); + t42 = (t40 || t41); + if (t42 > 0) + goto LAB18; + +LAB19: memcpy(t52, t32, 8); + +LAB20: memset(t84, 0, 8); + t85 = (t52 + 4); + t86 = *((unsigned int *)t85); + t87 = (~(t86)); + t88 = *((unsigned int *)t52); + t89 = (t88 & t87); + t90 = (t89 & 1U); + if (t90 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t85) != 0) + goto LAB30; + +LAB31: t92 = (t84 + 4); + t93 = *((unsigned int *)t84); + t94 = *((unsigned int *)t92); + t95 = (t93 || t94); + if (t95 > 0) + goto LAB32; + +LAB33: memcpy(t123, t84, 8); + +LAB34: memset(t155, 0, 8); + t156 = (t123 + 4); + t157 = *((unsigned int *)t156); + t158 = (~(t157)); + t159 = *((unsigned int *)t123); + t160 = (t159 & t158); + t161 = (t160 & 1U); + if (t161 != 0) + goto LAB48; + +LAB49: if (*((unsigned int *)t156) != 0) + goto LAB50; + +LAB51: t163 = (t155 + 4); + t164 = *((unsigned int *)t155); + t165 = *((unsigned int *)t163); + t166 = (t164 || t165); + if (t166 > 0) + goto LAB52; + +LAB53: memcpy(t196, t155, 8); + +LAB54: memset(t228, 0, 8); + t229 = (t196 + 4); + t230 = *((unsigned int *)t229); + t231 = (~(t230)); + t232 = *((unsigned int *)t196); + t233 = (t232 & t231); + t234 = (t233 & 1U); + if (t234 != 0) + goto LAB68; + +LAB69: if (*((unsigned int *)t229) != 0) + goto LAB70; + +LAB71: t236 = (t228 + 4); + t237 = *((unsigned int *)t228); + t238 = (!(t237)); + t239 = *((unsigned int *)t236); + t240 = (t238 || t239); + if (t240 > 0) + goto LAB72; + +LAB73: memcpy(t321, t228, 8); + +LAB74: memset(t349, 0, 8); + t350 = (t321 + 4); + t351 = *((unsigned int *)t350); + t352 = (~(t351)); + t353 = *((unsigned int *)t321); + t354 = (t353 & t352); + t355 = (t354 & 1U); + if (t355 != 0) + goto LAB102; + +LAB103: if (*((unsigned int *)t350) != 0) + goto LAB104; + +LAB105: t357 = (t349 + 4); + t358 = *((unsigned int *)t349); + t359 = (!(t358)); + t360 = *((unsigned int *)t357); + t361 = (t359 || t360); + if (t361 > 0) + goto LAB106; + +LAB107: memcpy(t495, t349, 8); + +LAB108: t523 = (t495 + 4); + t524 = *((unsigned int *)t523); + t525 = (~(t524)); + t526 = *((unsigned int *)t495); + t527 = (t526 & t525); + t528 = (t527 != 0); + if (t528 > 0) + goto LAB150; + +LAB151: xsi_set_current_line(58, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB154; + +LAB155: if (*((unsigned int *)t2) != 0) + goto LAB156; + +LAB157: t5 = (t8 + 4); + t16 = *((unsigned int *)t8); + t17 = *((unsigned int *)t5); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB158; + +LAB159: memcpy(t45, t8, 8); + +LAB160: memset(t52, 0, 8); + t38 = (t45 + 4); + t63 = *((unsigned int *)t38); + t64 = (~(t63)); + t65 = *((unsigned int *)t45); + t68 = (t65 & t64); + t69 = (t68 & 1U); + if (t69 != 0) + goto LAB168; + +LAB169: if (*((unsigned int *)t38) != 0) + goto LAB170; + +LAB171: t43 = (t52 + 4); + t70 = *((unsigned int *)t52); + t71 = *((unsigned int *)t43); + t72 = (t70 || t71); + if (t72 > 0) + goto LAB172; + +LAB173: memcpy(t115, t52, 8); + +LAB174: t106 = (t115 + 4); + t134 = *((unsigned int *)t106); + t135 = (~(t134)); + t136 = *((unsigned int *)t115); + t139 = (t136 & t135); + t140 = (t139 != 0); + if (t140 > 0) + goto LAB188; + +LAB189: xsi_set_current_line(64, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB192; + +LAB193: if (*((unsigned int *)t2) != 0) + goto LAB194; + +LAB195: t5 = (t8 + 4); + t16 = *((unsigned int *)t8); + t17 = *((unsigned int *)t5); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB196; + +LAB197: memcpy(t45, t8, 8); + +LAB198: memset(t52, 0, 8); + t38 = (t45 + 4); + t63 = *((unsigned int *)t38); + t64 = (~(t63)); + t65 = *((unsigned int *)t45); + t68 = (t65 & t64); + t69 = (t68 & 1U); + if (t69 != 0) + goto LAB206; + +LAB207: if (*((unsigned int *)t38) != 0) + goto LAB208; + +LAB209: t43 = (t52 + 4); + t70 = *((unsigned int *)t52); + t71 = *((unsigned int *)t43); + t72 = (t70 || t71); + if (t72 > 0) + goto LAB210; + +LAB211: memcpy(t96, t52, 8); + +LAB212: t91 = (t96 + 4); + t114 = *((unsigned int *)t91); + t117 = (~(t114)); + t118 = *((unsigned int *)t96); + t119 = (t118 & t117); + t120 = (t119 != 0); + if (t120 > 0) + goto LAB220; + +LAB221: xsi_set_current_line(71, ng0); + +LAB224: xsi_set_current_line(73, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(74, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(75, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(76, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB222: +LAB190: +LAB152: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB12; + +LAB14: *((unsigned int *)t32) = 1; + goto LAB17; + +LAB16: t38 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t38) = 1; + goto LAB17; + +LAB18: t43 = (t0 + 2648U); + t44 = *((char **)t43); + memset(t45, 0, 8); + t43 = (t44 + 4); + t46 = *((unsigned int *)t43); + t47 = (~(t46)); + t48 = *((unsigned int *)t44); + t49 = (t48 & t47); + t50 = (t49 & 1U); + if (t50 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t43) != 0) + goto LAB23; + +LAB24: t53 = *((unsigned int *)t32); + t54 = *((unsigned int *)t45); + t55 = (t53 & t54); + *((unsigned int *)t52) = t55; + t56 = (t32 + 4); + t57 = (t45 + 4); + t58 = (t52 + 4); + t59 = *((unsigned int *)t56); + t60 = *((unsigned int *)t57); + t61 = (t59 | t60); + *((unsigned int *)t58) = t61; + t62 = *((unsigned int *)t58); + t63 = (t62 != 0); + if (t63 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB20; + +LAB21: *((unsigned int *)t45) = 1; + goto LAB24; + +LAB23: t51 = (t45 + 4); + *((unsigned int *)t45) = 1; + *((unsigned int *)t51) = 1; + goto LAB24; + +LAB25: t64 = *((unsigned int *)t52); + t65 = *((unsigned int *)t58); + *((unsigned int *)t52) = (t64 | t65); + t66 = (t32 + 4); + t67 = (t45 + 4); + t68 = *((unsigned int *)t32); + t69 = (~(t68)); + t70 = *((unsigned int *)t66); + t71 = (~(t70)); + t72 = *((unsigned int *)t45); + t73 = (~(t72)); + t74 = *((unsigned int *)t67); + t75 = (~(t74)); + t76 = (t69 & t71); + t77 = (t73 & t75); + t78 = (~(t76)); + t79 = (~(t77)); + t80 = *((unsigned int *)t58); + *((unsigned int *)t58) = (t80 & t78); + t81 = *((unsigned int *)t58); + *((unsigned int *)t58) = (t81 & t79); + t82 = *((unsigned int *)t52); + *((unsigned int *)t52) = (t82 & t78); + t83 = *((unsigned int *)t52); + *((unsigned int *)t52) = (t83 & t79); + goto LAB27; + +LAB28: *((unsigned int *)t84) = 1; + goto LAB31; + +LAB30: t91 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t91) = 1; + goto LAB31; + +LAB32: t97 = (t0 + 2168U); + t98 = *((char **)t97); + memset(t96, 0, 8); + t97 = (t98 + 4); + t99 = *((unsigned int *)t97); + t100 = (~(t99)); + t101 = *((unsigned int *)t98); + t102 = (t101 & t100); + t103 = (t102 & 1U); + if (t103 != 0) + goto LAB38; + +LAB36: if (*((unsigned int *)t97) == 0) + goto LAB35; + +LAB37: t104 = (t96 + 4); + *((unsigned int *)t96) = 1; + *((unsigned int *)t104) = 1; + +LAB38: t105 = (t96 + 4); + t106 = (t98 + 4); + t107 = *((unsigned int *)t98); + t108 = (~(t107)); + *((unsigned int *)t96) = t108; + *((unsigned int *)t105) = 0; + if (*((unsigned int *)t106) != 0) + goto LAB40; + +LAB39: t113 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t113 & 1U); + t114 = *((unsigned int *)t105); + *((unsigned int *)t105) = (t114 & 1U); + memset(t115, 0, 8); + t116 = (t96 + 4); + t117 = *((unsigned int *)t116); + t118 = (~(t117)); + t119 = *((unsigned int *)t96); + t120 = (t119 & t118); + t121 = (t120 & 1U); + if (t121 != 0) + goto LAB41; + +LAB42: if (*((unsigned int *)t116) != 0) + goto LAB43; + +LAB44: t124 = *((unsigned int *)t84); + t125 = *((unsigned int *)t115); + t126 = (t124 & t125); + *((unsigned int *)t123) = t126; + t127 = (t84 + 4); + t128 = (t115 + 4); + t129 = (t123 + 4); + t130 = *((unsigned int *)t127); + t131 = *((unsigned int *)t128); + t132 = (t130 | t131); + *((unsigned int *)t129) = t132; + t133 = *((unsigned int *)t129); + t134 = (t133 != 0); + if (t134 == 1) + goto LAB45; + +LAB46: +LAB47: goto LAB34; + +LAB35: *((unsigned int *)t96) = 1; + goto LAB38; + +LAB40: t109 = *((unsigned int *)t96); + t110 = *((unsigned int *)t106); + *((unsigned int *)t96) = (t109 | t110); + t111 = *((unsigned int *)t105); + t112 = *((unsigned int *)t106); + *((unsigned int *)t105) = (t111 | t112); + goto LAB39; + +LAB41: *((unsigned int *)t115) = 1; + goto LAB44; + +LAB43: t122 = (t115 + 4); + *((unsigned int *)t115) = 1; + *((unsigned int *)t122) = 1; + goto LAB44; + +LAB45: t135 = *((unsigned int *)t123); + t136 = *((unsigned int *)t129); + *((unsigned int *)t123) = (t135 | t136); + t137 = (t84 + 4); + t138 = (t115 + 4); + t139 = *((unsigned int *)t84); + t140 = (~(t139)); + t141 = *((unsigned int *)t137); + t142 = (~(t141)); + t143 = *((unsigned int *)t115); + t144 = (~(t143)); + t145 = *((unsigned int *)t138); + t146 = (~(t145)); + t147 = (t140 & t142); + t148 = (t144 & t146); + t149 = (~(t147)); + t150 = (~(t148)); + t151 = *((unsigned int *)t129); + *((unsigned int *)t129) = (t151 & t149); + t152 = *((unsigned int *)t129); + *((unsigned int *)t129) = (t152 & t150); + t153 = *((unsigned int *)t123); + *((unsigned int *)t123) = (t153 & t149); + t154 = *((unsigned int *)t123); + *((unsigned int *)t123) = (t154 & t150); + goto LAB47; + +LAB48: *((unsigned int *)t155) = 1; + goto LAB51; + +LAB50: t162 = (t155 + 4); + *((unsigned int *)t155) = 1; + *((unsigned int *)t162) = 1; + goto LAB51; + +LAB52: t168 = (t0 + 6088); + t169 = (t168 + 56U); + t170 = *((char **)t169); + memset(t167, 0, 8); + t171 = (t170 + 4); + t172 = *((unsigned int *)t171); + t173 = (~(t172)); + t174 = *((unsigned int *)t170); + t175 = (t174 & t173); + t176 = (t175 & 1U); + if (t176 != 0) + goto LAB58; + +LAB56: if (*((unsigned int *)t171) == 0) + goto LAB55; + +LAB57: t177 = (t167 + 4); + *((unsigned int *)t167) = 1; + *((unsigned int *)t177) = 1; + +LAB58: t178 = (t167 + 4); + t179 = (t170 + 4); + t180 = *((unsigned int *)t170); + t181 = (~(t180)); + *((unsigned int *)t167) = t181; + *((unsigned int *)t178) = 0; + if (*((unsigned int *)t179) != 0) + goto LAB60; + +LAB59: t186 = *((unsigned int *)t167); + *((unsigned int *)t167) = (t186 & 1U); + t187 = *((unsigned int *)t178); + *((unsigned int *)t178) = (t187 & 1U); + memset(t188, 0, 8); + t189 = (t167 + 4); + t190 = *((unsigned int *)t189); + t191 = (~(t190)); + t192 = *((unsigned int *)t167); + t193 = (t192 & t191); + t194 = (t193 & 1U); + if (t194 != 0) + goto LAB61; + +LAB62: if (*((unsigned int *)t189) != 0) + goto LAB63; + +LAB64: t197 = *((unsigned int *)t155); + t198 = *((unsigned int *)t188); + t199 = (t197 & t198); + *((unsigned int *)t196) = t199; + t200 = (t155 + 4); + t201 = (t188 + 4); + t202 = (t196 + 4); + t203 = *((unsigned int *)t200); + t204 = *((unsigned int *)t201); + t205 = (t203 | t204); + *((unsigned int *)t202) = t205; + t206 = *((unsigned int *)t202); + t207 = (t206 != 0); + if (t207 == 1) + goto LAB65; + +LAB66: +LAB67: goto LAB54; + +LAB55: *((unsigned int *)t167) = 1; + goto LAB58; + +LAB60: t182 = *((unsigned int *)t167); + t183 = *((unsigned int *)t179); + *((unsigned int *)t167) = (t182 | t183); + t184 = *((unsigned int *)t178); + t185 = *((unsigned int *)t179); + *((unsigned int *)t178) = (t184 | t185); + goto LAB59; + +LAB61: *((unsigned int *)t188) = 1; + goto LAB64; + +LAB63: t195 = (t188 + 4); + *((unsigned int *)t188) = 1; + *((unsigned int *)t195) = 1; + goto LAB64; + +LAB65: t208 = *((unsigned int *)t196); + t209 = *((unsigned int *)t202); + *((unsigned int *)t196) = (t208 | t209); + t210 = (t155 + 4); + t211 = (t188 + 4); + t212 = *((unsigned int *)t155); + t213 = (~(t212)); + t214 = *((unsigned int *)t210); + t215 = (~(t214)); + t216 = *((unsigned int *)t188); + t217 = (~(t216)); + t218 = *((unsigned int *)t211); + t219 = (~(t218)); + t220 = (t213 & t215); + t221 = (t217 & t219); + t222 = (~(t220)); + t223 = (~(t221)); + t224 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t224 & t222); + t225 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t225 & t223); + t226 = *((unsigned int *)t196); + *((unsigned int *)t196) = (t226 & t222); + t227 = *((unsigned int *)t196); + *((unsigned int *)t196) = (t227 & t223); + goto LAB67; + +LAB68: *((unsigned int *)t228) = 1; + goto LAB71; + +LAB70: t235 = (t228 + 4); + *((unsigned int *)t228) = 1; + *((unsigned int *)t235) = 1; + goto LAB71; + +LAB72: t242 = (t0 + 2008U); + t243 = *((char **)t242); + memset(t241, 0, 8); + t242 = (t243 + 4); + t244 = *((unsigned int *)t242); + t245 = (~(t244)); + t246 = *((unsigned int *)t243); + t247 = (t246 & t245); + t248 = (t247 & 1U); + if (t248 != 0) + goto LAB78; + +LAB76: if (*((unsigned int *)t242) == 0) + goto LAB75; + +LAB77: t249 = (t241 + 4); + *((unsigned int *)t241) = 1; + *((unsigned int *)t249) = 1; + +LAB78: t250 = (t241 + 4); + t251 = (t243 + 4); + t252 = *((unsigned int *)t243); + t253 = (~(t252)); + *((unsigned int *)t241) = t253; + *((unsigned int *)t250) = 0; + if (*((unsigned int *)t251) != 0) + goto LAB80; + +LAB79: t258 = *((unsigned int *)t241); + *((unsigned int *)t241) = (t258 & 1U); + t259 = *((unsigned int *)t250); + *((unsigned int *)t250) = (t259 & 1U); + memset(t260, 0, 8); + t261 = (t241 + 4); + t262 = *((unsigned int *)t261); + t263 = (~(t262)); + t264 = *((unsigned int *)t241); + t265 = (t264 & t263); + t266 = (t265 & 1U); + if (t266 != 0) + goto LAB81; + +LAB82: if (*((unsigned int *)t261) != 0) + goto LAB83; + +LAB84: t268 = (t260 + 4); + t269 = *((unsigned int *)t260); + t270 = *((unsigned int *)t268); + t271 = (t269 || t270); + if (t271 > 0) + goto LAB85; + +LAB86: memcpy(t281, t260, 8); + +LAB87: memset(t313, 0, 8); + t314 = (t281 + 4); + t315 = *((unsigned int *)t314); + t316 = (~(t315)); + t317 = *((unsigned int *)t281); + t318 = (t317 & t316); + t319 = (t318 & 1U); + if (t319 != 0) + goto LAB95; + +LAB96: if (*((unsigned int *)t314) != 0) + goto LAB97; + +LAB98: t322 = *((unsigned int *)t228); + t323 = *((unsigned int *)t313); + t324 = (t322 | t323); + *((unsigned int *)t321) = t324; + t325 = (t228 + 4); + t326 = (t313 + 4); + t327 = (t321 + 4); + t328 = *((unsigned int *)t325); + t329 = *((unsigned int *)t326); + t330 = (t328 | t329); + *((unsigned int *)t327) = t330; + t331 = *((unsigned int *)t327); + t332 = (t331 != 0); + if (t332 == 1) + goto LAB99; + +LAB100: +LAB101: goto LAB74; + +LAB75: *((unsigned int *)t241) = 1; + goto LAB78; + +LAB80: t254 = *((unsigned int *)t241); + t255 = *((unsigned int *)t251); + *((unsigned int *)t241) = (t254 | t255); + t256 = *((unsigned int *)t250); + t257 = *((unsigned int *)t251); + *((unsigned int *)t250) = (t256 | t257); + goto LAB79; + +LAB81: *((unsigned int *)t260) = 1; + goto LAB84; + +LAB83: t267 = (t260 + 4); + *((unsigned int *)t260) = 1; + *((unsigned int *)t267) = 1; + goto LAB84; + +LAB85: t272 = (t0 + 2808U); + t273 = *((char **)t272); + memset(t274, 0, 8); + t272 = (t273 + 4); + t275 = *((unsigned int *)t272); + t276 = (~(t275)); + t277 = *((unsigned int *)t273); + t278 = (t277 & t276); + t279 = (t278 & 1U); + if (t279 != 0) + goto LAB88; + +LAB89: if (*((unsigned int *)t272) != 0) + goto LAB90; + +LAB91: t282 = *((unsigned int *)t260); + t283 = *((unsigned int *)t274); + t284 = (t282 & t283); + *((unsigned int *)t281) = t284; + t285 = (t260 + 4); + t286 = (t274 + 4); + t287 = (t281 + 4); + t288 = *((unsigned int *)t285); + t289 = *((unsigned int *)t286); + t290 = (t288 | t289); + *((unsigned int *)t287) = t290; + t291 = *((unsigned int *)t287); + t292 = (t291 != 0); + if (t292 == 1) + goto LAB92; + +LAB93: +LAB94: goto LAB87; + +LAB88: *((unsigned int *)t274) = 1; + goto LAB91; + +LAB90: t280 = (t274 + 4); + *((unsigned int *)t274) = 1; + *((unsigned int *)t280) = 1; + goto LAB91; + +LAB92: t293 = *((unsigned int *)t281); + t294 = *((unsigned int *)t287); + *((unsigned int *)t281) = (t293 | t294); + t295 = (t260 + 4); + t296 = (t274 + 4); + t297 = *((unsigned int *)t260); + t298 = (~(t297)); + t299 = *((unsigned int *)t295); + t300 = (~(t299)); + t301 = *((unsigned int *)t274); + t302 = (~(t301)); + t303 = *((unsigned int *)t296); + t304 = (~(t303)); + t305 = (t298 & t300); + t306 = (t302 & t304); + t307 = (~(t305)); + t308 = (~(t306)); + t309 = *((unsigned int *)t287); + *((unsigned int *)t287) = (t309 & t307); + t310 = *((unsigned int *)t287); + *((unsigned int *)t287) = (t310 & t308); + t311 = *((unsigned int *)t281); + *((unsigned int *)t281) = (t311 & t307); + t312 = *((unsigned int *)t281); + *((unsigned int *)t281) = (t312 & t308); + goto LAB94; + +LAB95: *((unsigned int *)t313) = 1; + goto LAB98; + +LAB97: t320 = (t313 + 4); + *((unsigned int *)t313) = 1; + *((unsigned int *)t320) = 1; + goto LAB98; + +LAB99: t333 = *((unsigned int *)t321); + t334 = *((unsigned int *)t327); + *((unsigned int *)t321) = (t333 | t334); + t335 = (t228 + 4); + t336 = (t313 + 4); + t337 = *((unsigned int *)t335); + t338 = (~(t337)); + t339 = *((unsigned int *)t228); + t340 = (t339 & t338); + t341 = *((unsigned int *)t336); + t342 = (~(t341)); + t343 = *((unsigned int *)t313); + t344 = (t343 & t342); + t345 = (~(t340)); + t346 = (~(t344)); + t347 = *((unsigned int *)t327); + *((unsigned int *)t327) = (t347 & t345); + t348 = *((unsigned int *)t327); + *((unsigned int *)t327) = (t348 & t346); + goto LAB101; + +LAB102: *((unsigned int *)t349) = 1; + goto LAB105; + +LAB104: t356 = (t349 + 4); + *((unsigned int *)t349) = 1; + *((unsigned int *)t356) = 1; + goto LAB105; + +LAB106: t362 = (t0 + 2008U); + t363 = *((char **)t362); + memset(t364, 0, 8); + t362 = (t363 + 4); + t365 = *((unsigned int *)t362); + t366 = (~(t365)); + t367 = *((unsigned int *)t363); + t368 = (t367 & t366); + t369 = (t368 & 1U); + if (t369 != 0) + goto LAB109; + +LAB110: if (*((unsigned int *)t362) != 0) + goto LAB111; + +LAB112: t371 = (t364 + 4); + t372 = *((unsigned int *)t364); + t373 = *((unsigned int *)t371); + t374 = (t372 || t373); + if (t374 > 0) + goto LAB113; + +LAB114: memcpy(t384, t364, 8); + +LAB115: memset(t416, 0, 8); + t417 = (t384 + 4); + t418 = *((unsigned int *)t417); + t419 = (~(t418)); + t420 = *((unsigned int *)t384); + t421 = (t420 & t419); + t422 = (t421 & 1U); + if (t422 != 0) + goto LAB123; + +LAB124: if (*((unsigned int *)t417) != 0) + goto LAB125; + +LAB126: t424 = (t416 + 4); + t425 = *((unsigned int *)t416); + t426 = *((unsigned int *)t424); + t427 = (t425 || t426); + if (t427 > 0) + goto LAB127; + +LAB128: memcpy(t455, t416, 8); + +LAB129: memset(t487, 0, 8); + t488 = (t455 + 4); + t489 = *((unsigned int *)t488); + t490 = (~(t489)); + t491 = *((unsigned int *)t455); + t492 = (t491 & t490); + t493 = (t492 & 1U); + if (t493 != 0) + goto LAB143; + +LAB144: if (*((unsigned int *)t488) != 0) + goto LAB145; + +LAB146: t496 = *((unsigned int *)t349); + t497 = *((unsigned int *)t487); + t498 = (t496 | t497); + *((unsigned int *)t495) = t498; + t499 = (t349 + 4); + t500 = (t487 + 4); + t501 = (t495 + 4); + t502 = *((unsigned int *)t499); + t503 = *((unsigned int *)t500); + t504 = (t502 | t503); + *((unsigned int *)t501) = t504; + t505 = *((unsigned int *)t501); + t506 = (t505 != 0); + if (t506 == 1) + goto LAB147; + +LAB148: +LAB149: goto LAB108; + +LAB109: *((unsigned int *)t364) = 1; + goto LAB112; + +LAB111: t370 = (t364 + 4); + *((unsigned int *)t364) = 1; + *((unsigned int *)t370) = 1; + goto LAB112; + +LAB113: t375 = (t0 + 2808U); + t376 = *((char **)t375); + memset(t377, 0, 8); + t375 = (t376 + 4); + t378 = *((unsigned int *)t375); + t379 = (~(t378)); + t380 = *((unsigned int *)t376); + t381 = (t380 & t379); + t382 = (t381 & 1U); + if (t382 != 0) + goto LAB116; + +LAB117: if (*((unsigned int *)t375) != 0) + goto LAB118; + +LAB119: t385 = *((unsigned int *)t364); + t386 = *((unsigned int *)t377); + t387 = (t385 & t386); + *((unsigned int *)t384) = t387; + t388 = (t364 + 4); + t389 = (t377 + 4); + t390 = (t384 + 4); + t391 = *((unsigned int *)t388); + t392 = *((unsigned int *)t389); + t393 = (t391 | t392); + *((unsigned int *)t390) = t393; + t394 = *((unsigned int *)t390); + t395 = (t394 != 0); + if (t395 == 1) + goto LAB120; + +LAB121: +LAB122: goto LAB115; + +LAB116: *((unsigned int *)t377) = 1; + goto LAB119; + +LAB118: t383 = (t377 + 4); + *((unsigned int *)t377) = 1; + *((unsigned int *)t383) = 1; + goto LAB119; + +LAB120: t396 = *((unsigned int *)t384); + t397 = *((unsigned int *)t390); + *((unsigned int *)t384) = (t396 | t397); + t398 = (t364 + 4); + t399 = (t377 + 4); + t400 = *((unsigned int *)t364); + t401 = (~(t400)); + t402 = *((unsigned int *)t398); + t403 = (~(t402)); + t404 = *((unsigned int *)t377); + t405 = (~(t404)); + t406 = *((unsigned int *)t399); + t407 = (~(t406)); + t408 = (t401 & t403); + t409 = (t405 & t407); + t410 = (~(t408)); + t411 = (~(t409)); + t412 = *((unsigned int *)t390); + *((unsigned int *)t390) = (t412 & t410); + t413 = *((unsigned int *)t390); + *((unsigned int *)t390) = (t413 & t411); + t414 = *((unsigned int *)t384); + *((unsigned int *)t384) = (t414 & t410); + t415 = *((unsigned int *)t384); + *((unsigned int *)t384) = (t415 & t411); + goto LAB122; + +LAB123: *((unsigned int *)t416) = 1; + goto LAB126; + +LAB125: t423 = (t416 + 4); + *((unsigned int *)t416) = 1; + *((unsigned int *)t423) = 1; + goto LAB126; + +LAB127: t429 = (t0 + 2168U); + t430 = *((char **)t429); + memset(t428, 0, 8); + t429 = (t430 + 4); + t431 = *((unsigned int *)t429); + t432 = (~(t431)); + t433 = *((unsigned int *)t430); + t434 = (t433 & t432); + t435 = (t434 & 1U); + if (t435 != 0) + goto LAB133; + +LAB131: if (*((unsigned int *)t429) == 0) + goto LAB130; + +LAB132: t436 = (t428 + 4); + *((unsigned int *)t428) = 1; + *((unsigned int *)t436) = 1; + +LAB133: t437 = (t428 + 4); + t438 = (t430 + 4); + t439 = *((unsigned int *)t430); + t440 = (~(t439)); + *((unsigned int *)t428) = t440; + *((unsigned int *)t437) = 0; + if (*((unsigned int *)t438) != 0) + goto LAB135; + +LAB134: t445 = *((unsigned int *)t428); + *((unsigned int *)t428) = (t445 & 1U); + t446 = *((unsigned int *)t437); + *((unsigned int *)t437) = (t446 & 1U); + memset(t447, 0, 8); + t448 = (t428 + 4); + t449 = *((unsigned int *)t448); + t450 = (~(t449)); + t451 = *((unsigned int *)t428); + t452 = (t451 & t450); + t453 = (t452 & 1U); + if (t453 != 0) + goto LAB136; + +LAB137: if (*((unsigned int *)t448) != 0) + goto LAB138; + +LAB139: t456 = *((unsigned int *)t416); + t457 = *((unsigned int *)t447); + t458 = (t456 & t457); + *((unsigned int *)t455) = t458; + t459 = (t416 + 4); + t460 = (t447 + 4); + t461 = (t455 + 4); + t462 = *((unsigned int *)t459); + t463 = *((unsigned int *)t460); + t464 = (t462 | t463); + *((unsigned int *)t461) = t464; + t465 = *((unsigned int *)t461); + t466 = (t465 != 0); + if (t466 == 1) + goto LAB140; + +LAB141: +LAB142: goto LAB129; + +LAB130: *((unsigned int *)t428) = 1; + goto LAB133; + +LAB135: t441 = *((unsigned int *)t428); + t442 = *((unsigned int *)t438); + *((unsigned int *)t428) = (t441 | t442); + t443 = *((unsigned int *)t437); + t444 = *((unsigned int *)t438); + *((unsigned int *)t437) = (t443 | t444); + goto LAB134; + +LAB136: *((unsigned int *)t447) = 1; + goto LAB139; + +LAB138: t454 = (t447 + 4); + *((unsigned int *)t447) = 1; + *((unsigned int *)t454) = 1; + goto LAB139; + +LAB140: t467 = *((unsigned int *)t455); + t468 = *((unsigned int *)t461); + *((unsigned int *)t455) = (t467 | t468); + t469 = (t416 + 4); + t470 = (t447 + 4); + t471 = *((unsigned int *)t416); + t472 = (~(t471)); + t473 = *((unsigned int *)t469); + t474 = (~(t473)); + t475 = *((unsigned int *)t447); + t476 = (~(t475)); + t477 = *((unsigned int *)t470); + t478 = (~(t477)); + t479 = (t472 & t474); + t480 = (t476 & t478); + t481 = (~(t479)); + t482 = (~(t480)); + t483 = *((unsigned int *)t461); + *((unsigned int *)t461) = (t483 & t481); + t484 = *((unsigned int *)t461); + *((unsigned int *)t461) = (t484 & t482); + t485 = *((unsigned int *)t455); + *((unsigned int *)t455) = (t485 & t481); + t486 = *((unsigned int *)t455); + *((unsigned int *)t455) = (t486 & t482); + goto LAB142; + +LAB143: *((unsigned int *)t487) = 1; + goto LAB146; + +LAB145: t494 = (t487 + 4); + *((unsigned int *)t487) = 1; + *((unsigned int *)t494) = 1; + goto LAB146; + +LAB147: t507 = *((unsigned int *)t495); + t508 = *((unsigned int *)t501); + *((unsigned int *)t495) = (t507 | t508); + t509 = (t349 + 4); + t510 = (t487 + 4); + t511 = *((unsigned int *)t509); + t512 = (~(t511)); + t513 = *((unsigned int *)t349); + t514 = (t513 & t512); + t515 = *((unsigned int *)t510); + t516 = (~(t515)); + t517 = *((unsigned int *)t487); + t518 = (t517 & t516); + t519 = (~(t514)); + t520 = (~(t518)); + t521 = *((unsigned int *)t501); + *((unsigned int *)t501) = (t521 & t519); + t522 = *((unsigned int *)t501); + *((unsigned int *)t501) = (t522 & t520); + goto LAB149; + +LAB150: xsi_set_current_line(53, ng0); + +LAB153: xsi_set_current_line(54, ng0); + t529 = ((char*)((ng4))); + t530 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t530, t529, 0, 0, 3, 0LL); + xsi_set_current_line(55, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(56, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB152; + +LAB154: *((unsigned int *)t8) = 1; + goto LAB157; + +LAB156: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + goto LAB157; + +LAB158: t6 = (t0 + 2168U); + t7 = *((char **)t6); + memset(t32, 0, 8); + t6 = (t7 + 4); + t19 = *((unsigned int *)t6); + t20 = (~(t19)); + t21 = *((unsigned int *)t7); + t22 = (t21 & t20); + t25 = (t22 & 1U); + if (t25 != 0) + goto LAB161; + +LAB162: if (*((unsigned int *)t6) != 0) + goto LAB163; + +LAB164: t26 = *((unsigned int *)t8); + t27 = *((unsigned int *)t32); + t28 = (t26 & t27); + *((unsigned int *)t45) = t28; + t10 = (t8 + 4); + t23 = (t32 + 4); + t24 = (t45 + 4); + t29 = *((unsigned int *)t10); + t33 = *((unsigned int *)t23); + t34 = (t29 | t33); + *((unsigned int *)t24) = t34; + t35 = *((unsigned int *)t24); + t36 = (t35 != 0); + if (t36 == 1) + goto LAB165; + +LAB166: +LAB167: goto LAB160; + +LAB161: *((unsigned int *)t32) = 1; + goto LAB164; + +LAB163: t9 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t9) = 1; + goto LAB164; + +LAB165: t37 = *((unsigned int *)t45); + t40 = *((unsigned int *)t24); + *((unsigned int *)t45) = (t37 | t40); + t30 = (t8 + 4); + t31 = (t32 + 4); + t41 = *((unsigned int *)t8); + t42 = (~(t41)); + t46 = *((unsigned int *)t30); + t47 = (~(t46)); + t48 = *((unsigned int *)t32); + t49 = (~(t48)); + t50 = *((unsigned int *)t31); + t53 = (~(t50)); + t76 = (t42 & t47); + t77 = (t49 & t53); + t54 = (~(t76)); + t55 = (~(t77)); + t59 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t59 & t54); + t60 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t60 & t55); + t61 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t61 & t54); + t62 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t62 & t55); + goto LAB167; + +LAB168: *((unsigned int *)t52) = 1; + goto LAB171; + +LAB170: t39 = (t52 + 4); + *((unsigned int *)t52) = 1; + *((unsigned int *)t39) = 1; + goto LAB171; + +LAB172: t44 = (t0 + 5128); + t51 = (t44 + 56U); + t56 = *((char **)t51); + memset(t84, 0, 8); + t57 = (t56 + 4); + t73 = *((unsigned int *)t57); + t74 = (~(t73)); + t75 = *((unsigned int *)t56); + t78 = (t75 & t74); + t79 = (t78 & 1U); + if (t79 != 0) + goto LAB178; + +LAB176: if (*((unsigned int *)t57) == 0) + goto LAB175; + +LAB177: t58 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t58) = 1; + +LAB178: t66 = (t84 + 4); + t67 = (t56 + 4); + t80 = *((unsigned int *)t56); + t81 = (~(t80)); + *((unsigned int *)t84) = t81; + *((unsigned int *)t66) = 0; + if (*((unsigned int *)t67) != 0) + goto LAB180; + +LAB179: t88 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t88 & 1U); + t89 = *((unsigned int *)t66); + *((unsigned int *)t66) = (t89 & 1U); + memset(t96, 0, 8); + t85 = (t84 + 4); + t90 = *((unsigned int *)t85); + t93 = (~(t90)); + t94 = *((unsigned int *)t84); + t95 = (t94 & t93); + t99 = (t95 & 1U); + if (t99 != 0) + goto LAB181; + +LAB182: if (*((unsigned int *)t85) != 0) + goto LAB183; + +LAB184: t100 = *((unsigned int *)t52); + t101 = *((unsigned int *)t96); + t102 = (t100 & t101); + *((unsigned int *)t115) = t102; + t92 = (t52 + 4); + t97 = (t96 + 4); + t98 = (t115 + 4); + t103 = *((unsigned int *)t92); + t107 = *((unsigned int *)t97); + t108 = (t103 | t107); + *((unsigned int *)t98) = t108; + t109 = *((unsigned int *)t98); + t110 = (t109 != 0); + if (t110 == 1) + goto LAB185; + +LAB186: +LAB187: goto LAB174; + +LAB175: *((unsigned int *)t84) = 1; + goto LAB178; + +LAB180: t82 = *((unsigned int *)t84); + t83 = *((unsigned int *)t67); + *((unsigned int *)t84) = (t82 | t83); + t86 = *((unsigned int *)t66); + t87 = *((unsigned int *)t67); + *((unsigned int *)t66) = (t86 | t87); + goto LAB179; + +LAB181: *((unsigned int *)t96) = 1; + goto LAB184; + +LAB183: t91 = (t96 + 4); + *((unsigned int *)t96) = 1; + *((unsigned int *)t91) = 1; + goto LAB184; + +LAB185: t111 = *((unsigned int *)t115); + t112 = *((unsigned int *)t98); + *((unsigned int *)t115) = (t111 | t112); + t104 = (t52 + 4); + t105 = (t96 + 4); + t113 = *((unsigned int *)t52); + t114 = (~(t113)); + t117 = *((unsigned int *)t104); + t118 = (~(t117)); + t119 = *((unsigned int *)t96); + t120 = (~(t119)); + t121 = *((unsigned int *)t105); + t124 = (~(t121)); + t147 = (t114 & t118); + t148 = (t120 & t124); + t125 = (~(t147)); + t126 = (~(t148)); + t130 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t130 & t125); + t131 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t131 & t126); + t132 = *((unsigned int *)t115); + *((unsigned int *)t115) = (t132 & t125); + t133 = *((unsigned int *)t115); + *((unsigned int *)t115) = (t133 & t126); + goto LAB187; + +LAB188: xsi_set_current_line(58, ng0); + +LAB191: xsi_set_current_line(60, ng0); + t116 = ((char*)((ng5))); + t122 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t122, t116, 0, 0, 3, 0LL); + xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(62, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(63, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB190; + +LAB192: *((unsigned int *)t8) = 1; + goto LAB195; + +LAB194: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + goto LAB195; + +LAB196: t6 = (t0 + 2168U); + t7 = *((char **)t6); + memset(t32, 0, 8); + t6 = (t7 + 4); + t19 = *((unsigned int *)t6); + t20 = (~(t19)); + t21 = *((unsigned int *)t7); + t22 = (t21 & t20); + t25 = (t22 & 1U); + if (t25 != 0) + goto LAB199; + +LAB200: if (*((unsigned int *)t6) != 0) + goto LAB201; + +LAB202: t26 = *((unsigned int *)t8); + t27 = *((unsigned int *)t32); + t28 = (t26 & t27); + *((unsigned int *)t45) = t28; + t10 = (t8 + 4); + t23 = (t32 + 4); + t24 = (t45 + 4); + t29 = *((unsigned int *)t10); + t33 = *((unsigned int *)t23); + t34 = (t29 | t33); + *((unsigned int *)t24) = t34; + t35 = *((unsigned int *)t24); + t36 = (t35 != 0); + if (t36 == 1) + goto LAB203; + +LAB204: +LAB205: goto LAB198; + +LAB199: *((unsigned int *)t32) = 1; + goto LAB202; + +LAB201: t9 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t9) = 1; + goto LAB202; + +LAB203: t37 = *((unsigned int *)t45); + t40 = *((unsigned int *)t24); + *((unsigned int *)t45) = (t37 | t40); + t30 = (t8 + 4); + t31 = (t32 + 4); + t41 = *((unsigned int *)t8); + t42 = (~(t41)); + t46 = *((unsigned int *)t30); + t47 = (~(t46)); + t48 = *((unsigned int *)t32); + t49 = (~(t48)); + t50 = *((unsigned int *)t31); + t53 = (~(t50)); + t76 = (t42 & t47); + t77 = (t49 & t53); + t54 = (~(t76)); + t55 = (~(t77)); + t59 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t59 & t54); + t60 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t60 & t55); + t61 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t61 & t54); + t62 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t62 & t55); + goto LAB205; + +LAB206: *((unsigned int *)t52) = 1; + goto LAB209; + +LAB208: t39 = (t52 + 4); + *((unsigned int *)t52) = 1; + *((unsigned int *)t39) = 1; + goto LAB209; + +LAB210: t44 = (t0 + 2808U); + t51 = *((char **)t44); + memset(t84, 0, 8); + t44 = (t51 + 4); + t73 = *((unsigned int *)t44); + t74 = (~(t73)); + t75 = *((unsigned int *)t51); + t78 = (t75 & t74); + t79 = (t78 & 1U); + if (t79 != 0) + goto LAB213; + +LAB214: if (*((unsigned int *)t44) != 0) + goto LAB215; + +LAB216: t80 = *((unsigned int *)t52); + t81 = *((unsigned int *)t84); + t82 = (t80 & t81); + *((unsigned int *)t96) = t82; + t57 = (t52 + 4); + t58 = (t84 + 4); + t66 = (t96 + 4); + t83 = *((unsigned int *)t57); + t86 = *((unsigned int *)t58); + t87 = (t83 | t86); + *((unsigned int *)t66) = t87; + t88 = *((unsigned int *)t66); + t89 = (t88 != 0); + if (t89 == 1) + goto LAB217; + +LAB218: +LAB219: goto LAB212; + +LAB213: *((unsigned int *)t84) = 1; + goto LAB216; + +LAB215: t56 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t56) = 1; + goto LAB216; + +LAB217: t90 = *((unsigned int *)t96); + t93 = *((unsigned int *)t66); + *((unsigned int *)t96) = (t90 | t93); + t67 = (t52 + 4); + t85 = (t84 + 4); + t94 = *((unsigned int *)t52); + t95 = (~(t94)); + t99 = *((unsigned int *)t67); + t100 = (~(t99)); + t101 = *((unsigned int *)t84); + t102 = (~(t101)); + t103 = *((unsigned int *)t85); + t107 = (~(t103)); + t147 = (t95 & t100); + t148 = (t102 & t107); + t108 = (~(t147)); + t109 = (~(t148)); + t110 = *((unsigned int *)t66); + *((unsigned int *)t66) = (t110 & t108); + t111 = *((unsigned int *)t66); + *((unsigned int *)t66) = (t111 & t109); + t112 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t112 & t108); + t113 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t113 & t109); + goto LAB219; + +LAB220: xsi_set_current_line(64, ng0); + +LAB223: xsi_set_current_line(67, ng0); + t92 = ((char*)((ng2))); + t97 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t97, t92, 0, 0, 3, 0LL); + xsi_set_current_line(68, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(69, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(70, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB222; + +LAB227: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB228; + +LAB229: xsi_set_current_line(79, ng0); + +LAB232: xsi_set_current_line(80, ng0); + t23 = ((char*)((ng4))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB231; + +LAB235: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB236; + +LAB237: xsi_set_current_line(85, ng0); + +LAB240: xsi_set_current_line(86, ng0); + t23 = ((char*)((ng6))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB239; + +LAB243: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB244; + +LAB245: xsi_set_current_line(91, ng0); + +LAB248: xsi_set_current_line(92, ng0); + t23 = ((char*)((ng7))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB247; + +LAB251: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB252; + +LAB253: xsi_set_current_line(97, ng0); + +LAB256: xsi_set_current_line(98, ng0); + t23 = ((char*)((ng3))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB255; + +LAB259: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB260; + +LAB261: xsi_set_current_line(103, ng0); + +LAB264: xsi_set_current_line(104, ng0); + t23 = ((char*)((ng8))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB263; + +LAB267: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB268; + +LAB269: xsi_set_current_line(109, ng0); + +LAB272: xsi_set_current_line(110, ng0); + t23 = ((char*)((ng3))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB271; + +LAB275: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB276; + +LAB277: xsi_set_current_line(115, ng0); + +LAB280: xsi_set_current_line(116, ng0); + t23 = (t0 + 2008U); + t24 = *((char **)t23); + memset(t32, 0, 8); + t23 = (t24 + 4); + t33 = *((unsigned int *)t23); + t34 = (~(t33)); + t35 = *((unsigned int *)t24); + t36 = (t35 & t34); + t37 = (t36 & 1U); + if (t37 != 0) + goto LAB284; + +LAB282: if (*((unsigned int *)t23) == 0) + goto LAB281; + +LAB283: t30 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t30) = 1; + +LAB284: t31 = (t32 + 4); + t38 = (t24 + 4); + t40 = *((unsigned int *)t24); + t41 = (~(t40)); + *((unsigned int *)t32) = t41; + *((unsigned int *)t31) = 0; + if (*((unsigned int *)t38) != 0) + goto LAB286; + +LAB285: t49 = *((unsigned int *)t32); + *((unsigned int *)t32) = (t49 & 1U); + t50 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t50 & 1U); + memset(t45, 0, 8); + t39 = (t32 + 4); + t53 = *((unsigned int *)t39); + t54 = (~(t53)); + t55 = *((unsigned int *)t32); + t59 = (t55 & t54); + t60 = (t59 & 1U); + if (t60 != 0) + goto LAB287; + +LAB288: if (*((unsigned int *)t39) != 0) + goto LAB289; + +LAB290: t44 = (t45 + 4); + t61 = *((unsigned int *)t45); + t62 = *((unsigned int *)t44); + t63 = (t61 || t62); + if (t63 > 0) + goto LAB291; + +LAB292: memcpy(t84, t45, 8); + +LAB293: t92 = (t84 + 4); + t107 = *((unsigned int *)t92); + t108 = (~(t107)); + t109 = *((unsigned int *)t84); + t110 = (t109 & t108); + t111 = (t110 != 0); + if (t111 > 0) + goto LAB301; + +LAB302: xsi_set_current_line(121, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB305; + +LAB306: if (*((unsigned int *)t2) != 0) + goto LAB307; + +LAB308: t5 = (t8 + 4); + t16 = *((unsigned int *)t8); + t17 = *((unsigned int *)t5); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB309; + +LAB310: memcpy(t45, t8, 8); + +LAB311: t38 = (t45 + 4); + t63 = *((unsigned int *)t38); + t64 = (~(t63)); + t65 = *((unsigned int *)t45); + t68 = (t65 & t64); + t69 = (t68 != 0); + if (t69 > 0) + goto LAB319; + +LAB320: xsi_set_current_line(126, ng0); + +LAB323: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB321: +LAB303: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB279; + +LAB281: *((unsigned int *)t32) = 1; + goto LAB284; + +LAB286: t42 = *((unsigned int *)t32); + t46 = *((unsigned int *)t38); + *((unsigned int *)t32) = (t42 | t46); + t47 = *((unsigned int *)t31); + t48 = *((unsigned int *)t38); + *((unsigned int *)t31) = (t47 | t48); + goto LAB285; + +LAB287: *((unsigned int *)t45) = 1; + goto LAB290; + +LAB289: t43 = (t45 + 4); + *((unsigned int *)t45) = 1; + *((unsigned int *)t43) = 1; + goto LAB290; + +LAB291: t51 = (t0 + 2808U); + t56 = *((char **)t51); + memset(t52, 0, 8); + t51 = (t56 + 4); + t64 = *((unsigned int *)t51); + t65 = (~(t64)); + t68 = *((unsigned int *)t56); + t69 = (t68 & t65); + t70 = (t69 & 1U); + if (t70 != 0) + goto LAB294; + +LAB295: if (*((unsigned int *)t51) != 0) + goto LAB296; + +LAB297: t71 = *((unsigned int *)t45); + t72 = *((unsigned int *)t52); + t73 = (t71 & t72); + *((unsigned int *)t84) = t73; + t58 = (t45 + 4); + t66 = (t52 + 4); + t67 = (t84 + 4); + t74 = *((unsigned int *)t58); + t75 = *((unsigned int *)t66); + t78 = (t74 | t75); + *((unsigned int *)t67) = t78; + t79 = *((unsigned int *)t67); + t80 = (t79 != 0); + if (t80 == 1) + goto LAB298; + +LAB299: +LAB300: goto LAB293; + +LAB294: *((unsigned int *)t52) = 1; + goto LAB297; + +LAB296: t57 = (t52 + 4); + *((unsigned int *)t52) = 1; + *((unsigned int *)t57) = 1; + goto LAB297; + +LAB298: t81 = *((unsigned int *)t84); + t82 = *((unsigned int *)t67); + *((unsigned int *)t84) = (t81 | t82); + t85 = (t45 + 4); + t91 = (t52 + 4); + t83 = *((unsigned int *)t45); + t86 = (~(t83)); + t87 = *((unsigned int *)t85); + t88 = (~(t87)); + t89 = *((unsigned int *)t52); + t90 = (~(t89)); + t93 = *((unsigned int *)t91); + t94 = (~(t93)); + t76 = (t86 & t88); + t77 = (t90 & t94); + t95 = (~(t76)); + t99 = (~(t77)); + t100 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t100 & t95); + t101 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t101 & t99); + t102 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t102 & t95); + t103 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t103 & t99); + goto LAB300; + +LAB301: xsi_set_current_line(116, ng0); + +LAB304: xsi_set_current_line(117, ng0); + t97 = ((char*)((ng4))); + t98 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 3, 0LL); + xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB303; + +LAB305: *((unsigned int *)t8) = 1; + goto LAB308; + +LAB307: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + goto LAB308; + +LAB309: t6 = (t0 + 2808U); + t7 = *((char **)t6); + memset(t32, 0, 8); + t6 = (t7 + 4); + t19 = *((unsigned int *)t6); + t20 = (~(t19)); + t21 = *((unsigned int *)t7); + t22 = (t21 & t20); + t25 = (t22 & 1U); + if (t25 != 0) + goto LAB312; + +LAB313: if (*((unsigned int *)t6) != 0) + goto LAB314; + +LAB315: t26 = *((unsigned int *)t8); + t27 = *((unsigned int *)t32); + t28 = (t26 & t27); + *((unsigned int *)t45) = t28; + t10 = (t8 + 4); + t23 = (t32 + 4); + t24 = (t45 + 4); + t29 = *((unsigned int *)t10); + t33 = *((unsigned int *)t23); + t34 = (t29 | t33); + *((unsigned int *)t24) = t34; + t35 = *((unsigned int *)t24); + t36 = (t35 != 0); + if (t36 == 1) + goto LAB316; + +LAB317: +LAB318: goto LAB311; + +LAB312: *((unsigned int *)t32) = 1; + goto LAB315; + +LAB314: t9 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t9) = 1; + goto LAB315; + +LAB316: t37 = *((unsigned int *)t45); + t40 = *((unsigned int *)t24); + *((unsigned int *)t45) = (t37 | t40); + t30 = (t8 + 4); + t31 = (t32 + 4); + t41 = *((unsigned int *)t8); + t42 = (~(t41)); + t46 = *((unsigned int *)t30); + t47 = (~(t46)); + t48 = *((unsigned int *)t32); + t49 = (~(t48)); + t50 = *((unsigned int *)t31); + t53 = (~(t50)); + t76 = (t42 & t47); + t77 = (t49 & t53); + t54 = (~(t76)); + t55 = (~(t77)); + t59 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t59 & t54); + t60 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t60 & t55); + t61 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t61 & t54); + t62 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t62 & t55); + goto LAB318; + +LAB319: xsi_set_current_line(121, ng0); + +LAB322: xsi_set_current_line(122, ng0); + t39 = ((char*)((ng2))); + t43 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t43, t39, 0, 0, 3, 0LL); + xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(125, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB321; + +} + +static void Always_135_15(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + char *t15; + char *t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + char *t25; + +LAB0: t1 = (t0 + 10728U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(135, ng0); + t2 = (t0 + 11784); + *((int *)t2) = 1; + t3 = (t0 + 10760); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(135, ng0); + +LAB5: xsi_set_current_line(135, ng0); + t5 = (t0 + 5448); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t4, 0, 8); + t8 = (t7 + 4); + t9 = *((unsigned int *)t8); + t10 = (~(t9)); + t11 = *((unsigned int *)t7); + t12 = (t11 & t10); + t13 = (t12 & 1U); + if (t13 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t8) == 0) + goto LAB6; + +LAB8: t14 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t14) = 1; + +LAB9: t15 = (t4 + 4); + t16 = (t7 + 4); + t17 = *((unsigned int *)t7); + t18 = (~(t17)); + *((unsigned int *)t4) = t18; + *((unsigned int *)t15) = 0; + if (*((unsigned int *)t16) != 0) + goto LAB11; + +LAB10: t23 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t23 & 1U); + t24 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t24 & 1U); + t25 = (t0 + 4808); + xsi_vlogvar_wait_assign_value(t25, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t19 = *((unsigned int *)t4); + t20 = *((unsigned int *)t16); + *((unsigned int *)t4) = (t19 | t20); + t21 = *((unsigned int *)t15); + t22 = *((unsigned int *)t16); + *((unsigned int *)t15) = (t21 | t22); + goto LAB10; + +} + +static void Cont_137_16(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 10976U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(137, ng0); + t2 = (t0 + 5928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 12600); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 11800); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_139_17(char *t0) +{ + char t3[8]; + char t21[8]; + char t37[8]; + char t45[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + char *t36; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + char *t73; + char *t74; + char *t75; + char *t76; + char *t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + char *t83; + unsigned int t84; + unsigned int t85; + char *t86; + +LAB0: t1 = (t0 + 11224U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(139, ng0); + t2 = (t0 + 2168U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + memset(t21, 0, 8); + t22 = (t3 + 4); + t23 = *((unsigned int *)t22); + t24 = (~(t23)); + t25 = *((unsigned int *)t3); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t22) != 0) + goto LAB12; + +LAB13: t29 = (t21 + 4); + t30 = *((unsigned int *)t21); + t31 = (!(t30)); + t32 = *((unsigned int *)t29); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t45, t21, 8); + +LAB16: t73 = (t0 + 12664); + t74 = (t73 + 56U); + t75 = *((char **)t74); + t76 = (t75 + 56U); + t77 = *((char **)t76); + memset(t77, 0, 8); + t78 = 1U; + t79 = t78; + t80 = (t45 + 4); + t81 = *((unsigned int *)t45); + t78 = (t78 & t81); + t82 = *((unsigned int *)t80); + t79 = (t79 & t82); + t83 = (t77 + 4); + t84 = *((unsigned int *)t77); + *((unsigned int *)t77) = (t84 | t78); + t85 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t85 | t79); + xsi_driver_vfirst_trans(t73, 0, 0); + t86 = (t0 + 11816); + *((int *)t86) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +LAB10: *((unsigned int *)t21) = 1; + goto LAB13; + +LAB12: t28 = (t21 + 4); + *((unsigned int *)t21) = 1; + *((unsigned int *)t28) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 5288); + t35 = (t34 + 56U); + t36 = *((char **)t35); + memset(t37, 0, 8); + t38 = (t36 + 4); + t39 = *((unsigned int *)t38); + t40 = (~(t39)); + t41 = *((unsigned int *)t36); + t42 = (t41 & t40); + t43 = (t42 & 1U); + if (t43 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t38) != 0) + goto LAB19; + +LAB20: t46 = *((unsigned int *)t21); + t47 = *((unsigned int *)t37); + t48 = (t46 | t47); + *((unsigned int *)t45) = t48; + t49 = (t21 + 4); + t50 = (t37 + 4); + t51 = (t45 + 4); + t52 = *((unsigned int *)t49); + t53 = *((unsigned int *)t50); + t54 = (t52 | t53); + *((unsigned int *)t51) = t54; + t55 = *((unsigned int *)t51); + t56 = (t55 != 0); + if (t56 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t37) = 1; + goto LAB20; + +LAB19: t44 = (t37 + 4); + *((unsigned int *)t37) = 1; + *((unsigned int *)t44) = 1; + goto LAB20; + +LAB21: t57 = *((unsigned int *)t45); + t58 = *((unsigned int *)t51); + *((unsigned int *)t45) = (t57 | t58); + t59 = (t21 + 4); + t60 = (t37 + 4); + t61 = *((unsigned int *)t59); + t62 = (~(t61)); + t63 = *((unsigned int *)t21); + t64 = (t63 & t62); + t65 = *((unsigned int *)t60); + t66 = (~(t65)); + t67 = *((unsigned int *)t37); + t68 = (t67 & t66); + t69 = (~(t64)); + t70 = (~(t68)); + t71 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t71 & t69); + t72 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t72 & t70); + goto LAB23; + +} + + +extern void work_m_00000000000498029938_2356217838_init() +{ + static char *pe[] = {(void *)NetDecl_23_0,(void *)NetDecl_24_1,(void *)Cont_27_2,(void *)Cont_28_3,(void *)Cont_29_4,(void *)Cont_30_5,(void *)Cont_31_6,(void *)Cont_32_7,(void *)Cont_34_8,(void *)Cont_35_9,(void *)Cont_36_10,(void *)Always_38_11,(void *)Always_42_12,(void *)Always_48_13,(void *)Always_49_14,(void *)Always_135_15,(void *)Cont_137_16,(void *)Cont_139_17}; + xsi_register_didat("work_m_00000000000498029938_2356217838", "isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat new file mode 100644 index 0000000000000000000000000000000000000000..6b88162d734554cddb1ac0f92c2f985451343524 GIT binary patch literal 7940 zcmeI0U2GIp6vr=!ihy5;ieFF*VpFBNv%76~5oOnHL8Q>SORXY0Y}w%f98Da8-K z5RqU^6M4`F6MVu46B9M@#Y7&APsT^Xi;0OZ#P~oAiSa?}|9|J6WthxPcf%$|!%2R7 z?#DU*xpU{vz0=NJS~@d(?#sd=rPQA{;|G=mClfVt$WL!l&XqP zAZi}wUUPn)NpkP)C{z27`OCVUeg7BZJ@ekS0G5?niB(vgF^_YES5D_LF>A`A!x+E$ z+x$Az>jc+29*%8$9dvGl#?CtE+ysqW9dx)2_FaPx?}u}{6n^hD=x`j=!Pct!>!8EE zXsE2ySo1oR@hpc%v8+@3dglG`zE;3b*Foo2XdEr;)P7$w9`46)`*eaPsnYw!dEtHG zXjG?sI;bva@}7#$9mseeP*bVe?~C)x+&kevsDloUP4!V7ba?iCTnC+1(D$4wK_a^sB;uVJvzF*1|6O|)OiU;=JdUSMq4LUq`I4S3#!}Cz) zhwW%mGT$gxG|n^69VUN)q|D57Uq}$5- zfoj=;o^7Pu`|lU{ENC1jjb*NDeVzui%X4s}-FW86dFF5DnxpyKy>rYyH%cjaM%Bb~ zoIyHluXJ5`|NQ#3#>@AOU#HUeYt;{WE}Wx!>C2kuyXk#DrJa8t5V4^9mHm$~{JVsR zi4N=Xj98ZTO4sE&^6ojj22JK!>f-C&F5{Cii$2G|YX-HmFX;Ko7}-x<)(O`jJ##p( zg#G-MV;j$QdhX3^=W}2@pFKVQ9$^mmUDo;iP0#OVdVc@XHyHo0@s04*TL-E&@B7Z2 zw1H*sH=1`{vM+65*&8Qog!9V2w1H)BoSc6_af}s{wHp-Y)swR@C{DEKW$i5#C-25W zaq=C?=Rwj2xU240;ufR5nmAcQX~Yx1$#-4Q_`LHXe?Ke~C;I%hA%j)_C};xB;4$zx*a-LyWq}xogI3T6 z+CdVez$UO6JPDoxTR<9QK?mprTfsK49XtzmfG)5Lbb}u79LRw@*bREY^PmsB0A2)p zz)OJtPktG^0&K7k6hJ@V{SN{M><0(H5Eurpf)OwZ#y}B_g9&gDl)xmI0@L6Sm;r~u zEI0yQ1FwTOz?MjmAl+L+| znS5s#s~y|(mhmy;={k4mi$az|Dyd!0REIM#YRBSs^hzaRTPizVoU&u>cFdHLcHERw zcET;iqjsw)S$3N##q4%dO4vzLYPD0Q)Mi^zl^LBW%ud?zq-|MJNyKa`R<58YUaq8U zD0ewSuG;3|$>FiclwB%}*(}=q u&QObU*lA(&%y?-eGG<4wSR$2-#!@LvQY_xu7PH!u@np;3@L++%etvHN literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..347dc718071b772a4b23e96807389f164dbba043 GIT binary patch literal 26707 zcmeHw5116ym2b_^!t}Ie1`q*_yE9IUpb=&m{tP5)mv&2`O&kRT741eCVU#};nAVAj zI;5x7^3oBb8-0oUGVYozdGYPy>SiJCngMwZ%8L%VE2w!dm~0Zu(a5sd3>wY6-|ybK z)m=S*dWP@4?|b>a)W>w)I_I8q&pr3tbI<)##oBuV2Cv`TY#6g-DqzfPZE9^8I8%AYgf0fRv`I%6u zBwZG+ii%4EX8LG}n2`Q?Nw6{+j0DWx#QumsN;}=@=`#%}zm?vfyx|2_oYipV^!N8i zgN6tO%bmqYQ(lR<1rJg(U$vd92o~b#=_nj|Ygt58n1QGWQg=~%Z{Hy^^HQoFibyrY zQMFnt8xL2-L|HUc7PW&*vMU2I5sHS&hyK>oIGLAarR~mpbqKp}(IEU!@xU==l)M-|#m0xK<(_PEMxYM8iOB zj!cnLa*C|FL0Vj`DtJnGK*2S61*dnT=g9`Ml(mSmz0T>h325TdfQSV4zI!^F(X=Vv zZfLfkv17s*(9Gp1;79z?&AjtMubFFo(p5p00=1mu(OF_p=_dH4o8U>;HZti#l#V}I zy2lEo+uyEqHyyGRNLS_2S>oXnrvMLoO9BY>4BcBl1Dm1QCVgfrAw%`ncfm5(TctlJ z=&i3I2Yc&bS@^PWBOAg|f7WVBaCTES^PI zRXtghXI`qv?11zT#l#;?RBHKZbogt~;iZSsHejGBf~CWs z5B)@U^;1=MQ$ApZ+tvLZ&Y7UP`bt;z5%4hL*~I~qo^q<0{sl~RpV{|XEc3eZlwU)J zfi6QrRDG?i73iicMof&4WnN3&NWE7}FNwOsO9rE&jDEyEfW8e6ya>)=iS!0!16LP} z)|5x7x$EdfVj-j&wHIk{GN_{VV7>Q7gOxE51cSYg(N(eF-e<_C)@K~O--!mx!~`=` zY1%zvycwDpt=?x=PpGbR=7OKZ1uLDk(#>pp{}|XPqpht*%I|6Z97xa8D5LEN_W;Oi z2)jL7jxvzW%Bs9R=`?UC>)i=LZM_}gE?Llk;pRbPq5(fDOL;_Q%h~X^$$~cg4WfnO zV%Z(x9Td8|Bi!zCr7VPr#(-}$`wDE6?9;eg*+*pl9Q*qKmF({(8tmJ_g1w~C8y(pw zjfGsV8Kbzaz?~T)a*U*$s{oO`Zz)lEW8^TMt|NRD#SYQ$9w$Su-%2Ckbef@a{*-ANjk5U+Igk-x8$wSoX86uPg4^&HH4q< zPTV3sl{pjr6Sx0sL-;en=EShV;5Pl3m zUPBm>jD2Tvih=Ntd3{RJz@bd(6cB1tQd0#MRbWl;LuOjjZ>6cKY@R`VL=&Jv9U~Ug zoTFgCSyUJA2y%Df&WoaS;a)i3SqTxyJ&8N>Fu%vW2|&qx6|vwhv7?xy?RUBVPszP- zj@Co=oLX_2f$ZB^c=0*fw=r>|%$>OB`WM$Rzso5VIdX1giQGEouyZs{5QgYtza~R( zj@EFJUCh#5Y}X77(l$S3~_=}xYY4iZ4OEAm|~xzkB` zUHkIaLl?X`=^iESxm4HT1~acI^xOR zaI5)esIqIN*h7vKgRbVk{rlWlaT?fgW#3(3Z$w~GFi@NYf%QNkrUZdUYge%vgD<|O z&cIypZfc^+rwIue)bXUQ&pDkFSo%Mig`o!Rbkh5=C{GEcVjghE#NNNmtK>?IeFc@A zl3&TkD*qo>a`#DAa{5RriPiCg*qlqE-3ls+T^X+>(^Y4vN=5)I7$;LLSU0{sf2?V} z2jfiY&ipY(w8=`G>|WWFTvZxnd&y4J`@kSQXse2~x?F*W>_H3Z`7eozDRMCz3qR80 zV)lVp@JQ4~r<)+Xti3N9e2vTG_IOmY#;H@`p6W<@2iX?;@4-s5@AX*lEwk@XEO;PR z-4n%{$;TY5((kXg>{VgQvRk<;@zwB3%ia)9vIVkFzdHjOPG4-aF0#ahblsNy-k$q- z7Z*|K{f9LGDe-VSHeA`YWc^eCCeBa)vc&H0JDmA6wYqn)-ee^Yw?}5vWoQP~&n!D# z#*Mk`Dch+rd#oiWnxJTcq6vzY-oO3@bkKEFj(?F}LJEU7u^`i*in?JNwnDMhC=N_e zl1^tWbXPO?@JVfzDB+xVzGj~YHgE^D_gQm=d9AtJT(*40wG2|%Ga9lF#Rr)%<2V02w`Z~U6HUQ99HoYEu;S8VF$FqOkiS%L-2wPd06@}{vH-axOVFkqU zfcrk7;_8Hc;{S^6z zn-VvgtIQkCo6N)unf{8*aRA+7>3QPWr=$prvv&o=IPSE>5UBlHR`&RwtGvP}bv%2~ zV*nILZHg5E(>`DZdt&xpm>vZ;>&H>>Ln&r3)W=^eC`cimEM$SdV^G{7l40mv_mTfS%nRwDRP%zhc$S!Ue< zQC?pb4em8FFS9P#mwWEa!RoRbP}XI2VJW*#t`q^UI8bBv(^sODb%mvHK*ET!w@O1S`I^}tf5a|A~zJRYL#d9je~a-euA(Yn22LVaZr#|w0`d@@)e z9o>i;oZqq}1i9>KzLDPPXUqc`*jMA?T>Khl|GyAYuafApN5;8b7M&&n4!jDdYp-HE zpHrX1xHF<>zBsyka&fvV5Xa2yeh{fm;#ZhO#KTE5y#O8U$9S|b$07wMh*i9!q)N9W z%1s!zHsiLMs+nQ}{Yjf|-|gH}ln58%9?$-hWUwTg0MV-ON;Ca=l=V5F%=9Hlor4rh zWhpH6C^{xf?O_MS#f>|{*dxlh1-q~BwalT4Oc@sI*qo>FOeLs zf^o4d++vE-IKqHNQ-tyi?z7l>VxyglJ6W_tAZcT>C16>&O}1LtMe9l#R3Tc8cdt@U zG7~Db2t4v4o8x;H1Avhl0m5{oWT1fc1tULDKmcT+)2{bBi7OOgR_t_-Kza1EMIU{3 z5=Tzgxm>B~EH{)In$CXDrHN~rcSlb1MbaQUl_93{tW$m+2gqo@r!qSa^~p`z2(-sc z&J4`Ms7?a>4zz+8kzR>oM>JWQDKEc&OkIlj^~#(XE7KO`aRCo$)|BxG#}znA5{!#S zl&9o@FdT=m%do{f!+HN>DGr%aoZf$+EPV3G()(Y7Wwf9qEGUl=4|9yKe%Y+9#2Jpi zQk*JXPR{FiCNlzj%$z;M=#zoUkXSK`r?bku!+{6f!+~cbFzDDJ@!O@*`PMMFA|fr3 zM+C=nGO7;nM1{ebz->77B98~EPRL?JsR(+#Ahi^ku+jdA*H@214LF}zQ<0}RdihW& zr?yL-3shxUvTVf^;=*XKL>R%+-tA~C_I#;NxzWxip9*@j!7@`+uycZQxlfNhAITQ! zaXC20@Ju}t;A7&v0Zd$^4a{3Eez$`%|&g!+ZAY8-hQk{X@E;IW?p?u^pv@R<$?|^%r zK3m)Z2kNsTvmaSysDBU%@@8h)JknjiN=}S-UL;y5v}JFXCr??Kh|8Nz(ulOlNL-!& zV(c%^;8tXA28J>Ct&+K-Vqvv-&O22)u<f-c1gliZJV`4%qR2fAwz69Qau*N7{TRdi;8Oyw#y1;n}lX{3s zb}YO1ugQ;3rV`ZDM`a&~qW{KY!SUz-p)#nFE;1rrBnRD4d9-@38h5KhW_8eMQH&!l zW9OT4se8L@AHNR13QXQP+){N87lEQ#J<+-CcT&D8%|_sv7EOTPqQ3-;w&+S=c@5t9 zAz<0~6LDv=Fn7+vCgVw3n6!9U7}2z_V_--NJ4(*DXkmm6UdU6RVj<5;=89?wVsBH% z8M^^hQPtm)J4!hUPAp{??L25{Hl?c6SJfF;1{rtRv2_M$sz~d6M5=vtL$y;;N*_3TajTHD19oA zx~k1TK+x(zuk5J(k}MjBD2bt=Jb(nt>EfLd^MN)OhoEScsj-?VQF@TQ@D_1;kYEgb z8_77OAxwLasq`Q#oU7FS32P&jH;-c(d_!Y2I8{p>3r=+!l~ZKFGT-g4QzXEz?D?ELBN9We!e0eNqFyt=?#)5p1qLmjHtV4gdg`v7wF=O zM)-=xnflxQe!Z^ZeDB|L58dOa%!)scqEmbw@Vr2lAv`bt1T{4m;n2l&&iOLNc06 z#yHh`TxN)c#$W)dF0U?gf|4PYH53bHo_xj(ue+4Bp|fqrU2$}#sA@&z#4CTfzx^9c&U)>4w6tbcN+gvT0AIg#yH~3{eR;hzav~B`W-N!*hnxyf9Zq zWSk4olh9r>19WDf7LS1o=Qpb`>#hkgO2^@Rf$52=gBgO4Kmcq9p~^+hUB}pTvdx^$ z=tO34vXJg}r5P-B*x~3t!J4x5KFR|4kEr z@StY3w8%!j>$K-LEPy7acsOqZU_k`82eIR}Lo!vM^Uo5S)-fxmyu1pg>I+fLOSFZV zLQ2wBGv2OLJx1WJZ5T6IZ*S^BFn3M3cdysSICE5`_dXQ_C1n+#*K&mxg1=%Ls;vH4 zn&=`5=`K9^O!P8{+J9L4)%;})giyYv7C6U2tQ4-0CO#@FjcJsLw%+e7pj(Onh|I~ z(=5|8BUz+kzy9#w3cIpX?rLp0c=@z;tzuq8Yda;`0IjXik`-U#^K4+HrWu9SuG2K5 z(AxEyrl{6b>o|LUF+ghzf2nMZyJJ4Bty0X3Xl<7y8=$otwPd5v+D)3KsMeHkr<+J< zno(#iscA;4we-&iXzf;*AIo12UOuhete6+k+5t&6Kx=EXWTVj9T1_(wtu<+yQE2TJ zO;c2B?#R33odH_=7R--YTL)e~t*uwgi)gJ&k`2&WvmzdqU%OS)6xCYJuid6;ifS!q zpBpsINVPWk=m4#~4D+MbHiDN=Ynv4FB3c{5);4R&Mqz6$nx?4MavJ!OrWu9SwrHA> zYVDo>JwR(8!ThK-?k@W`ZCU}r5E9P{?(D{~cGC{XVliGWL%LDXaO?aVk;lqzSGytk z@1@7HPfDPgP@EoKf@3Ih@s3dt%8=8Fip)hwo9S>Ek(}I`t&s}>oOtoV8||hzHSA3; zDl%2Ta1U%c?u^Wslly=;BGV-|1l!~iYYoASFy%_sB>AK>yF0xgX4vQLh<#gfI=<)2 zDA(O5a-%y-siP4Yc=>0GSWHFGMUk$>UGDZJk#KkWmLplX+s7ymp`Xzwqu8XGDXGXD zU_P|a-Qe%D>w5qwHX9i<#%ouEBk%;dUcF7zjKZeBrfEiD(;cKaiLJP?Kb5mTPD?mX za2~_5jTt;WoHs$-rB-ri7Bb5bo_BTa#5YHDo+n0lQvezkuK{zNOE3wrIG<$>vXWLK z=d;+a%b(A3TRDF+JHvTYNkd9X)4QAWeDaCX`vj+}oDS(}awsHUyVi5nMv4mSU~lzV zM+$&q)-hxZe7hnZfraEe*d3atXlv)Js!h`rwJJ9tguy zfxF=l(JhDF$lUpi)P~A z|53G%o|2NSa#(yFla8jXx|GwYDR zy*&iLhQ-4ifp|`_*w(yu&g$DWlHBzr>31*GT?@x5r%WfmQ`)&ktBzITgAo)H$0~8a zl47R!uY4izRO1n}wLI1MgaOePr@s(3TE7@q$3QVd-E+Q_eJ*~D{x=A?K&Gth52Wl^ zt&pqOv>04!*c1!Xzb>&~>3bv7mrC}U`rsm2X&0D2k}q}@wU#|41F;v|*xcNmPEoNKONtTf0>2y!?u*&4^NelX0lu$YUlzkL+sq583T&HHWG)Be z?y*z_>36w05#z+X^sh?zF`UdR6`9${#G!K`?sSdJ#)TBQcXbJXaxkAqELMLcmdB8o z3ryQxrlcf{hem739pA{vyoirFkURDZzTJcg>x!LhkE8N7*;K+?n6w-m1CZ=5m)< za5r4;#}soZ7h#3mUv;@3cDXaZ>-=OL|MT|&EZFf>OT;gt6?^u)e|A)Re%*?S=0}e`?7LEuqi&OJ&9l8`aqJ9<_!a7DdbeVGaG9gW3%N3eD= z&J2}SAHafT^;pML)a@>{v)Zi!0sbm1l2v#{4|FXo4A(HVU=|9K#JyN8CM!8eLdL2V zd>T8s)b$}uVi7khN%U!uojf7SS=PQIY>grsup}2Q7wXsn*Eg2 z&J4E}1o&%FA!{)k3!`!>j0QZ4JiMaf@_@Kg9r*r89r%vcb)&aL>+w0IZZq?7>U6pL z+Cr%m9Sfr~>yaE-Tbz_dT5JiZVedGOh#s!UtU$)h?Tv-Lu0y0oa1v zlB^x~Le>}1$AdMe6BZ`0EuPeKIehRf&d(7gh~mK%a*TkH%-vYX?m?H`Ba$6M5%8=P z7l#_`h4Jj=*cEFCEwHk;2JE{GzMa6&208c1V|hxkYX6}7Qt4luZEDM(`a)cnwnzW}!6gxEHO5`(7()a`oWT$m$^{o-ij_i5}_F zVHExv@OwX&cusjjtXY8hAmZ1f8DIq)u%?m4X^SF)*EsjnkAs_(x|=jfzsS!Fp?Z1h z0ro0I-r$NH@r%41;NU_rUVUE>YZf(Q`FX+WnBn{e=f^m{BY5>fSuv9FoqL$>`2GQQ z?eRL%5kM^a@$gQ0e^zyU`=7$Q<+C!#xcy|9PAhId<+_MhtnBy8rh$@2$6K$-3)BHb zr#u_KM*r)S5ivDW+3zt>m#I@#%9{oVt4!TP<^ zS$y`CZE}vmZBVxk!mWdF>mb}Z2)7P+?g3K<_qfTR{w6d7SJI@(<*%e!*~cYN2K5uI z>{on2{d|z6Yx08nF%_AafU(xob8?Gs%Ah_M(d)_&@}du3D2XEIsW;qLeGt$i5&S;<=S-f{5&Hzvb#qx_547rI#V40-bY{Ucd;>o`Y ztA-6uDL7IvL!-yBS#zZETY{*8aq2wy4d(@9aGM+{!;xQJm?-1a=YjWZ#EnzGUM9~{ zn=YE1{jBsW7W|FulUTbD+bYim#{c=OFfzMdK%~`s zpSj?q&}LsmX4>p4EP=BFdA~a#7l>cSgN%J%mI;jX*FyOcwL~Z0VuJBekih~>JIU$e zF3Coms3ol6EnY7=+V-n{%-qC;Z9nHdSQ%`=$1w3KkN>;3yWz)BhV)ro^6u&ZK1=Gs z^-ZwiPN139nN2?jD<;5iAXA7DLVe2B?b+SP1vzkDf*n@9##_3rsvoSjox@)hQt9*m zCFb6DCo&$1+ND@#*y~K>y8jgc&nZU34XlOi;;{4S6p2w+mAMBx!dt9Z5X}GRs|tv; zP;?GjqP_10b3&omAc`y$EaHAy6yGjJjtTjK$V6wOP{V7U9BB=MyDq%WS2v^0y|bZs zxoE>J#t0~|af*9)#W65O4|+9kZQeMmHL+#&#srgzo150pY-($oN$?$;x7;>sW1=>9 z%~{Y;JA1)``i8{p`nmIF*UfLJZCdDMh>A!~VO6{lvqbk7iM{-$TPF+NY6Hw0~8mvFF2@6m~9B3OfxIR#)CD&!nrgWFdjlmQFJ1uC|*X2qe8&=)WeqHvtJ6E z@>1Gi$~S(g zhE*Y@WM1RJHhHjV%QSWlQmV9N%QfsCq!cWJl;Zr!wHkXK#xhmzg-9uEvj=NMN|if* zrS=hLU1u1a+XjrMy_AF0ObNq}QH8$}1CG#5ReGr@UaHDVP4`l@UJB;y(nh=#lbr~2J*}yq%$8fkC2`DkoknLCLYyXLU!jv z8VKpihtv~tz=QCq9Bs`AE+_L8Kp5NQAkzS0)R%+I0fh0Og5>|tC_orvO2%Dp7~dp& z@5=vQQKZZzJ-|Wfc~}1bh$8h$tbR97&&u|kr-LPnP}eB+-f9Rnr7D3*3Fq~Bre;$sWnkozaUXJ z-+P#!sGH|L)Xz;U(8)Q82A!Op(7EgD5?=8VPg59jm{*74pnY)Pa}#I0w>!oqlQnKKC>`Q3s|j4gq-`n954k z&r8&SWukdwOCr&>wK=h+X +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; +static unsigned int ng3[] = {4194304U, 0U}; +static unsigned int ng4[] = {678490U, 0U}; + + + +static void Initial_77_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5408U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(77, ng0); + +LAB4: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB65; + goto LAB1; + +LAB65: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB66; + goto LAB1; + +LAB66: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB67; + goto LAB1; + +LAB67: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB68; + goto LAB1; + +LAB68: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB69; + goto LAB1; + +LAB69: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB70; + goto LAB1; + +LAB70: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB71; + goto LAB1; + +LAB71: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB72; + goto LAB1; + +LAB72: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB73; + goto LAB1; + +LAB73: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB74; + goto LAB1; + +LAB74: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB75; + goto LAB1; + +LAB75: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB76; + goto LAB1; + +LAB76: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB77; + goto LAB1; + +LAB77: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB78; + goto LAB1; + +LAB78: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB79; + goto LAB1; + +LAB79: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB80; + goto LAB1; + +LAB80: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB81; + goto LAB1; + +LAB81: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB82; + goto LAB1; + +LAB82: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB83; + goto LAB1; + +LAB83: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB84; + goto LAB1; + +LAB84: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB85; + goto LAB1; + +LAB85: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB86; + goto LAB1; + +LAB86: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB87; + goto LAB1; + +LAB87: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB88; + goto LAB1; + +LAB88: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB89; + goto LAB1; + +LAB89: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB90; + goto LAB1; + +LAB90: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB91; + goto LAB1; + +LAB91: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB92; + goto LAB1; + +LAB92: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB93; + goto LAB1; + +LAB93: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB94; + goto LAB1; + +LAB94: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB95; + goto LAB1; + +LAB95: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB96; + goto LAB1; + +LAB96: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB97; + goto LAB1; + +LAB97: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB98; + goto LAB1; + +LAB98: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB99; + goto LAB1; + +LAB99: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB100; + goto LAB1; + +LAB100: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB101; + goto LAB1; + +LAB101: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB102; + goto LAB1; + +LAB102: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB103; + goto LAB1; + +LAB103: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB104; + goto LAB1; + +LAB104: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB105; + goto LAB1; + +LAB105: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB106; + goto LAB1; + +LAB106: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB107; + goto LAB1; + +LAB107: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB108; + goto LAB1; + +LAB108: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB109; + goto LAB1; + +LAB109: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB110; + goto LAB1; + +LAB110: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB111; + goto LAB1; + +LAB111: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB112; + goto LAB1; + +LAB112: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB113; + goto LAB1; + +LAB113: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB114; + goto LAB1; + +LAB114: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB115; + goto LAB1; + +LAB115: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB116; + goto LAB1; + +LAB116: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB117; + goto LAB1; + +LAB117: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB118; + goto LAB1; + +LAB118: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB119; + goto LAB1; + +LAB119: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB120; + goto LAB1; + +LAB120: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB121; + goto LAB1; + +LAB121: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB122; + goto LAB1; + +LAB122: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB123; + goto LAB1; + +LAB123: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB124; + goto LAB1; + +LAB124: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB125; + goto LAB1; + +LAB125: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB126; + goto LAB1; + +LAB126: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB127; + goto LAB1; + +LAB127: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB128; + goto LAB1; + +LAB128: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB129; + goto LAB1; + +LAB129: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB130; + goto LAB1; + +LAB130: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB131; + goto LAB1; + +LAB131: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB132; + goto LAB1; + +LAB132: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB133; + goto LAB1; + +LAB133: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB134; + goto LAB1; + +LAB134: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB135; + goto LAB1; + +LAB135: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB136; + goto LAB1; + +LAB136: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB137; + goto LAB1; + +LAB137: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB138; + goto LAB1; + +LAB138: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB139; + goto LAB1; + +LAB139: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB140; + goto LAB1; + +LAB140: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB141; + goto LAB1; + +LAB141: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB142; + goto LAB1; + +LAB142: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB143; + goto LAB1; + +LAB143: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB144; + goto LAB1; + +LAB144: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB145; + goto LAB1; + +LAB145: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB146; + goto LAB1; + +LAB146: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB147; + goto LAB1; + +LAB147: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB148; + goto LAB1; + +LAB148: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB149; + goto LAB1; + +LAB149: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB150; + goto LAB1; + +LAB150: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB151; + goto LAB1; + +LAB151: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB152; + goto LAB1; + +LAB152: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB153; + goto LAB1; + +LAB153: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB154; + goto LAB1; + +LAB154: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB155; + goto LAB1; + +LAB155: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB156; + goto LAB1; + +LAB156: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB157; + goto LAB1; + +LAB157: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB158; + goto LAB1; + +LAB158: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB159; + goto LAB1; + +LAB159: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB160; + goto LAB1; + +LAB160: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB161; + goto LAB1; + +LAB161: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB162; + goto LAB1; + +LAB162: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB163; + goto LAB1; + +LAB163: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB164; + goto LAB1; + +LAB164: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB165; + goto LAB1; + +LAB165: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB166; + goto LAB1; + +LAB166: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB167; + goto LAB1; + +LAB167: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB168; + goto LAB1; + +LAB168: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB169; + goto LAB1; + +LAB169: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB170; + goto LAB1; + +LAB170: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB171; + goto LAB1; + +LAB171: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB172; + goto LAB1; + +LAB172: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB173; + goto LAB1; + +LAB173: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB174; + goto LAB1; + +LAB174: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB175; + goto LAB1; + +LAB175: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB176; + goto LAB1; + +LAB176: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB177; + goto LAB1; + +LAB177: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB178; + goto LAB1; + +LAB178: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB179; + goto LAB1; + +LAB179: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB180; + goto LAB1; + +LAB180: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB181; + goto LAB1; + +LAB181: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB182; + goto LAB1; + +LAB182: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB183; + goto LAB1; + +LAB183: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB184; + goto LAB1; + +LAB184: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB185; + goto LAB1; + +LAB185: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB186; + goto LAB1; + +LAB186: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB187; + goto LAB1; + +LAB187: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB188; + goto LAB1; + +LAB188: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB189; + goto LAB1; + +LAB189: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB190; + goto LAB1; + +LAB190: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB191; + goto LAB1; + +LAB191: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB192; + goto LAB1; + +LAB192: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB193; + goto LAB1; + +LAB193: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB194; + goto LAB1; + +LAB194: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB195; + goto LAB1; + +LAB195: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB196; + goto LAB1; + +LAB196: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB197; + goto LAB1; + +LAB197: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB198; + goto LAB1; + +LAB198: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB199; + goto LAB1; + +LAB199: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB200; + goto LAB1; + +LAB200: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB201; + goto LAB1; + +LAB201: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB202; + goto LAB1; + +LAB202: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB203; + goto LAB1; + +LAB203: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB204; + goto LAB1; + +LAB204: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB205; + goto LAB1; + +LAB205: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB206; + goto LAB1; + +LAB206: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB207; + goto LAB1; + +LAB207: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB208; + goto LAB1; + +LAB208: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB209; + goto LAB1; + +LAB209: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB210; + goto LAB1; + +LAB210: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB211; + goto LAB1; + +LAB211: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB212; + goto LAB1; + +LAB212: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB213; + goto LAB1; + +LAB213: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB214; + goto LAB1; + +LAB214: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB215; + goto LAB1; + +LAB215: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB216; + goto LAB1; + +LAB216: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB217; + goto LAB1; + +LAB217: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB218; + goto LAB1; + +LAB218: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB219; + goto LAB1; + +LAB219: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB220; + goto LAB1; + +LAB220: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB221; + goto LAB1; + +LAB221: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB222; + goto LAB1; + +LAB222: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB223; + goto LAB1; + +LAB223: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB224; + goto LAB1; + +LAB224: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB225; + goto LAB1; + +LAB225: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB226; + goto LAB1; + +LAB226: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB227; + goto LAB1; + +LAB227: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB228; + goto LAB1; + +LAB228: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB229; + goto LAB1; + +LAB229: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB230; + goto LAB1; + +LAB230: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB231; + goto LAB1; + +LAB231: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB232; + goto LAB1; + +LAB232: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB233; + goto LAB1; + +LAB233: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB234; + goto LAB1; + +LAB234: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB235; + goto LAB1; + +LAB235: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB236; + goto LAB1; + +LAB236: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB237; + goto LAB1; + +LAB237: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB238; + goto LAB1; + +LAB238: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB239; + goto LAB1; + +LAB239: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB240; + goto LAB1; + +LAB240: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB241; + goto LAB1; + +LAB241: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB242; + goto LAB1; + +LAB242: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB243; + goto LAB1; + +LAB243: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB244; + goto LAB1; + +LAB244: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB245; + goto LAB1; + +LAB245: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB246; + goto LAB1; + +LAB246: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB247; + goto LAB1; + +LAB247: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB248; + goto LAB1; + +LAB248: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB249; + goto LAB1; + +LAB249: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB250; + goto LAB1; + +LAB250: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB251; + goto LAB1; + +LAB251: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB252; + goto LAB1; + +LAB252: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB253; + goto LAB1; + +LAB253: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB254; + goto LAB1; + +LAB254: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB255; + goto LAB1; + +LAB255: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB256; + goto LAB1; + +LAB256: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB257; + goto LAB1; + +LAB257: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB258; + goto LAB1; + +LAB258: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB259; + goto LAB1; + +LAB259: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB260; + goto LAB1; + +LAB260: goto LAB1; + +} + +static void Initial_144_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5656U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(144, ng0); + +LAB4: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(149, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(150, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(151, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(152, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(154, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(154, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(155, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(156, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(158, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(159, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(160, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(162, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(163, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(164, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(165, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(166, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(166, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(166, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(167, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(168, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(169, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(170, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(171, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(172, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(174, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(175, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(176, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(177, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(178, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(179, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(179, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(180, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(181, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(182, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(183, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(184, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(185, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(187, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(188, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(189, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(190, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(191, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(191, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(191, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(192, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(193, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(194, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(195, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(196, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(197, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(199, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(200, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(201, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(202, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(203, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(204, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(204, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(205, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(206, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(207, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(208, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(209, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(210, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(212, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(213, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(214, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(215, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(216, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(216, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(216, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(217, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(218, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(219, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(220, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(221, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(222, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(224, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(225, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(226, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(227, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(228, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(228, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(228, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(229, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(230, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(231, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(232, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(233, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(234, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(236, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(236, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(236, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(238, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(239, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(240, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(241, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(242, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(242, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(242, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(243, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(244, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(245, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(246, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(247, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(248, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: goto LAB1; + +} + +static void Initial_251_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5904U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(251, ng0); + +LAB4: xsi_set_current_line(252, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(253, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(254, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 10000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(255, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(255, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(255, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(256, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(258, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(259, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(260, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(260, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(260, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(261, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(262, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(263, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(264, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: goto LAB1; + +} + +static void Initial_267_3(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + +LAB0: t1 = (t0 + 6152U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(267, ng0); + +LAB4: xsi_set_current_line(268, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 10000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(269, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(270, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(271, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(272, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(273, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(274, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(275, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(276, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(277, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(278, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(279, ng0); + t2 = ((char*)((ng3))); + t3 = ((char*)((ng2))); + memset(t4, 0, 8); + xsi_vlog_unsigned_rshift(t4, 24, t2, 24, t3, 32); + t5 = (t0 + 3048); + xsi_vlogvar_assign_value(t5, t4, 0, 0, 21); + xsi_set_current_line(280, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(281, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(282, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(283, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(284, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(285, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(286, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(287, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(288, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(289, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(290, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(291, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(292, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(293, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(294, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(295, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(296, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(297, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(298, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(299, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(300, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(301, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(302, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(303, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(305, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(305, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(305, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(306, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(307, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(308, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(309, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(310, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: goto LAB1; + +} + + +extern void work_m_00000000001578517288_1330392502_init() +{ + static char *pe[] = {(void *)Initial_77_0,(void *)Initial_144_1,(void *)Initial_251_2,(void *)Initial_267_3}; + xsi_register_didat("work_m_00000000001578517288_1330392502", "isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat new file mode 100644 index 0000000000000000000000000000000000000000..e4593a80d46d1f4f7f43115eb72ecd3033b3a1fb GIT binary patch literal 7300 zcmeI%d2AF_9Ki9%4FU=%2w3hzxw==EZjFhl3lSoSv{X>hb=xj*6qF^C9a0#d)f={y)-I)P>ROZt+}yf?G&_nYhW?aX%W zp2m}>E?pI^qmTT(wQaNOw)N=HSg8R>HdSgq*1+44Ht~mO*Kej&UyMc) z%diIPu?sDlE0qB$C9EH>%%;zLqu=5-N@>e!XSA=@B15Up2-#**ixQ51GX{}o2gjI2 zeG$61;#je~rBcnPrN}nTT$k;7zfwz)Yp08}1-r0nIc&X6>ITNT^E0TSxcRxrdm#yOL#)Z;z(&VWgk_P`qo-il~mGhhcIM+2deg2 z+iCu9ik!2Y+Z;%{`U;-d=}DYiqh5BbT>Ja%_Q~YwZO6)ef5gZmxy>T`cNTp-eb^}H zBFFZq-9DM)>1)Tz-^7A6^7OM~nS#`!H1cHHu`&%VOCyiRj%A8eFQk#jYsWUBUXex~ zpB;M!_3AY8_=!DLMaGXcz8HAZX$&QC_1vJ;$bl*$`?>h=@%JWqtg*{t4teG}^62Oj z&121n2?xnyb>tovHS1vqlg`E23liFM@q@)dtf zk=T4lE&0q+Q;rTsCm9a~NaZ*>8ad?Hu1YaSCnJZE8v`iq%HH0 zF8T_*V{CWMJ8m&+jTq}Y%ueVQv(AWdem}XzY%pSEPSV9K=2JT8bCg=Pabj%M*(zcO+&9rAGwD? z{oyID^?oF+v}J#~=v~#$drH;LdrH;LdrH;LdrFz}-b#BC*}{Y&zY|;zc|W`Y!;uGh z*Bb$OU%L_`F$(hTbv3TRXvllib%@}4L{W$$6eET)koTMt#Bl>kA@40^kRK<;V*(~Z z-bE^qL?tF+GUWYYDyHE^Ovg>Q88dJTZpCf59WyZtci>LU#vI&*yKxWhh5W{GKOVq? zcnA+ee$$(W`He2!0#9NAp29*rjYU|DC3pr)u?)}RIXsW$co8q*Wvsv}Scz45 z6|doSti~I76Kn7m-iFNY@8UhYkG1#!>+m7gV*@_I$M^*D?D!cz#~1h#8}Svs#y8l6 z&G;7IVGFk6d;EZH_z~Oj6L#Qd{DPg>g>EkY}bwXbky1s2Q3={?^Yz3$#Ql$TQ42XpJ^F7xIkK4()LsG9b?& z9nlG$A_~V z)%G$x`)-2Dl3y}^7!)7$nm=lPQ;V(=(tFBcEqdn3fDH`JF?~v`Xc_LYyGn$fpl+|4C0zg zYc?|^tp96Ck9bAAtoklTq!}rUm1M@I#4=^GoTA5hioRfWDCo=fhe8owAm9z;_=8@5 MW^ueYnv`7s0iUJ~YXATM literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..dd74913c7e07244285888e87f637f95a0d548253 GIT binary patch literal 54031 zcmchg2Y3}l+lCJvrHCjBScr;(h0u#A3L+RaDq_PLT7*a^p;$o?G{)Gm_uhL08w&Pb z5XFWa5PPru_w19IocZLiyYpWrmy$d4&b`mRGkZ2CCxMKdQ#WJY-hVZd*)*cMnf$Wi z>17e?kC|s@GWUbs&eg}e*3V?}GJ}TZj~rGuENfn0Gv}O4=2nhn-szf(Ww_w()_63zK%y2;&Z9gz$RUgb+ z)P5l|M;DYI(Y9AXd0E@O1?4BSEhs1-+jdZ4`N+0I3ZbC|Z5iH1!`lbhF_j0kFovPblxoROs%ayyK zaZ!}kAetV(2EEfO4bm%bMNR9(YMVa16I(#pj=0UaCQ{t8)rmf|m)%;Ija9RUW7+DO zICGUn%htC^9b2oubb3U`*4ahVithcxgG@nx(@1l4S8#4ZZA9* zz8amC(;#_{zi-PUA?5RvV(biu(9o%-xoY}cJADXO+5ApZ+_hSLJ+`94nddQ+o94U=dGGERw9SVxZL|Gm z((1)VzsQhz0Ia2J&AiyoTualMd5N95mY$=#S9AuK+SyUddHb%R)|pJ;XakcUQb*?)z_2pIjgm=EC#!7re0yE=33h7 zsaM*mxmWAA=H|rRz40o)kBYTy3N!8fkF&bkd}J<-+^bwkRMxe4ErwgN^w;8bHZb;D zyxz{twP38OuEiVd%(b*#eJ$Q-XXjqsOL;nCuf=G3{IxhLz0wUO>sq{t^KuJYkp5b{ z+4hxdWvef+TkOJB7c6RQ^NijG7tnIwVKR0{NUd!hAx0ZWtV*DQ6XQ$@gqv!Y}X0PaI zdi)hV7bUBo`~RmO^MG2*r(Uigzn=%}Nv>rDs&17J+NtraKV+xoZvAC0imY3u`AQ_~ zVV3;zG+)TX+$x6?m34VOk{y-)R{5w6%(Y_f2KbnrnQOt^%*XA_L|6S2c6RR7ozS@Y z!iuKHUsyY*SJpwvx)v93URiq>_cZWd*W#15uUsozegAvPPR+Hn)l(PRskv9HUnB2Y zT;%tW{91h4i(2EY^6hoKYw_9tiE5wXR{5MwOLWmZZ)Zo#u*P%i9i3Z;JZ74wMlbL_ zdpr5i+*;PMIsUEXMdnA3%=kTe$xh9^M^~(4&PGo}qv`Qi^f4$|m*>mo{Qn=%DqpcD zxt0~Ex>cH2l@hl8nw^@v^=o-4CF@rCy5C3g%kvE{YK@mbPolDJm2YK7rN31!wt=}; z%-sOrwli~GD{kfzJ2TN$|BjuVdv$kjP<>%V)8j9!_0uaK@##;*V0x`ecw*ay;>X5N7l9Yf!{~+Yw<%bYK_<8ZM>S1buBK-j!J(meryAC zt(d#QKCv@%Ets46shyeVTKvq;&b_)1*R8%5qv`S2;_URwV3e$D@pI1W|8p&VVf)Ip zveg&Zmv(BdrLCU2+)mBCT4zMB`9`0w@aC=X`$&E*uJoeTcrCVxqV(Bkm6`5s%o<@`ULAof3Frd&wst- z{HqzOeg>5M5%Qat<1Mkx;lN2=avrj#?{VonTY2o`8eeGM$2)Bv>HFH#Dd36wn!cq~ z^~yrkqcqzXx*;#_uJM)5vyUU>s(}SZ@chw{~Ds@-aj`9|8Q0QJ6F=nrN21of_C5U?I z=o;&4Sa4M8u6`-@y4pWfh*~E&Ds@*^4)+%DfY7}%V$5E34UY1=N)Ywjuo~;? z$l$2dUHvfB>*~PJy)t6VUY!;k<#&}JYSZ8-+Q_;GCWyMHD0Bf+cQw$DI*3zE_(a<8 z%7`(0_1;llS1F?Wt`bB|430|ORlVS-)LmVAq_=_JaFpLwf~ZAD)L2)C z21ljt>YKy8t_}&^DayGjr>GC0cLs{~QM^L@A)>gp^%idQkh_R5Gcd$mk3;17lwP$ct>aITA!|RG~oQ3U`5o7kMG&sudDnV4k;3(S2dR!%l zx?*7H0;cY&iyy^ziNp5Fh%tNh>;SK;6j6Rx38Ib&j`H^^LDcuV*H~9`{3w1-A#AUV z7_(QK1V{OMl_2Ww{@w!qmt74Aj!NCt(%rnS_@SJzy)t6VUQGy&^1Dh9RW~?_HnQ%4 z38F6P7rKC{yUO>Y__46Cy)t6VUM=kFb(JE@?Dhtb3*41%-)cDZ7GGfeL ztrr~S?^S}R>$`ai_+NIlV{laJu3qWtbu}S$uZ$S8S0jR>{H_v2{nn+%x~dG0O5N3# ze$>R!y)t6VUOm*=Tfh`iepd;i3WK9)BkLZRAnIdIF6-Nx#z^=>=7{N{rutEnl1DK! zE6Rv5d(|j7%I_*c)YTom1^h3&>K+`Gx~muRy{;yQ?v)W^_Npj2%I_*c)K491tgG_i zsMK9;?njk|?v)W^_UgVJyah}V<#&}JsvtOuHnQ#(38FsOzQ($m>_?S_?v)W^_NqZ} zl)qOAqAuUgTfqOatIokusk?e+Td%7rp?hV-n7ukYILhxTLDYBcYpko;!BMHZYVAi& z4c#jv#_ZKy?Ysp{5#@K4AZqvEDB8%n2PTMmcbgjPYP=scEp)Gp7_(P(f}{MsN)UDN z*4_gCmtA!Tj!NCtQ*FJjribp85o7l1kl-l4s{~Q2wyLqNP7aPr-PJ~Z)Uly^WyF}h zx@}8u0aHZzT_uR>8yrO&S@*yMQH!^zv96BsqmB#RDOt-S?2DRi%l7_(RV1xNW^C5Za0RgHCZY;aWSuA2H$GeY;uh%tM0 zT}y8PQ$+b)C5Y-397P*h&n*%}y}WUabv4|Nn#rjKJ-0Ao%wGMvk=Ip_)EpuI9;%wFvs9OZYFAZpo$HP+RX;HcDHt?Ngf61rDL zjM=NJHt-fOMU>xFf~ao6QM8fuxJnT9eDfOX>L@>IR_IU>st%9RccXekYZvjsW-76!;>{b8ZD8H)&QSYofh?*H3 zJ*^-o=|tBTOQGGfeL zogW{TE$v>-*QQ)Jl<)xFwsz z>oEWRm0AU}77bZgFl*Ix^NY0l7L=E@Ehs2Iq3xi8^094)6qb){JG2lQT}WY~ey>); z=sOVg3uZ4UtG#+z)@wVBqTT63S7sksw8jiXJ6gcY`}3Q%<0D?srRmCgJjXG;uQ&uxUBl zt&A32vp0-p^IQDPmBVk|&DFU3ReLS$;dAxvW^QC>=5G4}p1)+Zy)lMs+nv+cww(&h zKRCo2yVpLC_vZ4;>o<>!E>l=p&n&@w!v*Cl?8UUU%fnl)mQ@ST9p5ZKu2m~6|3NF( z%C(i_8gUEI+Rn_qR8_~^#LmpUROU%h)>3VX;g*WiUdt8I%QTjKg=`k3x%FL3%PZCL z=I-=zv}}JDUUUJrv9oixU3J_%J2Q9NlX)tW)%F$`p>1zz({gPwU6@CKdfqCUUH$7V zV;>l8?crnF-rCO0-S+W3*U4&o8;sDl+u25{9^tvVcb9E@cX~;7ds{m@w(afg%-n6C z$}^O#wztPf*!I6}gFD!?T>X02tocoxtCv(iBw}|v-yS};?T&V4?zStLVt?;=XEVL( zGh#(<<*@XMxe!vO{KUf{Yd^X~N3fsF)7^Bsn!d7DIM=qg%dlG{tmRXZw}IX5?AZP2 zVQ2n(alI!+eG4kg^PURxyr;rE@2N1)d!W&U6ejW*zz{P>9{Xk8XfM={SoWSHw&)jqCT;Hu^UqUuCfJ>FH#BTm)pT=ia8ecO$_ z59wTfgJd-2CZ%&}1?gP2hg9w7s)eq4l&hAx>I_#s*Hv$F)q7oak*h9s)fKMVgwGRN zwGAMhX-7!sG62$<4uW*1!(DZ{tIl%OxvqMftIl`T58c>jkkZ(kshID>!K{7stWc=dBHsRqY<7!Apc>7KuQ^XF<(j9Mns+ZG_c=HA zCM5TBSN+pf>vL(V+7gnR59xS)Ty>xu8v`kK86@KuS2bVZ=y=;g>Zl_mV{b^tFi05_ zAsNR)GOmPV$+#Yp z@jN7BZ*HENR|3hM;l|E@V=yFRCd7Z-Dz4<6-22_wLP+jQklgPfxjXR#95VKY z80IKPKr+U=>Zz`JzN=pD#-4<9ydDJTc!iLTcQ~Zu&4BoijM==CaSbHnAxOqEkc?L$ z{=@i*cQU5(vq+kE0VMZUH}(J|_gPo{z*U#K>L0G!j8CUJUK>bmdpEWZq}-<RCkjKd)rGa(tZ`LwQid63*5Zmb_9_W)Ndan&+co#U#P zyXtMOdcPZc7gFxNJatgoNJwW;3MuU(NN0KlBx4>V<5ft;Qb@)sSFO!}s!bqO+we|q zcUSG}s)Jp1w5v{Y)tQjaaMg#tKgN)4}89P8S20_X=7?Lp=l5sjD<044LBW~Q(W~_NXE^OGVX+Aya35q z2C0v4AsHLw*|AoTjP8((y>|01iqb;nl1tg;r zB;!Cx8HYhKrb5a%6OwTmB;yG;_B15pV@SrYu3CRfYitK8qZ1^f5Rx$*QpO}m8FSs( zWsr=AAQ>;a>idw4%vLs|J|tsHNJcM68GAr7#<;Obkc>)5#&xcGFC^n_NPWBy$@mSD z(X_42*bI`<&yDQ?$ru62IM!89hh*FiDdS#9#w(DF&mi^jBP3(vt?k%mkc=H68T+~F zQIL#NAZ45m$+#YpF&|RK^N@_M-Pn(ijPmR z>Y0#?yCG#f2+4Q@lCd08AHPB}HrdXOZ2`&X1IakRRfj_|=0M6g6OwT=B;#>N881UJ zzIS84LNYek-WuCNGI~KWj)0Ug9FlPoq>Kw78P`EFo^@j{Lo!xCGFH24(;ckQ1yV*Y zNXCJXjAI~W91AJq3O9BgB;yH4#$s3f43g2XgUx6P$=D8((HBz2evph(H+C!}V=g4) zHdmbw$@mabAD=-oGWpin2vWw@kc>Uu*nW_VF_1D&cGYtr8TUiVm=DQ#8_ zGLD91oB}E1VyIchJpa21lJTM&dmWPTH6)`>XKOTvWb}ZPu`?uN2qa@X)GW$45t4C@ z8@maTu@I8+uB$GGWHjz#Gnzv(@*x?!L&`V+k}=JVoe0Ue1d?%=t3D3N_ylSmo#}E& zM%}K~XbmZ2TS&$}ZtMU^#so+ivt9K9NXEmEG9HIyEQMrz2PtDUBx9>?c5GWn#sEmh z;jVfNB;zbd85ck@?uKMM11V!MB;$8Cwi=SLNq1{>hGg`GWQ>HAaSSA54y26BAsM$p zGG29Kiy;}`Loyolu*OD^jNXtk`a&{}fMiUDlyNd7;|4c&8zkddNX7@Qx(brftf$S` z2$InSl2HID;}A&3@owy7NX8YAjQd>mDM-c_kos5!$!OTi8kUgMSlyM%UjEkXG(b)Zv zj29ps?_Eg7-)^i!HWORpQ><-Bo4Jl(h)GEq218N=7)sT$4AQ^AEv3DUEKSQk} zqtQ;*Xbs8O1yaWDkc^>_jH!?^W;@^L0Fp5hl5rfQ zjB-fE9d7JCNXDy>jL%*5CrC!iel}xsNJei+#vn);MUaeHZmb-VaRVgd5m$WylJO0s zK7N8^G~3M@+d#_b4#_ywjTJ#Mj)#3APQGV1Ma#~ML0+CehAW87o}%H%P{& z18v5Zkc?d*83#hj7y-#R&5cz;GH!unJmIRZKr()S)W>g-j1Bj&#&(c0c7$Xc>BdGt zGG;)^nCq(7Lo%L&l<^8AV?1J4uWKian<7>8COEexE_+R0Fv=G zq&_}}WURBN9a|5Qu{|WCpQ{dnWRyY5I1Z9=Atd8=NEwenGCp!+pF=Wg?PZOPAsO31 zGWLR$F$j_|7E;D3kc@L784tLzM<5wXAQ@k~>R*tIyuEG4Hjs?nAQ?j-WsHJkRJgHo zAsKf-G8Ve(8<31&AocMVB%@`aHS!^4^nqjyb7P|*8M7c|T;i%XLo!~1l<@{6;~Pju z-FRk| z*4Px1(FJN2W%Pq&6uYr8kTOn#WL)8@w?i^sgOu?$B;yB2M#I52V`E6hPHwCpB;!a( zMyacw0?D`$Y95{G?U0P;AQ>M*>f>uj#`*`?v5g@aT_G8Jy6O-}#tBgKDB~1J#+8ta z`ypj4gk*f_#=eGRtaG3>+CVb$AsK@qWekC2ltIck1CntGB;zqRwh)r>5hUYhSFL-H zHQGbU$cJR?1<4o+DPsbpjPu>tC6J5;Z>QYF?Kaeu&9&C*~NJbAx83Q00C2nj2 zq>Ktk#x<^bHzeaNNEu5Z8NWa>8XsaaHi2a9>c$2@GKN7irn%}INXD&@GVX?CyadVk z1X3U0Lo!+nv16M+GI~NX_HorCpqA0qG!s(B97x8skc@{RWjqVDjEt|`*!Pf(CWl&M zD@aBcNXEgCGLC>+MMs$qDdQ|i#ubo^C*9byP^-xJ6q50~t2R8$8aqJB=mN>u7it~3 zBOzs!Ldv+vja>oBmT> z6Ch=r2g$ewlJT?~dl8cHB_!i-S8ZHmjZTmNEv5A zGH!)rEP#~pDkS4aH}*Ruqs0hoY!Auk4aqnPQpQL~#!N^V7eX>_fMh)H#$JVFd;_22laP!huKEQeW8Gq#(F~HY10-WNNE!P> zGN!n(;~^OrK{D=e)kh&2%OLgf1tg>P(bi}QDWe@EV{bRMKO|!uq>NdvdLAU>K}Z>o zLNeZgWPAfD<8MgD7NhJ~J4i-AQ^W;G8RF~coUNGs~h_plF@3k zH9A5vc7bFJhmR3n_=RnH15R!2(B;z?q$6Er)_|uKm8fT5oAQ@dD8M{F;j)s&m7E;FPkc=xK z8FxT3UUy?lAQ?YGGS(Syjh2v%ogroH2FW-Il2HaJV-_UiCO38mB;$EV#z(ID4J4!a z1e?(klF<#4u@|I_Lm?R_y0KZ1jH@6S54h?gNXBwVeS8DSSa+f|@*rh&gk&7x#twyK zOo5b9;i{KHG9HJNu?Ui}43hB+)GTAZcCI(c8rwn|>j=r%8Tu{0hlv zQfiG&AsKz4=26B#NXBqT#&k#-r$I7qb7S{FGG2yceCnz{Kr%Kevl*L0GI~KW_Jx#j zBqZZxH+C8%<2p#jJXd`VlCcU>A3s1cnohAsTSysQAsL6bu_GZF$3n_D+f}cGWIP2a z<2gviXON6PAZ4sG)fye3s$59Mevph}S1p5-aWSNfDFUXYBVAsHt? z%BX-^M%Uk6ZtMX_#_N!bFJ1K)sAXidKGtUBK{9rRWDJIsF$|J1+l^H~t)ipc1j%^J zRbPT+d88abeoDIpi&yCH4 zWGseceC4WtKr%Kz$!4^LWb}n(91JO=7?M%$#?FRh+y=>b(p6uBWc&oFk3S$88_lrB z4v;c-f@BoAv0_NZ$&fNGa@89l881M}cny-V3X)NKrp;I%lF{9b?F7j<1d=h%RZoCq zTn#DXMo7j}kc@XA_3MaWjqGS_}Gno z3CXB)iZxn6GTK8j3L#|-hGdL~lyNE~<9tZQLvHLbNXEO6jBj1_A4tZQvus9tNXG7v zjKd&hlt3~n-Prk%jJqHiPrK?{kc{6T_3;lRqxGrQ=maTaS4hSPH&z14m<=i8GFQD7 zlJN?pjJF^e-$63!&$byYAQ?Nlv0WhUWUNpv7tScn?52-iwgVU@4^I{o}^!oowg;pmFM)KtuOVgp1?hNsXV|fJklfyG zY!0NOyaMScpSkLfkdCr(g+0pVkd9L5#)=>vLOM#*Gwo4YKsri$H&zDe zD33xq${ViwF{Go^tF%XH3{`am$=%0Q?}K!d=iS&_kdE?&tN!Jx4bHOFR*>9|kk+)f zs}{QIa95q=s&n1g<&e(rE;sfkq%-Y*w#{H`qrY!nE7Q=C$!yC1wW9MCsk7{M|GPR>QUO7`Vu{ghb_pUw6Xl?$l6O|9*&+Yi% zSamW*<41Ke!+HF#IgE5RBj(K*(VQ;kBQvr3I3xMU{7V8lj;ZrSLB{+W1JxrZGh+UA z0hiU)%rochvbvd(UT!46tav(4@}oD?Qk`1Ivy$eY53GL6=id+*R`p?YoLYVPs9yC1 zD1UgVIi2V&>Y|oeeg7?ga`l1C>ei|CkUyd7qjR!GOe9V)QJ3lu`8N`Z zI#++#vu9DK>W{kg=vmY?Q&dzkZemf<^wN@|$;G2eO3R8T7wI2xSW|_631Y;Qvf|Qg zt+cqTXvCDsllj*gipG|VFV3z_9Xnyv)M1m0hLx6%H>-&vP(0wuVrOqU|_JGoX9LZ*@jt;X)WvK80HnMO!ogKJ2ELv qE?{Pvti!1Q7%g5S~rkHa!4QXlVI2)RiI-Ydf(M7nNeuAZ?L_EFwgtBE2~F)>+{=W_RQI z&;wvmx>_0Wb3)<}At5*xi9^#0jea?vhIlQ(8D#`X}I z$i>1_mKHYDMa%LG{xBL=eEb#_HdM1e;RURfkuNM+DrB|R^$D##&StHBPXSY>tFX;i zT3046!*gD9UXNuo$Btz+=WI;VoNBC~Ip1mRuSTLs&^k``G0h!MAaecrUU=L6`Cg*U z*;rJ8F}IF^au<2@sU^*ybRcG^in0sGDj>Vb0ub$i}h9DWqAgE+T&-+-n$H#%Ftf9A0S{YrUis9((A z+0M6*c-t9F56Zlx&vmxA(bHbw9rzL9yUui73y-10Yv+_+hp*op*x|g(O+>F3(Cekk zb7*#y+v&c22x+u;H|(L#)$U_7$rSQP-H$JzBiPvaC%M5noLn}eZNhyACHJ>2jM-aTxQ(@xhqdSO`@C%4XC9$?!;-x{v6SydDshA(5 zfVhr_1{II&mUw;;apK_}#G_&2FLC35_*=y9s?}N_@dsV`YZxSuRe%0>Na`(rKB!3j zDLGNopM5hKma!bSg;`t{48@|pr0PvwW%HR8^NskjNCc8fPNh<*nbh=*m`uz}B_|W< zWKt~~xElSm#4+NoF+?Yxjn5lZqt=WkQ|e6o@j(xLh*8F_0ZG5_ z1KW>4((iAehwzI~9!-=Hr+{Qc8AxV!fMmosf!_~-->-pf1Sci^UICJsKLwJRzW|b% ze*@zBA~cS(ma9=9xmpC0tM3P^p9HJF1grb-e<@?ffMo0(kc>roudfP?1c<%4*NW#Y zQ8chk1SkQ-C!vsf+NkP4EH^tu71YZ6zEwDuMWHASc|KC_j-sxs=|m#KI*KP00pauH zr2aer~51~LA&d#ua-#sze_?GHNz%x5~ES9is^(% z_q6n+NRc?PQnN)mA*OtoIw~f+Fe8#AUe@hdy;K#+X^|iyjODrzP0J9bUNJ0NH-)^s zZnuJ4u(WFHRyfSkZBbe^O&zqjy!7x|1D95#Xo{j`8I_u76sxO!>veObq+6CaQ{<|8 VTPd3ct~}8=ZJ3rVY_nLi=s#!LD6s$l literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c new file mode 100644 index 0000000..bb4913d --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c @@ -0,0 +1,36 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + work_m_00000000000498029938_2356217838_init(); + work_m_00000000001578517288_1330392502_init(); + work_m_00000000004134447467_2073120511_init(); + + + xsi_register_tops("work_m_00000000001578517288_1330392502"); + xsi_register_tops("work_m_00000000004134447467_2073120511"); + + + return xsi_run_simulation(argc, argv); + +} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..c3f254646f6deeaed2e90eeb435e0c209d00e688 GIT binary patch literal 1328 zcmZ`&ON-M`6h3{32r9lG3#E%L2Go#OC#eXI8wn^_Tqy|Gw9V9uO;VEEnIbNP0VNb+ zz~A8y5L^uC-o=Ou@dvnYC2rhU&$(&Rl(YwO?svZP-N(s2xBvPGkln8WT#~2`itr{v z;?(Wq@B_cEl{7w%LjyWbmA)(7lv?YzpmU&f<9MxLo_IyNXnDa7=7#e8fRDy4un-yn`F=kPm`h==(R>B<73<+u?N+F5{_A;#Y?z z`co2JLr+!K0Vl#~iEg1kBhel7RB|2eqQ4-~J@gkPdWim*M32#*m8hF@A)<4VQ?~gq zyOe)3fPuV|I_4I}e3u9FumTOJE_EACs8!yeryg5avD2_oQM1TpsMuw-b(%tl(Yb1s z2if#|EOr;Yjar5NLfNh&MgNwp3XByi!@5?Skd)LhnNcMGx3dt(lzCKQUX+-3CFXt3 z&|f9{USf{sry`AskzkSQGahrFb-ibbH}Pa0N)Pgm!rHh$V)K{_*7ss;yCNNV10D-6 zk{!4*;q!ov@Y%9)z{N_12uJZ!VjM6$)_CX&9^!7LSD~71Th-fb!)ChC+SE16M#$y* PCGpCqwobhAy`|#+(|hAU literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/work/@c@s.sdb b/cpld/XC95144XL/isim/work/@c@s.sdb deleted file mode 100644 index b46dc7c951d9e67c92359bb70a6a99fb030413db..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6435 zcmd6sO>dM{6oB7hK;&cEX$uzQtEGU53&D+=Mgt2M8WM=QabeLnIM;;((XnAHayJZ@Z>$)6xHVX>`BtxUG3>)=uf@=}Cr(ZECMJ5b ziwg^L$B#@c_AX!j=3;MhesbrYQ@u;K_T9L$x3_ZR+R|6IE`B}J`*P{pg`ayXt4k}d z^o~t+s=nCqxip3HVhm3IVBMNyC2Pok-2de_KDY7~4X?>~y+k=U%GkNpE)zzij2kHgIzId;y z9&92-I~s?%aSl7ffuuKfCa9|JaACdSqJOCClx=&%mhs;BHAfF*-aBUk1X5ew0hz6H zGCUxm?T$UMkaTt=4^t-=x&aTZA5O=UPJlK7NXpsN2ZHw5uw|XW%SQb96vL)yUJPHe zuzuQ1u)x-)!>64A*swXq_2^UjyB_WEEANi6vfj2HV>U$$>*-b%IR6#OOo1{;P;{oo zQb?^RrZygTP);V3TR~Uso~dcX5Ibzd6A{{NitL z=-);P^Mv%(4v^5sI_wzO$2+)0N8nVl)l>9}5jcKqwZlZC-EAQM;lSBS8|&bJV;$-v zw{3*!dV;|e9hUTNq&pu2lt}$kQkz=63U(6)0`qY}p!&!_o&XH7yNAaLgA?d6vovZ( z9;KmyXwM9z)rU?PqSd_5gfKL`9?=u2E=LQ!j^Z)gn+)#<6py}vYbEhOR)g_m>hnp}2XJ5xCLaf6f!U8T)6S%Rbmr_A zb8=AG$GOW`>deWLQT9<5IP_y|TIrWL^o=P7jdD&W7|NM-yy{F*A`O;P9l~5rN~Eos zr~dfYC7MZuj6{j-vqb8qi`3XB1gWtPKd+Rs-;`C05ina!KFG(LjfGG>7}6WizFo0s zs;fQ|4q5kx)LxDkzV(f-{Y)Cm!(AIYqHM&UPcb|KTusryC6k7mNpUrxsmVH#e-49Dr{O*=fsFhr}jHXK6(6@PYY z#j!A_9x!krkRtnhe%0||itMwi*#-NGw5pp{b>>uNJkx3)X;tP9%(~ZZbPtFC~-u9!n1yG?6Z!AvgtuYKQ=HAhkc&z>MlsV zjAwJ7P4SdnUynm|FQ*6@HXjas%x!#vm(e__b$wE3!46uP2~KMAKR-7AScuYtQFt_1 zqU@|650R67Y( zHlgZm3Dw7hZh5qU7n+2Mq7I5OsT9RNP?SYcZ$r^XlsMCx;Wv&HDC(dnXB7KDQ5HqL z4MiVOVu_+0El|`!QO+p#fubymdK-#9qNI!ESb?GrigHG=4-{ol)Z0+>5hX4mv+`Vl zq7I63MzIeRWl_}IQ1lTcu4Yk=7bxnWC}$M=Kv5P&y$wYlQQ{|}D9;xt>YylR6#GC? z7Dc@cMITYpSG5xbiaIFD8O1(Oltoc*L(xZ+=AdS}7aA0%W5~fD*EmJ^?aDVBzG(4F bn?stLhTERsbM>Em_zwu7{D7K{e~zqBw)h=2{2^E6R>jPpv(ds zSpmsFgqU%F2r1GCXAv1G@&n`N$_KC@x7W(%=t1cfL%*U7J z<{Hn<4=?3wH*a0bCl*fJYOlVYU%xlKbz?GbZLK%IzIW}Ldj3^&ef8J8)o!+4%g+y2 zvYHCFYjYUof`tb!mM*(3ro;U|kM94)^%#Fla2vDVt6{OV(78K5ZT^Vut*rmkEUQ&z z!*`*!zGoWPXR__d?rd)C{9vntc>BXFd)y}?9o_ij&%##U$7F977bixFuwCO(TLi?N z&5hf3v7lYsF|(1f{rTI=m$&z<-GXFo^|Wp!t^F36l7^@$4lH9MWgIB#eYm;tT}&J- zZ11c*+`wjss%%i*Z0tsR=#G2_w- z7r0t(-9)jXF0pHS!EBtB+RPh%HGB7ae=Ey&-ss9csn`R$OIoCEN4A$fYR7%f`SVN- z886088b+LxMO^Wu!y_X+kBt|+@rWlK9#0%Rk4+dB7D9^<5>h~^7Yp+`L8KFyqZeb7 z39O#LrVL9+g+cke<*62R;Zw0%C@#pieTO(4Kg8jE7wsyMoP@{&1@Pdo$Qs^pcv_$9%CGl6Jz2OQ*or~|u<$bm5+2`R#$=;gpRA&S7Pkc0@l$PgUDMtxwd z_0I>bmY!@iLma~;a~yKv&qX>}LP|SMrQ>@>%|2WCihxv&sk9;}T+!y_qd)IyU=x>8ApL#j9q zWwKMua0xpl{lHFD&5MD=aA8p3KmaDOgaFbH1fZJ?0v>XV7OHDNBJUEtp$3nm4K@WMF>9a8U%sxo3+oKlS;~3fpafnM< z9Q-L)4F})rR2Pcl;LGrl6+i;%1d9*8Yy^-Pt;2nM@cm);_aU(jyWMG5ApuyeFr7N- z6vIj7=@SM=;)}Q{FuLw5g10$O->IkwIv|XsoKR%h;plKrC%D4kaCo0t2ZWG;c!Fe&qieMirfDG-FdcuHVQ`Pg;5DF zD=HR7G9>o%J0xE#DhPSz5_yD?r)Hky5mrz`9%1e)Vfgu- z7w+?%OXLv-pEodhg!w$e@bjlcxX*Jwkw+N(dXYz%&m#;!f1-pd&)&r6BU!@Om40C2 zLpyCh3{2b?9WewbCoUEaiaaQU4=iSkFil+Pnz+(6gQOEf6W3!@bjjkrufdY$UZ zi7SI9u5=Q_6IV6@NNn{T?w@+%zU(R_0NoI#)k>!rO!lBz>7&X+SCekH*d247u*?{WBrgb#1dA_ty)JUo1|a3q+_ YHTyBqm^Ms;z~w)qNWfN)*#A`h2OL&ITL1t6 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/work/glbl.sdb b/cpld/XC95144XL/isim/work/glbl.sdb index 2b3e88142565ffaf0046157de569b16450d8d0d6..7c1c0536d325fba33fc2ff7da853fdf8599074b2 100644 GIT binary patch delta 37 qcmcbrd{tRQC`X=|je%hS0}wo^l4oXFyL`(=kyV1sKzi~)K@kAQ8w=Y2 delta 37 qcmcbrd{tRQC`X=|je%hS0}wo^l4oYI{$H|DWR)N@ke+-{Py_(KuL~yt diff --git a/cpld/XC95144XL/isim/work/t_cs.sdb b/cpld/XC95144XL/isim/work/t_cs.sdb deleted file mode 100644 index 8055a5cdf1504a8e44cc535619579b6b8fe63104..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5397 zcmaKwU2hdt6ozNo`h}vUV2}jt(IO^_B9#RBF!Th}o?2-mM1!9djF#%9SKj!U$c;2j z)ErDOa}F9S2}zS0a^!;a2k;xb@?QTzUGH?B>FQ>Mm7LD3_g!m0v-j+o)10AChx_l& zvLdti=kwwIfg`J*eUK~LW}gRiV)5V2TQ?fn(xw4B*JtwF%!fM8j*rdVWyiiOTe;7U zjn!YfUv|I#_B%Vz%29Men6N^(&il>y=1Pa=$M4uo)nj+8nzCV6El$|bpUms>in-a= zTrodi{Br&3)y^NkwXYWwC(n)--No+rmwLtV^FPhK^g}T_H`RLW{P@hz#bj%$`EfCO zt~L8t@q2qm*5K;xcwvi@ea4W^0#IO6TGIKyH?RGz<30LgVS0AIeGXdRPU}-XXdjEO z&ScrGJ{h~r(0V+)y<~XnQM1JAU0Sy3Dc0MDtF}iDT}-V;)pn!3&`!M(hHNkWxaMVN z?V|d1CA({H;WqCH^K`%WZ`rwPG;Q3oZVujNK56DA>sbD?*S@^4JTcYt?Y0~%Pg#Xl z;L74+WmSiPT#Z|;%P=O?A3s8tz#) zoUO9TkW{88L}mNja9VNIp{Z_jRrj2$>)Gn74o!6rR(1O~)Gd^CXsUatsvE89>bs%= z5ml(2rVD(2W8vVa3K3PPeW$_$RpG|FrD_~i4_S2(xz^*f)oP;?r(FI~j%sfar#IdC zb}RAuz3VOYS*~$(%Qc{YdVmN4$vseh*{HeEsK<*?BYD`UT|uLsJwlD-VWZZCMm?E? z8p*>(Z5$c>R;b68P$T&T^L>_4WoJaT$)&D=^CC0SZEOoFRxhS;wZ#IOIa@mEHttFb2x3|;OPyge;vwD zp(crmE-)JZ5y{hnz7l}ZA~0SJwyVhiV|p-J3LX!@Xb~8%2G2M!rU#>?;8p-ei@|Kd^CA~VAr@M?hNlA-TC|3E8dvl3 zIV`kv4c`b@XwkgzVEG&tTDpdB1}wCQg_l?JA{R%|w7H_CtTO=%O|10m3a@^!1yG?D z6jPc)V6^nXek%Z@MPR%dJZ%8Lm?gCWqov@t129?y#;d_I4vgu+Xes!e0E`xa@oKOj z%ox*y(Ngfc0T?X;;{om*b6`vlMoYo(1z@xYj0d>u!I&P5mV)08z-SQ|5AcSsD@+eY a^I-Y|z+N!; zM{HQNYI4nqOE>g3Z{5DBcjVf|+vhf(*PEI@X1L|3-e5R0{oeehZOeOaPS0%ox;L1c z9-P}-z4WMN(uXgf(@RNCFBahA4X~oL^aJ1j`tAEa_}riWlqh)9D?jHH;ju-?7VP?b z$7kiE#HKr%=J$Qzjw>8nvUbVLh^eUK3suI{%sq5<|EixNyP{(UDLdJ*!<0Rtuyk_h zx^+h`iwm#p$jOXcRY+R-WykDCQMkJE+_htN&(1QFQQY4&OD1Uaq)s{eQrs4GVyix#6>N-Y=AxVg$7ZbcV9TXK#A1VV17fzUh^ zazGSvcMXK*sgPkPQOJ!q5Sph#Mx~IKfq~FG6|$oWc~KY$%~K(xRLD!j zKxm!{nJvUbDO??d=F^3*V>Cx@;g~?p8_2-cd|cF#BQCHG->S)J%t91!{o6TKLEE@G{hV?Fd2C3-RRT&r3yr~cwZFNU7m zRqN%{_Y=JsdM;V5ms5X9q8CHYU90tS>Mu?7V(7VYwO&sBWrJW zkM~th{f&uU41K(>a_E`sO+nwUFz%YcUfmLEc{hsT74L=|Yv5JqV0ekeGjwa>C6;-~ zwVjtaJ_KH3(d)LvODyw}V_o{HbG^i(*X@aySmq^XU*`A_^d%O(?nu1EGA}vSrLQ{I zODx{QI}GN&g3FR{3X_at6onU@^vvWIo9 zmss?=Kk*XFyyWc593O(d#NxajNW8@Giu00V-SuVN2NS&*`uN_IQ~yw+7egQ4n{w(O zPV{2v7nj1_E!7MAAV<#gKa%Lh(8u?t9D3&ZXwdg7ES}fLLM`W246pd!l+&xu!SE7` zXXx?7ODyw}YrDS8@geXMi)ZMG#7hjXI72zSn8A~YUJQMlp&ZYJ{;5PShCa?v4m~q` zI_Ucq7H9ZOsAYy?c*PmY=~d@oc!|XsKAU)nWnOY^*Oxgy1YTlshR-ElVtBB#NrG$CSGEhmt5OB#NrIMCSGEhmt5QRWsVPl zmss?AJMj|ByyRGyJ*;!R#Nv5*C-D-)EB^aU&c5Ca`hM{ji}TtRYMGZ<)|Z@K=JZ71 zB^LK^Ch-!>yyRGyJ*;!R#G==1;w6@O$=R1VJ_LP<#d*D-c!}W^=OxFw>&v!+cX^D2f{ ze80%C*Lc-A7+zxW4DC$3#4<0rw(~N_hrmlLdVQ97iDh1LtV>^Yu9sN!+Ld^TWnOaj zWsVO)Ut-Z~cj6_MdC9RZebu>MV$tjK#7ivmlCv*!d<3rGwSo9huUSgS- z9P83oo$DnQy}nAk#4;~A`!dIepf9oL^>yMUmU+psE`8OxUSje0+&77r7+&%BoSc2l z2YtWzi^cc+x1pBzyja$koL=ViMBpVB_wc*KODyw}V_o*J&h-+jz2NxECH~}Quzj*O On3?4NQ!Xoi-SjU6I-fZJ literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_fsb_beh.prj b/cpld/XC95144XL/t_fsb_beh.prj new file mode 100644 index 0000000..9949282 --- /dev/null +++ b/cpld/XC95144XL/t_fsb_beh.prj @@ -0,0 +1,3 @@ +verilog work "../FSB.v" +verilog work "test/t_fsb.v" +verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_fsb_isim_beh.exe b/cpld/XC95144XL/t_fsb_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_fsb_isim_beh.wdb b/cpld/XC95144XL/t_fsb_isim_beh.wdb new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/t_fsb_isim_beh1.wdb b/cpld/XC95144XL/t_fsb_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..018d4cb4c02d9ec0e219e4fb0f436320ac0bcea4 GIT binary patch literal 12116 zcmeI0e~?vW8OL7{6a;e-^C#L_z#kxR_wFw2uA;K+y)G+v*>!&a=F}B%k*($K&Te@!SWcGB+7C0wCZ=pkYlgm`bKZA5_gxNGC;w;~ zp5eLAd7k%kzUMvf`=0ll<OqKnU*;apfvVQCid*hVwIc5&D6PV%as=}Oh@||yw z37=V>+a$ki@HlYwajf~r?a}kCDRRe#t_#;Z;@ zqc?!gwY4#^+V55(B zc3Z28)%Mz&N+Y)Wq*j*J%CR>luqW<}=7K%S z&D)m~Si5(H`W(Wo6~`U^@SzVL0$_5Cj4%6@m|n zh?b5qQ+3QbI8{!^Pm^<@56PMHK+g3l zv#hf@;cQ4an-I>%gR|M-+}yT(<2OEV-(xG^(ntCo%FDVsv+yKiuGJ0Ud*vI|*=H4T z@^TgkxYRl<<8YrHbaKWAV>#a|e?ZRP5V~v_6+&Y??K2kEVQBDUpxPty$8B5midABb zap#)Mn=`J3#bcnnMi;D?bB(){#|<5{nOn5zAGhVlK(*&p+$%?i?ZDlK4#Sr_bIu&| zh|uQR9ZMd_A5zDkumi??QjZPgsIh2OI~I?D@;%bnYjx7f%jsM#28+i)`AgFHz168! zUQTD57%UzGlhZ8%#G#2#)mb6#bcoScxgt1J%xyMvc|EPI);UwkLGrF;HGF4SmyvcD;Vf>6{=2op=nCw@71|)ww}= zIUOEvbmB2keyKFpTAdq}m(yV%L?<2thqF5Q9!U2FmY|#zR);CgtUHc=pkW$3Xd`(s;`1d|r7u9o`Y> z#ABfRS!ujrb#7K(PRH#n@rcgPrSV&<^M!Yzhn+#Gn(8f%3F8 zF0eYcDKDq9K@2+a7%0z3<6^6`Te*8T=`b|jM;s7otC0IKP;HqsF0(pMTgIl!O5eep zUnTcrpjwYk>bEEEvCP4ASU2dzW1zgKlkESsnP)6>Fdg1a=)_~7{2HCK&7SzIWe%pp zI|ZG143yullkTx6{>U;1)8P*RbmEb3fL(IFC7DZS%nkF8V>9Kjd*oB)u#Wdxz7b)6 zB6oW@*TsC6Z%EkZ;x&ZBhaSoRl+ft>bnk>ofHI$Du3*QBb^AWwBbd)JSFn@B&QzqoACO}{ z8>j}$e^kvU`?6=Ch4>7!WY6LzWC`z-7Ju0fY)#Pyf?gk3K7o0fHd zFZq37K4Djiz2CC#?_Qr}j$r%5?yxNDAM;t}2zH~`2NbzC)<5R6^bPhpu@5T3vi>oj zrEjpeiv5-%EbAZhS^5Thx7ddiVFNklv-A!2VX+S@!dA*LpQUfi-7c|@D8f#YW3WUw z7py7RAB+9ABJ6ZI=CiCR*q@61jv_4UAM;t(6zof4A60~9{bN2Gs0RB-v5zUjvi>oj ztyB&6ZL!}~gk`V7e0G{@uoDD*PZ5^A3iDa+8TMpBk1N8mS7AQOJ;Tlxv{MmwmK^h0 z?gRE*LEl$|Wv{|~mivIcNYD=yVQ0%RpXEMaFA?;FBJ3PF=Cj-f>{>xTRD@-(!hDv# z!CockNkv%pD$Hl;8|*egyA)x0=V3le-(a^3dP)(NcOK@m^bK~Wpt}`edFN@$LnFHN z%f14;N6zrOJd&?yHM@04RS1r{fpQ|YKNuYSQ7hpu^F|) zo(m{*teV1cV=m|~AN3b1!g7z8W8L^pPz`pRpv8)?=gF}ocA}t*)DFvdU`gy`K{>U< zUH~XdVviTJRPC?}<(Ols(P#Ew`a4yHHbv@S|esU4PefhDo+f(mMfT>>ccS=JkUg{w+i}%+F@C5SQ2}KpsUml+X*O3Vs94oNwvdv0m_ot+XP*$cGzw} zSrYpdL0i-g%bI8Gc^)uo<&TKD8lmG(LatcB028{)}=JI;D?S6jl=u32KXLw>neWye(4|5`QKTq zd}m;GzW4h%-wT*)cl)NxY2*8W_e|3{sqXbXgX>e9R~C9cp33yE9$ME^D6UU6_ZAlq ztx7FlIXEzoG_)ML*4*9j3Xf(=@Bv-jU0gf&9=wzPN6{wC8g9 zTwBwUX4Tu87v-CCi*lx^DYv98I=rZ>u{qzBDao3al(ei0 zX-#Qb8%w)8vmM-ZT)@@hDy|lnay6^h(bkk--jeBBY`R8{hK7oop+%b2vQz6;Nx~FI z>mghTtO*vwftFgiB^Ig=now=f#WhBf%J^MlxQ3E2tl>gR_+4Xk&FrB5Rhw+MI$PSb z{tCUt-j#j%y1IOodj?!BT|Gaa9#yQZ%~y{q&P(TOMiuL8^0ltm*tc=zmFx5A8eJwF zQCFzbCE|tZ8p(%+r9H(=&+5K>b%V4^Dm7ISF0G{V^SnxRetuN3SE{Mc*Oe9;^7SQ! z+Nyj*X`w!!F70k@Lq1*F-+3A%+u^4ELQ&0Cy2}!obUK|++YYBxdU#Yn literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_fsb_isim_beh2.wdb b/cpld/XC95144XL/t_fsb_isim_beh2.wdb new file mode 100644 index 0000000000000000000000000000000000000000..8fdf9e6b70a1ddbd16159395c405f690008160c9 GIT binary patch literal 7446 zcmeH~O>A6O8HSG&O4Bw?=nrY3E!>II@|So#&e)0jhsQIMv72dPX2!%4lpDu!f~hlh z?QznS&;%r40fGuvh=5Y5Dxr!fi@>6yh_a}b}mWzW;jW=f-px?6KspF=OvaA6BnO z^{6fX*6Q2;W{Y+hb8Kse`Nc~b$3rVpRo4~uxBH$|=4^9iGLY-^_v}A3zO^gN$#G+D zeq&{#W5?2gl_cc)Pj)6!TC{XNC%)2QcE72)erjc+C*HF2)r6TZYcg=$q<(E$$6Q?< zYezjC=GU>N)Fo?c>rS4>~UYfx)%O^Hs@TcM^;_qg1OD<7A|#!AX6>{c&!#Kvy(Vkd3v177SU8~dOaGa4v;toLFYZA_s>iylws#X7v(M%|gFy>COO zu1SiVFLjyNDXSrCdRHekoHN^l`PnioXYeDId9@Ae#=w5NykEBq8;-&4*?{)n&F$1O ztiKK~yaV=)Wmv|No-|F&KDhnq%w!@(|CL3VC@~h3E}H6ox6uaJ}H)092qxLqq}y~#yhE!RaFDfS&zeos!G53B6vp~vJWOuP5W zKPIQn)o=95k)AUec$ZzU57|1}IwL>NPVcf6zDA1Wm1Dr>sjAFlyF84A%P`bPv633@ zuzBuRdAU67F?oEARIaIEZ1d=bST+x9MIK)xl_!*A*5=u%GLM&_mhm-GtV8$Y8hclM z@iOw8|cd|UXFz9JVBOzZBMeB84n>JZw$uXD!S73=3I)yRf^(PD#nTPL72vzYEx=*w0B}Z<1pn z%kKjAh}Z|Eu)GVgkmYy5-hEB%v=r8TFTtv~xEIU=_S<3)NMV^T7P8C(_Pb)6Qds7T zg)H-ceM0Pv6qfm7AsZcu#5`}S?+4sUO^8_VY#cYkmb7;c39A1DJ*lqLUyBKun!1&L<-9su#nxP7%czc ze_jg99I%k>QVjOXf*z2wNwF~0F<-15`;=Jb35#NmWn6CDA6*R1RSk@T}S>BP1VV$7+q_FqOv3Bfjg0`z3mOa5jmfsj>Lh+;c|DXT6*MYm( z?X~~>zr63>w+X+2x5KiQ%#`H3?~8Y4N9QN!=ChBCHzyy=mS!dv4oo&%^V!N&YiMCl zcGvja?527-J25-GH#=7B@6F}&W7TYHa=w*q#rx*>bRB$WIkwdY(FJ){IoEadl^Ldqwe`mBF}D9juz7`q`O2-q$Ep;znsnsknVf-LPM}zwVc6^+sCM z0OF};i$NsHAd-h9f3Yyq7_F7PmbG%dY?~%TeQ0=_UmhJP6&gz#jP7WZU2kcVay92= zte2}jON*PA7V}GbIOrbuv&mN9BdpfN4%%$femE4@+Xbm@~T2tfGac_UzZBJfd`q22{`8e0B z2tzE+G+S}5FU}>U9h0rnfs>ODEjX|Z2@Unur=tLLP+IGY~zz9iDut^Sk9KLP7U%|HME literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_iobm_isim_beh.exe b/cpld/XC95144XL/t_iobm_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_iobm_isim_beh1.wdb b/cpld/XC95144XL/t_iobm_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..31fc0df4794f866a3b5ecae01a1ddffab60e2079 GIT binary patch literal 12289 zcmeI0dvG099mkhPq1YCv4|_+k@v2|urT>eS$}zXidOYO5NI`(TfE{qn>h=pqY(N%F=u zrvw}BJ1oi%*DpNGrYnz#YA{0&oE9BJ(SFcBIAg%XWRpy79nS>OT3|hVnBBim*6Q&8 z^c-p}Z+QD7`y%6^#y8(NC1{)wuWO!>H%~or#5#ZMjgycJ&~cBqeQw+ba-4YPTI@Wl z)3%?xUOXf?5YAXknkdtdq8&bYXf$5z4blFo;`6s2 zO*xpP`kv;KMvXDov+ENso7LK#IXSvFuE#w_b^RQBsOHw}f6U*mXJ=5hrZ(NFebUspb9>#JOl_7^+iq$pr}m1eWt`f0JwjYp!@gIq-qdnV z?Q&DQ$f*sPT8mTLVQTZ7+H0nkcWTG!UCDLZoLZx)skGO2g&&KKdDq2H^}hEn=ezc9 z>?Q;FEbmH;$U4Ec#UbjKIgA3&AV#$8j<__6-E&9WU*4sxQS29S7{%KD@-DXb_HqJi z-^H%(>t$HZvHi7^>yLwdhPbyLqc`3xET7%>-S~Oqd95+*4s!vY9lnzluWuCX(L77o zx6DoYZ2g3I%-Fl_IBZW~*FJZ0q{Y5&+1&}O-QS6J9A11M>?;ZE(1Lgz*aLZnvDe=N zdwh%rx0{9GkHhdaACCxh^~glF=RL4?e5b^phNIS*)eW>48ziVtU^_O1@pZLVYrBZ7 zqYTS_ZFSd{VcEmYPz6H{%RW$1QBfII^3PoOT|*d#0xJ~4(e0I(%4+1TaEc+OD6*(| z=2;1R*U}5t9RnQ7=}d*3(VQx0w?~RuI$h3aoFQjjXh{A}aQB|gJAYX)bR z#@U(iD*1Xj)*{cyuOpE$D)Zz+d&aKWxN-Z^f9WrZZ!0hB>TKiWAh=#Pj1SA#=w@~+ z;^gHl6mY3=SjOpIGh^h3@gqA{zE%E!oIiMU*(fSR#&|RKnEivu9=kDA!VdhX94>y& zl^A1QxTbxID03~$jiK@yU9et`&L-uqp@TMaj~4yow)_~X_PmP2a&+pH+xyUAz}F>f zf;CVpr_HuomOPX{q>evs28{Wp?hIw5uhQPgykl+*l|Ljb$UL)FfbMvc+AL3ueH)&e?i43*bQgTG3!FU!m6uxFv;#!z{yG!_}1 z8F}(fyh z1RXbq%6CiS1*5Z3c{v@sx403V-$>(+M(6AALC5YbZbaubY5d#huosl;PrVrK%Z;J( zx1@1|c`$EPUQTDF7`$B>VNa+c5jn z;hlnx8$;!H=%mf&#Ge~xe>&$G#*KUf?3DAZ$y{1vOqqWin=XfC{HDlZE$_2@L&82M zw|hAK$9$G=OxWk;cAvJ_^jW?|VPBBjz1Td?qjYB)_IHY*oW9zz@pbv0MfX*Cg&dae zEX-&5=7s&Ue5xGwNIB-StV7tp%PZxuW9697vesb#DL-8fOOE+0>xsXx-jbgohb6~g ziFOQ`E7~a9_r*9JV?N7V!5$_-`%V}SDDzq73U-WGyYEi`l=&=k1j-v|9P?S`3U-zt))DM+a?EF$E5`nOLEls4`8i&W!7{%%b>_YARqR$p*!cgSN3+Z)?0m8J8rJ?@gD%gA?Jx5QyIAb^4Qu~i z^83Ji!Y&nipJDCa#Xid%!S;#0->|HI%x9S+*fnAwP~_fN|CrCxH`p7*KBx%G`p0~h zzQNum_6LfvtbfdB=^O0bVjoh34ds~6(l^+L#XhVETOr4MmcB7}JH>8OgqK|fQ3ogv43mivIcM9|}kuruYD&vGBIR|?vp2+LlD`7C{d zy++Uzim>cen9tHT*dakX6=8YjVLnUWV7CZ*QW2JS9_F+34fZiXcPqm3&eN1fMzrgf zeFgSuL7Np}YveH2Ejv~<_MTr0nypBkZz_yFkh|x={$4EK|FqS~u_X2nV$V@KEc#dy z`-<4})DAlbQ0B8^RHF}n5qp6mEPcU}*w@82svWjLjwP{gh;3FoEd9ok*#C&lsvY)R zK$&IL6pb5mL4WzEzeo|5d&Dej$M-1JV8;lWs|b6(97|%y3A$MAu#5+m#7-2HS3B&5 zfU+d^1VQuF4%;ZlEK7|(v;WfHDJrxpQg4!DKFj_KTOp`J5w=;5`7G}S*fRtzP=w9M zF`o@p<9#??P^Tg+bArJV?OYtLW7wI37AeB!Cu&fI#iR}K?91g ztRXCk{gj}q)DGJQD6=dz*3Ni2x|gf4Mv*$}4f9zzyid?tMOfAwmc*6>U9EQ54nSEF zyH3zLwZpRBuq1YapwFuvmi2}uu{R33M(wa&fU+cZqo6OS9kv@#mc-sJ=vuYIE(DY% zv3CkuuXb40JY&!EfKel_lrz3}sjxwj`eHfe>z=L}>?T29RD@k3$9$G=8`v#^zN83y zi5&CUlxnc|3%X7bmgkQCaf}hkc{i{wrLi7Aczkdi4%8apd&sUU_xM2RAJqBatW~}< zFf-rp_&MJTm~FTFrp;;N`+@gN=A6{R)jb2NQ|p!%dp?`W_Aeh?*;6d7PPO!w<_<1P zEnYgXYGzk1wR~0I<*6l^hPrfZ?UH<|)U&#jDiwPBm#v(2)%z1^ZEtRi&soyj*IQhh zO4rVslWOhC6|!A~)KxtLy?y;xq*f0sPhHWstWR*E)W0hJiLV)$P;BZ7iutU57V@*G zttlur^$(WXb3rj1$EJL)N=a`qp9@;sn=;+{ZO(Oe2ATBiwjfjArlBZiyPGoebbg1< zj~a`exh{d7xdr;=08R3WKW(rIo9Kj(on5 zZ_mtYQN6vTxzLht&Ig%HeqMWgcyV`AOQAbEBFoGh(bno_ZC!5G+0`AV>Oi5lSfUeA zq!ZCWq@QW(=w8^FbI#OV<;yk!|W8al*p+-8p-;_>^2e?I?ET^3@~L znvrR(lP>Jab#m9PfUUVIw&qINn$hcQ&lDE7X1nJG-FuG)2TPivX3c8ZskN&lVT$AR z5Um8(1dHL_mRh+b7O4*ek=lTbD*{O><99{CHIzhQ1s77n?}|Xz%nj&Yb&!izXKTCG zU$M8;yR@$`XHKEYJ_ELvuAW^;k1E#I7OF=T>(YgqQN`JHg<4x|>RYq)>eYpGjV=?7 zs4dLVCEP-FjpU=k{GL*_XL(by#IVRl?GSE{Km%o$l|DAbQA z)K(Q5Mi%M|>5<*7Z78Hi_P0)BWICMbFP3zI5Yu0KkLjvH+H`ntDP2gL9`7w_C`^~_ qt*2*exT4)5(ymp1+_XVMwXe0VO5+rzb^`0FHBKWcv#T^tQRV+nqgAB< literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_iobm_stx_beh.prj b/cpld/XC95144XL/t_iobm_stx_beh.prj new file mode 100644 index 0000000..8079685 --- /dev/null +++ b/cpld/XC95144XL/t_iobm_stx_beh.prj @@ -0,0 +1,3 @@ +verilog isim_temp "../IOBM.v" +verilog isim_temp "test/t_iobm.v" +verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_ram.v b/cpld/XC95144XL/t_ram.v new file mode 100644 index 0000000..b8a4ac9 --- /dev/null +++ b/cpld/XC95144XL/t_ram.v @@ -0,0 +1,314 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 07:47:59 12/11/2021 +// Design Name: RAM +// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: RAM +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module t_ram; + + // Inputs + reg CLK; + reg [21:1] A; + reg nWE; + reg nAS; + reg nLDS; + reg nUDS; + reg BACT; + reg RAMCS; + reg ROMCS; + reg RefReq; + reg RefUrgent; + + // Outputs + wire Ready; + wire RefAck; + wire [11:0] RA; + wire nRAS; + wire nCAS; + wire nLWE; + wire nUWE; + wire nOE; + wire nROMCS; + wire nROMWE; + + // Instantiate the Unit Under Test (UUT) + RAM uut ( + .CLK(CLK), + .A(A), + .nWE(nWE), + .nAS(nAS), + .nLDS(nLDS), + .nUDS(nUDS), + .BACT(BACT), + .RAMCS(RAMCS), + .ROMCS(ROMCS), + .Ready(Ready), + .RefReq(RefReq), + .RefUrgent(RefUrgent), + .RefAck(RefAck), + .RA(RA), + .nRAS(nRAS), + .nCAS(nCAS), + .nLWE(nLWE), + .nUWE(nUWE), + .nOE(nOE), + .nROMCS(nROMCS), + .nROMWE(nROMWE) + ); + + initial begin + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + end + + initial begin + nAS = 1; + nLDS = 1; + nUDS = 1; + BACT = 0; + #30; + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + BACT = 1; + #40; + nLDS = 0; + nUDS = 0; + #20; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + BACT = 1; + #40; + nLDS = 0; + nUDS = 0; + #20; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + #160;#160;#160; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + end + + initial begin + RefReq = 0; + RefUrgent = 0; + #10; + #160; #160; #160; + RefReq = 1; + #160; #160; #160; #160; + #120; + RefReq = 0; + #200; #120; #120; + RefUrgent = 1; + #120; + RefUrgent = 0; + #200; + end + + initial begin + #10; + A = 0; + RAMCS = 0; + ROMCS = 0; + nWE = 0; + #160; + A = 24'h400000; + RAMCS = 0; + ROMCS = 1; + nWE = 1; + #160; + A = 24'h400000>>1; + RAMCS = 0; + ROMCS = 1; + nWE = 0; + #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 1; + #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 0; + #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 1; + #160; + A = 24'h400000; + RAMCS = 0; + ROMCS = 1; + nWE = 1; + #160; + + #160; #160; #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 1; + #160; + end + +endmodule + diff --git a/cpld/XC95144XL/t_ram_isim_beh.exe b/cpld/XC95144XL/t_ram_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_ram_isim_beh.wdb b/cpld/XC95144XL/t_ram_isim_beh.wdb new file mode 100644 index 0000000000000000000000000000000000000000..a76f495c9f933c5070febc30976ab018a92839cb GIT binary patch literal 14450 zcmeI2eQZ|M9mg+%iiiq`iZ9a(#iKf;a8kdobWSZf^HnTWg0yDbJ=yc!Dz2}tE!*hAsNap_V zm;9dJJ-_q0-+S&k=bn3RVNc_;H&;J6_xxQ~mOQfcPm`Yuf)N3>DgF(D9mgdHFN{v& z?BkR8u8E%6pP90awhMI*=`9<=-_i1RS6&swMY7mgUH=Q`A5$BrLA-nPL#XW;mw~vwUzmD#9&jFWi|cLs*U03WCMLQ;P_+K9ha~O1WaQvz+kf8Z zIB(jS^!1uUg5b}3E|@=N?$oPjueHq6vTfmc`P~11`han%O*7TS&y}M4jJacetXVJ6 zDaxd*HA6br)pw6SjpnC0*KLD)e6TcmzI@kpjvenjWA^zRY8?C=kLT?(@VpugyKdRF z%P-sfvj2gW|2`g4dC%eT`&+jb&ugN6Hx!(=1=g{6PHTb!~f86;zuXZ+m-#>^-gZO$u&5+r6$+t{bKONwuEOLNJGo^h z*X-o(Fu4{d_q54f=;Yosxtx<5rw-=2c_%l|KU*wwLb@+umI%tbK>`J<^fL z1|Oxcg~shMGwJ<4bK7g5i>K3A%|*&U-HC@M&&qyt@>26w(_Y>nL72ksaMm4dvtp|r27AXa*w<3ny+2LXzG{7| z*fvZxH?`lr73i~`A+V-fgIiMA9TT+alJSjWmb_ql3fr>vPT-5b>w)+JAVNExTSL=p1=q4%@amvLk6p%L# z%VexJZve`6B zJe03j!#A1^n`QD&SMJvre5GM-3{}2O8k>zyMrHI5q+`Dn+=$LrX*_0h%7&oxp%~1K zp~^pzM!(T1SGibwjs%doF;w|QY5dOUT%$6FY4?SMrgnTSH-^gnUMIe3bh=b7ro;CK zdTtC=eoH65YjjqrTueugSghklbpFYSqvWz~c`+RxOWNbcP~}n5INIo}R=JoC>l!+4 z3{{>WjZ=(HkIKb#SP#*0W2kZ{jcG<_jmpJzct+51W2o{>Y0NP?y($;e;T&|_$niXB z%r`n~RW7D8MGQJ_3{_qrjaH-6r*bi!5;5qwF;sb}G?p8kbt)IrnJNYyH-;*<!P? zxmM+3I=p|-abu|Rb<()W=&V<{m=5nJble!Ke5*9>F*?_&TujHVb#6rGUTHjJbgmzQ zj$P~Ah|Z(Z__@)!VF)@mi{ZZ97^?iNG+s73Us1W(ecdVs9XEz5|3(_G8=V_fE~az4 z7Uv1sIK#LxRBn$nJ}^4GTZ`#1PB3l^RsL8Sqs@Z+h+)#! zXU=Dw{1_^ClukOqocO3=4y41o2^}|vDxad0&N3%HW|#x%@P0@(4QMQ+z(Gd=ce!wkdzRxy-wZQD1#F8ft>2g@g7%b8DA!9||9=TmtkJK^e z;Fx6@E7*U?&yZ6;R*w0)j1}zr@-yYIN69gtWvpO7ke?-o_|b(BUsiD%x4)Z*wKQRN3i4Nn9nj+uty7G9>GqKV?N7R!SWYn<`FF4R+!H+ zRTf^Jvj`8iIG!7^qzdBzjATebCH5PJWgm+9 zx{N36xnjSm$hFvOVm`}w!d8p@mLjbEu7TwlvF&9%VH?G6QiQeNOTI4S37Z#tr(s#E zFrQ_NU@sT@ZAEmM|CrA*MzEb??^1+i{$oB%+hEs=y;~8M`H%T5ZG*i@>~|Dlng5v2 z(l*$;#NML_8_F@CrEReHi~X)5>|{CSv$Tz|ds6IXMc65F43=oef;k2IwAk+{!j{M} zpJh(L_KUq&5tjLn`7Cn^_GPi(SA=E$V?G-y2m1%HTNGiL|CrBCRu1+Zu|H6RWv#+| zc8YSa`j1D!Rz+CWD$HlOXV|fV?o)(it-^ekdxkw#&^ATbX>!bGxewSGg6>y@Wv#+| zmivI6C+LTYu+!z3&vGBI3kCg15q5?g^I7f#_F_TX6=7McFrTGuu-$?lP=sZz!hDvt z!G2B9gNm@c^Dv*KZLs$UdPotLcOK@mv<>zVLANTx^3KyR#>YfEe_2;xpAvMNsV`Tw zjE!jU?q$Bes6wS88tkbs+CUlJo&)=e*eXTpIET7XrtGt?ik)lfW-DT8x;w<4t9o?N z$I@8-)$2Uf!_EPerLnJz{gUcoX$zLd{!wg=>S50Tl=%Pw!!KiC8$~Tu=E|*rH?3EmiIAj7^mcgrtSho%-6N-abokTM<)v?^I6t^ zbWal0rU+Xr$I{r-1T9fLEMtPDu_b~oQa$W^Kv^0)P0%H(hh_Y*G&UpXGS$O20Lm;& zjy~jl!M)8<;&Mguj5X%7>_K=Joi8X-gk2!VV2SQnz-9$4SA=C=aLvQy7&*%9OJL_K zu|ko2lN__UmStZ8yHJS^Me@uc%xBqW!nO*!QW18s9P?TBFt8U3TB!)je8YT}{(`+i z&{c}CEpp6f;qa>kbt=L#H!+_*Q90N(g05DC&B-yJ<=w!uq5N0z&yPRvhl4c-cwgIj ze({uHD=G}nD=(CJbO-Q z+uDxawWT+-cXwPX(BeQQhWJNp{eT~WHEy|-sZzOHn6PuGglrP;ZanTm>~ zO{INNZ~Lm5*ADOWT+O27l%<_ro!!@!W-4Y@l{V(8GB1@*1@pk691xu)8n zCtBAN^{wg&TAG@orsnLzdgYtzFNo@!E@%p}x@L27xS+MBK5DHURLU+KRM+U17UkVi zF5j9I)qto|O;RJ`NF(BdSU+3S(%P1*bI#1wzc|27t041E1Y6mzAndIy8<@ns@R+>WphR^*PM-(G}g8@1g!%{>(=!# za>E%~Gjj$Hus@X!FpG4#+D6TknniVslR1~m2hH8fJ4l&{S))FpC@^DU8Pkbr%53Wm z8oN9DI@`OVs;X#~nbbC=2Q12Xxw6VkROaVqS4HI}x45IPwqto$R8}rIT{sv>phY6P zy1OqbtCGsT(j1+?uQWHR*jFl_6;(Q=Of=gml|@xfsUn)=lq#dSPHA?ON!nA>wZ8rO zwNd$;D3i3uBr3|HOwuHq5RtUXCgw(&q+uqJDX)w&PUAA=RZ+%iUZz}UBn`B^keRJ+ mi67#)8!B`Sw>Ve5vahysmbxV_+7YQNQ`Zd2%%*$d%zpu>D=A3; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_ram_stx_beh.prj b/cpld/XC95144XL/t_ram_stx_beh.prj new file mode 100644 index 0000000..760883a --- /dev/null +++ b/cpld/XC95144XL/t_ram_stx_beh.prj @@ -0,0 +1,3 @@ +verilog isim_temp "../RAM.v" +verilog isim_temp "t_ram.v" +verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test/t_fsb.v b/cpld/XC95144XL/test/t_fsb.v new file mode 100644 index 0000000..888fc74 --- /dev/null +++ b/cpld/XC95144XL/test/t_fsb.v @@ -0,0 +1,176 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 14:24:23 12/07/2021 +// Design Name: FSB +// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: FSB +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module t_fsb; + + // Inputs + reg FCLK; + reg nAS; + reg Ready0; + reg Ready1; + reg Ready2; + reg BERR0; + reg BERR1; + reg IACS; + + // Outputs + wire nDTACK; + wire nVPA; + wire nBERR; + wire BACT; + + // Instantiate the Unit Under Test (UUT) + FSB uut ( + .FCLK(FCLK), + .nAS(nAS), + .nDTACK(nDTACK), + .nVPA(nVPA), + .nBERR(nBERR), + .BACT(BACT), + .Ready0(Ready0), + .Ready1(Ready1), + .Ready2(Ready2), + .BERR0(BERR0), + .BERR1(BERR1), + .IACS(IACS) + ); + + initial begin + // Initial conditions + nAS = 1; + FCLK = 0; + Ready0 = 0; + Ready1 = 0; + Ready2 = 0; + BERR0 = 0; + BERR1 = 0; + IACS = 0; + + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + + + /* Regular 4-clock access */ + FCLK = 1; #5; + nAS = 0; + IACS = 0; + Ready0 = 1; + Ready1 = 1; + Ready2 = 1; + #15; + + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + + FCLK = 0; #5; + nAS = 1; + #15; + + FCLK = 1; #20; + FCLK = 0; #20; + + + /* Units not immediately ready */ + FCLK = 1; #5; + nAS = 0; + IACS = 0; + Ready0 = 0; + Ready1 = 0; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 1; + Ready1 = 0; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 0; + Ready1 = 1; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 0; + Ready1 = 0; + Ready2 = 1; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 0; + Ready1 = 0; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #20; + + FCLK = 0; #5; + nAS = 1; + #15; + + FCLK = 1; #20; + FCLK = 0; #20; + + /* Access terminated with /VPA */ + FCLK = 1; #5; + nAS = 0; + IACS = 1; + Ready0 = 1; + Ready1 = 1; + Ready2 = 1; + #15; + + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + + FCLK = 0; #5; + nAS = 1; + #15; + + FCLK = 1; #20; + FCLK = 0; #20; + + end + +endmodule + diff --git a/cpld/XC95144XL/test/t_iobm.v b/cpld/XC95144XL/test/t_iobm.v new file mode 100644 index 0000000..e8e435f --- /dev/null +++ b/cpld/XC95144XL/test/t_iobm.v @@ -0,0 +1,238 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 05:57:17 12/11/2021 +// Design Name: IOBM +// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: IOBM +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module t_iobm; + + // Inputs + reg C16M; + reg C8M; + reg E; + reg nDTACK; + reg nVPA; + reg nBERR; + reg nRES; + reg IOREQ; + reg IOLDS; + reg IOUDS; + reg IOWE; + + // Outputs + wire nAS; + wire nLDS; + wire nUDS; + wire nVMA; + wire nAoutOE; + wire nDoutOE; + wire ALE0; + wire nDinLE; + wire IOACT; + wire IOBERR; + + // Instantiate the Unit Under Test (UUT) + IOBM uut ( + .C16M(C16M), + .C8M(C8M), + .E(E), + .nAS(nAS), + .nLDS(nLDS), + .nUDS(nUDS), + .nVMA(nVMA), + .nDTACK(nDTACK), + .nVPA(nVPA), + .nBERR(nBERR), + .nRES(nRES), + .nAoutOE(nAoutOE), + .nDoutOE(nDoutOE), + .ALE0(ALE0), + .nDinLE(nDinLE), + .IOACT(IOACT), + .IOBERR(IOBERR), + .IOREQ(IOREQ), + .IOLDS(IOLDS), + .IOUDS(IOUDS), + .IOWE(IOWE) + ); + + initial begin + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + end + + initial begin + C8M = 0; #50; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + end + + initial begin + E = 0; #130; E = 1; #480; E = 0; #720; E = 1; #480; + E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; + E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; + E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; + end + + initial begin + nRES = 1; + nBERR = 1; + nDTACK = 1; + nVPA = 1; + + #320; + nDTACK = 0; + #300; + nDTACK = 1; + + #180; + nDTACK = 0; + #300; + nDTACK = 1; + + #180; + nBERR = 0; + #300; + nBERR = 1; + + #180; + nVPA = 0; + #1200; + nBERR = 1; + + #220; + nRES = 0; + #300; + nRES = 1; + end + + initial begin + IOREQ = 0; + IOLDS = 0; + IOUDS = 0; + IOWE = 0; + #195; + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + IOREQ = 1; + IOWE = 1; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #1300; + IOREQ = 0; + #240; + end + +endmodule + diff --git a/cpld/XC95144XL/tmperr.err b/cpld/XC95144XL/tmperr.err index 6be67cc..e69de29 100644 --- a/cpld/XC95144XL/tmperr.err +++ b/cpld/XC95144XL/tmperr.err @@ -1,2 +0,0 @@ -WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will - use the default filename of 'MXSE.ise'. diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 60896b2d63a756839bd9d7601ddfcf9461e4ae03..c3e3d2e88b9d1968f39de81c3b8b305475f60ba9 100644 GIT binary patch literal 4466 zcmW+(c{r3`8-5MLWRN|(23fL{eGnxfB1`tQq3jyQGWLeXh!CO0E+J$HW$e?aEZH;J zMrI;e!u*sBD&O>-Kkjp1_xn80egARZ>s-erB*sZo*Yx5^=F(O7)%&-rt7{`-<~ECu zB}ky7`u)3mwi(eX?gI0uFYsUAN4@MNr0W-Qt6cUhjZnv2Kc5Ox85A9S!($GyC`6UP z#`Ep*sAU;!jsVFTV?X4Gx3|1zsRX{LRF$6+d-No>a-n3u_o&E+L8n#zNzf|X9-iMz zIxIWtwsCtN=!UQ#o0px={%o7g>M8lL*x8KIJ|$ADGN1Q8t8eM+M&_5d1GO)A7*o%} zuSeGXnNnY(HzlI{2Q|#DmcnmEN-DW_Ud?jQA&Pe@RR5f;&CT6ezVUS`UBLh6XgY1_ z>(ar*PX1kWmjHKAZ`@&gT*KewN|S|swO}O=cz|oS$)=>!?VjH8q)1T@J^Sxs>w(>r z(_C<~4eF%2!UKfl+6ekY!_zCWOV@&FrOTf@2#()ZInF?-FBXGZ4jQ&nPN_YLV?Ts` zihHs+k?!leA(#E1*Q&U3UjL00s<069!ChUYEdS&82fxI&kv@c?vR=%{J6n9#lEyEA z?OZbpXlhRIsNm-}?sIYH+t_fwvYzqn8~u^yW`Db7TFu$a28xFI_ZfT4AMb4w{JujM zoGSM9|4DkwSk5;*$0=mnmVN)K^~`~3jk%k~VC2Ei^pb1S3IgdRgKwh~Yi`L8Kg+ce zNv9a`t+YkF^mAMLIiJ>%)?d7$F}^?sdi6W5upX@Zwso)FZC?I7qVMr(ZnXnbUg~ z(^x#^e3hnrf=*W1CBLAPCFo?CUGh^pxf$)7SY=YG~RSRh;5E>yr6ZPDKOY{*k% zbu_JfLxhrxxf4qGT= z^au()avD8ij8;XVRZpYeI3b4IQ)`2S{F)pe?>Hgq+)_nDgsQt7AJ;h{*xgf=kV4gU zj+i&+&{`7fuh}Ej!C3DiXbH!=(Ef%9-}_shbE?DL5uW;B_4o0&6z3IiV{#%ogJ%0| z1FK_bAp=W4R>Y*Hk^Se(6y(SW_K=e732!N*BtO}}Yt8!Pi+M$gc_=W&R{CaF&Y94P zbNS_zPTbsFwnD6*{Fq9WK!kH;Wtxgqe>Hi1TY>v|&9ca{foh<27#F9)P~YoTjHJLz z4~x2|aym*zFUZrE-S2X~%GD9IQsmMv(BP@};F5P#e=sC6*pP3!9hvAm_oQgKUct8u zo^wVbL{6nR<6%>Qyv3{Zz-#uG60H?gAN*n`eX&LMBoj)`%nEt-5VYCN`iBH+s(0L{ zbQ+q5gXD(G{gtj}J`$a9i<+}$`E>ah&iVnuS0lupt4OO)zOQLeBLr0>dZ%!rzsXW8 z@x*fuol0b$Pk`ounB$$Ii8jYjpH?Agcadmc`2-sFVJLj&xtL~PXleSArKg+ivw65# zwt=S}zj&fGmw5lI{JZkdpP_bc@a)2v%FYS2`t;cp_q>fynaeuwqKfRNNb9pWisTf zXqd?E_6xQsnP@9)unBnTRoZumTGh#(63uncu5Rl%wtd}QKlNQ?NV8;7hM0ySI#2gu z-K=<@;-I=lXsof#7;Q>NX2vW|NoZHOCEKn6tR8O16&S|8qByIi0< z$61rK^QQNc<-T2b=>Y2SvVoQ>k9AL<;0Hv;_Sq5C;}wJ9CJ8?VPm4;LYfqoV2gGQE z$PT%ntx~RMcH`B7L0pFGOJ(xJ&4Rdw6eC+Pl4^}%M|^M4{nI7m{R+FkvROCdmE|>in$x=IO|#f~SJOI}b+JvJ<`=I@(+ z*}64vE%x~I__A0v0T+lz;DR5265)@RL@~O0Vh# zKNi$R-_-CtMaDl^PsJ}z52cN|DZkSBiVDkYm-yKchSFP|&pDKxng8HF_E9*G$wg z;X0ueNe{Pp>tY@Tysh^aGBd1i+Hg(1Hg=j4=Y*JQ;p{DSblJ_^MJ&v+v{&JNu$rcq z=XP9DLHj;UM=ZfRa||}m9;nfZ%ut~_o<)3~H4OYUChp@u@_G6*@S05A$G7l#eq-Px z_&jxgc^>Y+LduhoWZ)-=wY2gGv&7ue5V{T0!k zfO?+T8giU@A(rkZdWBn}eXN$Ff02ht{~a2_K~Hz!xs8Ujn}3t&382$*Bd??hm?(&$8QHw4*A4= zpIWF~3`Rr-JUql5IA_}}+p1w0>xM=4g@_}G^nw=)!dJlB72 z(lWkY&of`aqfu9jF)Gs1i8hy})DxIOx%ZoGTZ> zYEQwVHz5=YDCHd=K`=$60(9ad=$ks5d_b~AHt{C!TP`62Rv2CeJ8KHHieOVK+x+{- z%a&^IMg`%BDynDu`C_El0(pc8nZkq|SW~KSjFLH(6VhzpKt;JxWpKm~0m<=jkn_cy z?h4RYC0NZ5LNSL@#`qY@guLTIgcM-{7S>cC>_Ub7)}|=oI6W+&9z(nV6QFrGXpB_C zS^;vN06kJ?d;Jl_3~ie8!32!22CMl)&RvFnUxo12L~iGGe>^}F|GWKb3QYb zz+`0+&|yIGABp5}h&`teAzPU602YQ3h7a(;#Zx4hN~tg*14ciqN{5(JB_Jp*2kL$+ zk<69sJPvAHh7?1M@yw!RVFC_D4|1bwOCvb#~;a76zJP?{d-#DyH+3Xtw3 zXj+{udmMB>f-U2G?U^)A$|b1B7_UnO*r-T0jE}8d$KkrHD*bmv3xT|*C|;L=aNysx z>1y-l^%C?6MR^WLJy6Uz0oD-ikVSR4!5+Mx1YyBSwwHj`;@D z0?tVzWQkB-9Tp09974ayCQ0?fLVX-hb%;`hv8q6uObD33U2%+R!}pqnk{t#kmccyO z=wFDxiZLgEE;gDx=8;SnFZCuwm$gfRW(qW3Ab_a_kTy^kCrt@0)Wsa_CbPKr2Z2ZAXX+FvcwD{HGunv4Q$k7y$B#-90>)a zck0g*^OCYS7-vb*nENNc0}MsdRm^>u2q0~K8I%Bmf$0`lE@BNY3b28-0tsRWU7|nl zFCak}Ax&I7xy)jeW(zRf*`U-P5DwrkPX#L#3gKYsSw+_Y-a7`4m@~2oV5&028_bBu zHnJuN(TV_X6NWHxny-hO&W+s$57$=x|!t}rTb*8@kuYPg8C;G1AaY{t@5&!O=4i>WHiduQv6s``oyLifE z{dkHdwAVYW?C80e{SvGNnsCQ!k0lANxjf3%u4%G0oMZ5RdRxK-e|UP!n_3czKNxc9 zN^;tea zaJeWg6i|h10|Y@@3DC*+nLA9Bv;f5OZh>d+g`FoFVL5#>(f0?{oTi4(%`pm_!BkL|ZJMOAxd96g9W3(xKgQxwG(YIWdh_){5 z^D27VRFW;Or|p?nT|NE2`!SO*dF1&OPrqLeZ#{|6Dk@(x8}0t|tbO`bcwQuBK#5$a zRn?(`w_r|DYOv6(3SO#SCFBZ}YX^cNLn&I!POldEkzi(L1#`Ibr)O(JufkiHovs6g zTF`c#TiMK9?JjxpC#K(rRx^i-etM?Y_bS|-IptcicHrr-P|B4xLat_lb|4NJN?BmG zrgs)~SW z%Lg{jCA{uMdiga>ABo9<;fjdALP5%^Wrsqi?Lx)^5R0sWOxs?L@qulY(S4j_?!&Q# hCYg*)+tptgru|u6-A{Apm3#BRy)l2-?fyc9{s$c*fB*mh literal 4407 zcmW+#bySmW9DNxKMl+F4DJg*gB8}9LQt2*1Ch6!Y;gFFEiioI`NY`j-m?H#~7Du}Y z(u}F(C*X&^f86(;d+zVv-+7+%*!!bwm97}-37>se6jYV}{$VhfH)?h}n?8y^BJ2aA zvuo#fQh?7br|bM5@^WWPQ^8HRtDwbq6NoEIf?|O<2MunSIE}%-|v)6RG0o zN*Ff10YeB0f?<;^er{IrHl;Zse&3IqtmV=9Nla`(R=v z(tEWljQ)6ieUn`G`@bj5;osG_i;<+-fCHv?O>@z$T~Tv&xAn{lLTY~#e{Dr|do~Kn z2}FqS?2qR;+r5`-QP$LMVj&v`4#zvi?`u=)fsy~VY}-f4Lv!DP>muLC-@W_vNFY~L z`x^j0sd`0n9;j#}?IUj?LtlTlO8yTImVv5Z*|slb-K0 zDfMOMlOo?_)urtr7tGhG_bY_MX?O|$|)eX85x#%kn|I;ku6r7da(K? zpwr59F+pC6LRMxN#WURw? ztlApZkc>6_E;lVLd#|$Ax*E^$j=^+MTGpnr_F*;tSu=y_lysGQW$kh`-m-~7;pJ*t zLYzx@w_FLDf-vn`s%_bB2@Bks>NJhSv_@b}ONIMU2bYV7k_#s36H;d+^8udd))BqP z@mYhHp-)(|RM-z#SAL#w@uljkS$n(fm zcfZY~L8S(iw{h;vcHZp;uOF%^blY9p6IV5hX@{=LUN)OB#P-gkD0(%`HRWQZ4L&A1g@rJ*2}rI@}3lW7AI#|wZieV|Ha{ZQOTs0H`m(-dxj+5 z*TQ&IIC~@pYmH(46HM7mJreElu=6~fOfr*eT5ekWJe|xbRD){)*38E69hIi!amRrJ ze-9>C_zqZB=7UF}Z&6{>J&81#FdyCYz*TNFa_IL%pIsH0KR<#wrQpljLPaBz|MHK1 zufhWntOrdqC!v~SFyPsyN_koQR0vI+po?L1r9u$j6KsyuK&5UIv%}npalGEMm$n0Z z2AT8@aitf98P@ZdXWA-Oqs)P&>T)*hD+H*aV!JfsuOaIaLv2Pk?_52b?ELO6KQe8dD zA3a|0DD(usBx!uA=l9l1ydWRbal-w>wdw=eZ%59nH}866smoT~ulo;z*sl2RZ;($a z1oEu)gz`Vn&9XMFuN!1Ebc${q7Yy0_%8iydIGNK6nmK5w_RSwqSD#*V>9$&S4OMv? zCGw&{fzVc^WW+n&_Z~AOT&#Glf=Mn=IRz(7LQx0_a!Wi`b4yLXZlQv z)9cw5!ix3OV;9>jFFdGLvb2%j4H1w$?-Q4%mwsHg)Ot(QWu^5k$uty~hXqjQfKL80%mSqQU|bB`>cw$)-vK3&PC86jdv zcjxWv*!Jj`voOy zvNL-^B}-G~+45Gf{&MCjAI80g(8Qa44F;mFS~5x-4zFBBhjQ-Qu6$`wEX>P1+N=6h zfv6JN9AR0m{8Dig`_^FWnv2N0_OD~TwLv*9xZH5=POe|(qOI=^vDHb~j3~lj64ok; zP@aUHj3TTjVU?l?uadA0QG}T!Z1xd0TZ^!%pEX6E*t?2U+g>blxw&LHZMHMwRsUjf zCVYDrf4{E#;eMB6t{>D{S7Q_LsR{inb(aS1+HAYrZr4TC)GXYH*^;DTz?}%pEDR5N zmFyGjwuR8C*Q^5LA6zFR%R{H!=kAm%TJv;$+uiw@V%5g(DFU1Q2#F@2())&~M4Dud zCqpe>$3BW>?3VAgjn)+tW&N&f?)(b;r=tV)CysDyvDFGS1xJOne`q>DkaU+d9(A}u z5w25qopICJ*-!Qtr&vbU~DYJLhqkVeH`g|Dk;W zC~FL~szf8<6DyU5n_&|ZkVZ;fLQ?6wCvd*}4#}a`eSMZv2$u7L_!od(@laK+hgUb;4xvnBRItr=q! z)QITN5@J|}gF6!Mt$GJlPFkqga`cpm%?jOf)6waW?44a6da5O6abBL;Uzx~>r+e~ZRL8*4Sr^s-f@lsar4-@> z1YVtHK#Jm3(AjLSfk5~)3z88J2AXNZmucn!Bp*H%XcnEPp#)>Hsa#``j5rx+AQ;7g z4*+W5Eds<*P<8=GF0sdt%@s)0G2+Jb_(5GEiG&MG?@4=*4JRsodQeO2;3 z={iP{|MVQm5ZS^=l0hEIU~2HBRES$#kDF+e0LxvyLka#tal7{_i7`>T#!zXF|{1@t_d@B6-x4NMU(!Eulx_gjrm*C@0Xt>H)bk!bA0r0$NR*@=d z)Yx4Ifb}Sqp6Jni0%(4_!h<$Cu?0~sbbA1rE6rdu>+dNcVh~0E5YnBdr_kW#&`(6T z0U><_D($qVG>0^LyhLM+Ebb!I5zvmWr6WpVI)QxnG%H0}kA%W=+r&D*u z0kzTgKfQv6c=zV~qpQ71>oE*;oG64- zh3j<6XhYZwM&Gg%Gzx*--E!Ot=G^kfF{Nj>*M3|j$Dt#EQ#w*7 z@D<*|Mbv=oP#?`7ZluUO>g{K2zk|+S~37a;A;)$W7ig`$3!`*-i(jM~Q zMFAZn)OjKoL!j`sw*^$rzqHBfC3g%5KP7!iqTR5xDngzcn54pnnL=^fJK^g{D6 z88eW4OokdH|6xWea(QqI z8%Z5403lF2W02Ii>zHgn4&DNG=Q?{`?NC=1ci|3N;aG|zz)so&IPn62F-C*R5)wgG z1YLwY)kU#ighNN3)&RA2(INK1)`%N2f&um*<}Fu=x=?( z_!EE*DhLdSYD&6}UeIK67u94KOQF0(87ELwukzv9f$f+=j+%<&a0cY`-PeEs%&xua}%?DVj zrK@I&={sq#i$BeG%f<#?4jlBBSk;KLA1&G+8pN32sd1a+(_tConq3-fSG*@AewSEt z{)iek|+o~;9Wm%vnKbs-k-CSH}-4I@@<@$%X8hdwi!}Rrq|( zv~l|0S+~A1A&|YugnGAevYTGfRXqMNGL7yJVnA&~xch0^Ikh@yJ- zFuxEwKhXO5wQ^RQ3Rd?+YK};?u~m`ZlEj%sDgUz1N=SfnMjD@5fkTFbGNHfeO#b!! zvQJ)cz`U5imEiyCB+PZNso8%^rN0z8^fd)do&^r#Un@Jbs01dQP14zCtN?`m;lI1= z`%ZjboNXCCv+%UPR`zUG31mD=5y48B_g1Gy(x35|YKh^R>eTkcv(W(CjFRCm{hiOx zIG=5Xch8sp1=F(~mn)1joc)%_g=eiUQ$?+nO+g2fL8BHX8&v8HSHx|8ZdOcM__03- zKI=)=;eB-&GU8SsSoA%_t>9c=IGiz(^=au6Jcjj6`VQ5|QG!kEi_wKzk(BByp^<$h YOYlY4@qz8kKUv`J!(+~Hg)xu+0o6Qc9{>OV diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index 036c0a2..86790f5 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,7 +1,7 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1635074003 -MO CS NULL ../CS.v vlg22/_c_s.bin 1635074003 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1635074003 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1635074003 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1635074003 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1635074003 -MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1635074003 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1639221844 +MO CS NULL ../CS.v vlg22/_c_s.bin 1639221844 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1639221844 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1639221844 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1639221844 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1639221844 +MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1639221844 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index fdd9c5d55e9256938f0b56615c3d82974ef46287..af3c10e76318ef13486423425e637d0b05313c1c 100644 GIT binary patch delta 3580 zcmZuz4RjRM72Y@apuq%oUBb=+A#AeAWH+-(HoM6r+586*Aq@c`sRTqpQHX#g{vfu1 zSfK?aCSJ8I^t26aY3UE=q?A@^?K!kcO)Iqc(;^CzR;r-H#-A!yvEQ4$a}LSjoqW09 z`|iE(zIi({cZPO~L*1e$Q#{%~!#hTrE|;s~fH~&GII;AAyn452`3sa6fkEJ1;A59d zz=$sZGh8lh2ha;aVr~ITfZL#b71##d9-m_@+r8_;feWcclc*%%lVhMiL!Lm8G6Lh| z5zL;YP{8p23G6u)4m*vliXEU;fvMupv^CI7?yNLA6_{G`SLlD^n2gI}kB`!x=lM~@ zzd(_!X7P90kY$Jy)R$#YFx#D?uIL}YD>Rs8w7drPD(6gRt0GPVr$*^&Z?BKiUgG)7 z@SUNy>}K%>^<^94-!y>#Z_#kJSDuCMO-czG@;ul%rIow`_BQ8cvQ_I}7^VG_=l_Cl zh&BeB#d|amG{grq9Q2mF5A{Qim~q)E{~e|Mhv&nH|A@+S8tBO!ogT{x=3IiJuJ<#J z$YOhu?Wgd4PN$HuBv+@h++fbwWQLF&k)54qeP|mMvg&X|`#k%>!%YdJ+2?d(2dM z9n|^MXL>Uhf-PXb$yOcl7lAf9ZpJdc1a`ghr~wy8iFW9VC=l`btHJ7k4vye!6$xsI z1jP;170Iu=k$pvM)j8ZWQWMLd-8@o5J&5?bl}<-2|1DrERD>Gv@M>Z?6%-hum8?eD zs=QSrHPH!eHEk;JrF?}w#UxBG^%qPFtbuQ>qq0@?)pLV4DBhDp1+BEPaFSeySr@ez z8sZ*$qA=5A-!Ht=d*NG8`wNYf`*@8w%{RRwP8~(l=wNsvWfa9X-_Jhhu2Fm41Z=*7 z{s8nXtUHxdu?@gR*6lk}Z5G;tz}H5tqa5meu^o8W<(eQK0eO^zO6?;UF4AdM)J^`V zDR;p85Y35Nawk|fZHro-9Ox)=f;yMq2r=ueE&oy~m* zzV88hfFDt3aZK(4+f93lElQ8M>0GfXzYnF4ro=4y1F#=bdu&17Ua%kYnzfhhVITCL zjMAN2`{C;ceg*u7&c|Z%=U~5}thhyw$KA9lZpvRmIY7JOR^%YquhmLwV2LKS&T7Af z|M$RQ;2F9UkIARN4pC`|C4UF@GLz$*Wf+ zt@swSZv)S=&NqSB3JqTa;t1<=DH`z7{8C*$2X%nfmL?>=G{p0?ztrbH4)zj&mMl&J zPSc&2{Pj!m>s$EsEmOV#?~63YvLu=<+WI!j@|*yBMb%LQm%Y%gHE8MbRd`;bOIAXj z278^V%Y6Pfz}^JT0~Y|NEw^(YG?(=^H_6hKr{iM3IF}%Yib}dhZPAe+hR9<1qj}di| zIx8&sKd?_}Z-wQ#1m=`d*L9O!OC)?_HQdIUM$;1si6=*sv^3%Kj|WQw&|@`pO>Ht4 zTW%NYOX&1r!c7ASQ(g^kDt(f$B)XzDkxDBqj|c1;ry7dgY8UIR)FryGmQMRC6VeB! z(@>?)p8+-lKp)g{fe_bPY1fKY>9n=VO^d2bIUU|i+EHc609Y0ssj@slFuQwl)@r*} zpjwycSXv%cS0`i`ET1-2`~2vIS_~)!%7IGGT5H!jT&+`j4IaR1Q%2z}rYSX+jDwX> zdyVC>!0b-PSzUIm{u*7Pw`mDFR+ErbVAV9C)+fIVb|-~vjg&f$ze~L#X-#datcS0G zHr4vluLWxc<^uCM?rwWGPuJ?Q5o!~ithH4C)8^3RI!mHwX)SaeSS#2(>aMGaqSI+k zf7`&T;NaD}P`e&j3@infvF|>+f~OugO+9X!dQ&cfw}U$CEx82j2HIP1MQ#MMyBB9| z8nyBY_*VkA18Zq~LrmTbb_>-vSah(#P1_qxxe7`r9cr-3SA(rlE2x3J@jkVM(A$9J ztlyQi+DvHp6436T@XXlebzoh}qXx3?Oj@l5y8U8d-L3%51R?;h=DLmJ{m`ZWcXK?S zh&C45IN%=E7bmTF9}mt4E?0-Po*ixMI0MHZ67FT4KR?7#=*OTtKSMFc-vM3S5>C71 z_APFw(pg5G)8M|&tEq?5Id0ap&Cs^6--*LXs1FBiD}8rXBIL9~Cj%{2dr)Plfk;Qc opR~rNG-vh=L~W<`#%8gT_B0wf-IzbZ84o3`=Gcef>rqAj4=Z%>MF0Q* delta 3426 zcmZuz4Rln+72bI;(8UBdEHN+2CfQ9kFZ+{ZH@lmcWb?OK0)LB9iihA9iXOt60 zGq`_}`$1^W(ulWBJWn2JZurEvOr{g|D zyhN#Dw|J3;d~T{Q*5xah@v^18N;$=D`lD~6=O6Z5?nk)a2j7FVt+-7bq2tAd_!s4t z7~&}1UgDPjgza_eDlz1nU~gF3+hA{zC~(_O4^+NW~InlZ^WOK zf5KL{7w~-#I7!0q_PjsNdYs3j@c4k%`P0t-f~h$l(TG1rd;EI#f7s^~)Q>4pnzpuH z`JAOyr7>Dws%M|c_z0+CA`O==aDEPKGT@|uG25G+i7K)TmY|&C|Z`5`CvM2DRWbiG0}TIMtNiX#iYzwOo43%?I<$>1?*AE zy;^nQSWOf`^N|STi5TSv3{gy}Kur3fmQr7!LzaOBXgFZV5Ll3o1l+P5EKE7Un5Pmf zq9Uq>=P1kcq5^u9dV=o!5-)6@Y1HB5lFBal7lO(JagXMweHjPN-bKM#F2kIShi z5M>?Eq*p{Hi$P644Yg^W$`}(*f%Cs8jw}Wx7^xJ{k=u9Lp_fD|&z}>(- z>``q!OhZ(ccR*c7-BFXeqAuDN4a*HsHqySRDK~*_rm0m67JrN9)p4&L?_OZbXXy7q zr*XR4&56(-2EGk^2iVR&_10QOs&x4v)Q4!a%9M|QJxaltN&c9NR>s0P--WVG%}@=| znyu?J6pPRK9xUItRqoaLegHf+PPf;QhrK51(mopD`04)qCI5jW*ez{K&ULpb{i+L3(E$)Z*0PrW)`H+bLXeh+uDH^Db$=zVj(5~uaVh@;I zK0A1hTAwQ9e*s2-7lD_618kq4IT5C=s<0TQZWAZM#ECG&5=BzHK>JKn?g#rjO|3EI z%V4k2+?r(KRWQ4}S|13N3Qc7@0=y2q2^<6Ll6IMuZMa64uR%Rb$7)PETH~T#~ znY60TMMHIAiIOT#(aAbf{txV9sz_E(5@$fq(z0YSfrF%J9HwV%I&Cs+QvlRY4V6)I zv%S|!cRZ;}Y=Jh3rqr7x>s{1YAC~7q$)bUJQ%(gtp9aBDS+!|&x;~kh4wlPNt{a!_ zLfF*5vLc`uu5&GL?r(6>k%q7=fKo`)8cpd1^HDok37DTYG$s=U z7}q|>jpMSRT51s>22=yJY+qqz%Wl$DW!1tIY{C_7!WC@_%P5p8+TLW!I9P%XfuRm+ zHFRNfGEfJWH}y)MisJ7;2d|m$rgk3^tDrq?#n^p*EiiTJmzcz%B;H?(Iu*!b}99@;NZ02;IxG06;QhAWQ!>mfi0$r^hLS7VAldmfg3o+S}VrNv@VxG z?V-(SQ(g^r4ed>vv^(vhoSEU;>!B=DGgQM<^<{dk6Z$2x1cSj)iYyj zSAgB5JXFJM-d_#HLu<&Wmd$3ZmeN40k+SRCT|7%& z%C9nKP5T-&yFS`}*a=lTwE;TTnnX1mY}H-krmdm&S+le6$f(*nINwRzXSIn9G&;-h VZ^Za+p0SaAzRCT1*fyyQ{|9EllW_n5 diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin index 53203ebe0e5637b3ff6674f327b98caba3a4b123..f942a4670dceaf67306d212a18fb6d5e35e3fce2 100644 GIT binary patch delta 16 YcmaD^`?7X}B@grZU5%S delta 16 XcmX?+d?I;+1t)W<{gKVqoV^+VJu(Jw diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index e0a74d73ae74dba706f29cffe0143c01156074d9..48d07e913d1b0472a1f4ee635c6c07ef173fc2eb 100644 GIT binary patch delta 16 XcmZoQYc<tnH!zIH?An delta 16 XcmZoQYc<HGO7=OrLYpRk!L^cfZ|l&zm35&izoSRE9wh7#bbjTHQA}`nvny(hq`@ zV8r`%ZTyWzy!5mF6uFA4SH05T0=Eft+h2u7(EL?XcGM4h9!_m3cG;TqID?VY7EUK#?u<2UMpL9u}<~d z+>dpIMq|f(I>nTuc&i+n|GzZiJ>K_nz}(c)S_B5b60jVc4^{&$S55rjMD6IV>8Tp# zji7ILb+1;~2L=d@9eD}sLa-R`;LNew)GW>lFf@7mL~VL*RvWY?`QzB@f#eglSFx6Y zVb31lKclgp1AW!8>LmRTP;%8uUyoPuMaW!8(?V|r_XF9_f^UE|)jIs$_h)d<^SXLo z|NI}VTlQCvZ3EZ@E(JPqR{-tYHK1kls=YDoK@qv3>UIAwuh3Ipq*z6}kw&Qn3(xwP z{oRil(#Db~)!%8d{l3m$eayv8V|FDS_EK8sf`otgn9I5!Go*Gxd{Y)Q=AS<1%I?Pu z=>=(>gZ6BF0`bs)_c2yAjnOgnqI1>!&1IMs|ZXvf*78{AJS4Hri}j>||G zk!XjjjaV_>k^1cDHio$AfVnuh7+Hr;_rM~LUs8%~yW5Br>rLX#rP%hZjaV_=ouRor zjHbPGad0th?KAIaW7=1iV%v!}V#RdX$C|GW@scbcA^b)h?pm<8_Du% zKP!ng3bu-%AGV}$yboc#%zsNoy8&$T9Q{7z?OH0HW_O4T;=!3dbHub;Hy7M?_irM0 zi`(1_P2(&RPs^vlIPz?7=p)A#tmNS6ZwES~I}3gw``E3tce(b?oC{}1nZDDw;F9_rmWk_}kpyLF_)am#e4ci@`W@)E-cfJ~+*J zPr>bl+gET->D%a_HhS$#U@ZJ)}>zhjTsrhron5HS862t7#vNBNpd7 ze7%B`;9#N6dl0#$_EB_?`B)lvrQ7+!2`<+&j{I0(_)o$;Rn~)h0z94gn9n2dkAr6v zqmMm$(EU|5;c(Q`ej!oqaNRZGYPa(tFs`0jIifgra5@Cf7o5Hlj}@Hc%Kh5;_?62) zB*u}W1O7tJ!&ei30=xoV^Y|L|H2a~ILCiS%*rf3FJ^ZqA)Uemqt@?AwT+&(&{uG$@ zd}-IYo$qzyk~VyONY5m#{TeHerZv3_J_KL+9M*dumy!8!`DKZmSY!D5A8!u4QPe8) zblBenCvzTlevVNy4rlmpp?h08HSFL|VZT#njURD4&)YciVm{$(KBvLF*9@(l(aez( z^9k*HaPODn!kqyhBz}Y2c|OLG7xRgnJZ|J@jvs-KeLlhKTz?8a$vk#G8;#tYgXVMa eg*Y|r$omZY%Q|a(yc@^iUj(zBAMfE&xXOP|^9p_d delta 872 zcmZvayGjE=6oxZdlg&+2#ar+a(g<2uD2NIvEYb@0hIoy}OVq@>uG(1G2*)?@0VKr2 zTI_uSeFRZR78POr&tx`?!2{af*8*H08~R zAl-WNlrUyv=N@GaEL%!FVHu$t!xlDOwTuUC)i&wFH%uARS229H5LNp25A?_;4?<*c zhE;h0*`|~^0$tIZL@dkrCgWg$NiI+lHF^!aBR&Tw5W^wFN;;Gjv6|Y1H;&3}9j8WC zcm}d)BuRGo#Zvf3lg60w8kSYH%LLe56PpKHY+^ji+B3!5Im7gKWoF5jhH#0y$Wn;R z=7s0s8>-jA8TQ3ZE|4VqXT1Wp${IG*o%PE3)U;sq*cuyM16x;p)^@2Gmhu^P;g(|E zN>`(ywxs1prvTq->gp$y6VM130(I8@^^xFY?*O61`~ z?!ouf0bI`aa|l1sIcohAHMF`0Gso}~C(RUwg)2NleOjk~?sFMD2j5hB_lH^l^ZWuh Cg_Fnt diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index e319b0f60b2d70c0da5b495039fe593dc6c61acd..65d737701d04f66c6db02395e60decf4fba84e98 100644 GIT binary patch delta 18 acmX@o!FZ&Dal;E9=Gn8}Zhp-ZX$}BWiU^(n delta 18 ZcmX@o!FZ&Dal;E9=2Ba~&98YP%>hgm2Ydhk diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index 43d14e9dc6a4fec8a0b7763926592eb8ee4a0ae0..43a1da4814908ec2f386a2c772afc7b1555c9a2b 100644 GIT binary patch literal 12663 zcmd5>cbt{g5#7sDL_`E^5b2132#Sgd3M>msSy@=XMNts}6~RSCP_SX|z4zW@?=`&_ zlbBu?uwgVB6B82>^_+QgHuFB-d%Ms4k=&odojEgS=C<#C-&a0nuR9|dN%ugR{#(reL zq%$Tv7jl?`^NRWm*qkS^LTeH0s`lr}6KhhBHpkH1IO2RbPtAjQWH*a+z0Ckkt_0*VN-2`K;0|bj}NnL*r5o?YnyU<9JFvORQ09y;O&5 zM4ffCUet*(hjfiYIpx?oX$#pDvN@y+ zWNQf5yeFhDWB_C^WGG}fWCVoc9}O7?*%vYy!p3(~WpxdQ+Zxgqz|Ly)O%!gMG*)2LZGZ^155u7tF1m}RN9gx+cz*_RNlZJ56h{pHjr)!GoZgU&ql z%rRC5^IQu-R1FLm;39Z%QQkjjSo3FtO~v_oE>_+prC8^$kc zsHKzJhjg=;dZO#(-$=cxW&-iOT_;`xsqpQj+LBg@p!2ZgSgiCibPnh=$a9eILVgYT zBYcY>7eStYZH;y2-E`x7Fh+Py9;;9O@_==UsKesp=O&>2ceAz{>^J{ zl~=PY>NcTfyHYi^@qhA~-STRdMg2m#H8*%o+~%K`+N)gRdav21{F@~J8P@_ob4k2gzQv2231K;o(gYs&WMcpyf>=1WA59VLI#!h)PN`?;GC}&s~`M6f9 z@ei+o*NoismRf==b=Pu<|MHr31 zRS`0ModS7rmTcEo5i)&S1oAOivfWcf$n@Yr3yU|TVc_v%J43mg8*deH*t;S2e8>fghhA}^4DXwQX`_9>Ahe4h7ZX6=-GXFz zFJgDvXz!DSb}8gC0_b~0Vh@67A2JH|p^^S~QIU|-kcF*nR(Z=xT%FmC0|8SEBdw`DNW8T+i`{n(?~ zPEdi*Fa|~&y8Rl97()2&xgByx#G%KdseG(^7v#=NtZin*5X0ksZw4d(9?0DhhrR|_ z-dJIh|3Hj`P5%9m`wHL>0e>)q1F}cer|PppmXB6GYlNI z=VKgfuIURI>_uQNXD~n(`yIyzu}6#N&M?GP(t%zvaeo!V52jZjUy8A?Ii9aTz8rDr z_IO8(u^Iboz`jn54f^1mmd7;=KCQRxZvy(3$2W}~*jFLnfPC9?YAs)Kdx&%5dK(4~ z+xKD|Y|iid8SDqZUd>>DEcRE9@5CO>?n5f@3ybS(7`ZV9_KzVyA;t!MXim#p8(}e@ zVTjjy$^IFjpL>p`e87GR`9;7ro*w~!4f0F+&})r;==RReiR)+>V%dHZ<6v_gf1AO6 z2kZ|S43NdXNe=c%#-PPBY8Zpj zxK{kUpnKb^0<0o{|ng~a%<-xDTv>C*H zBmf)Zk4NXo|V3k z?I8mp8tcRY`3(a{XVLA{8@xVYY?EA%YiJm_$;aS!>IbNQ;7)cuu8CoR>vkFd?hb)F z#r1fv7zQpI&&f^@-KRAkAAhzBi|-1!d?;q%nrQyJK3-zYC9>*&zzOxMToO}2f9ZMsSQ|}+n z&DS>#0zMd`cUYa*is#cXaCClT^L&ni=nfW+P+OO&@J;t|%<#PNPXdN97>(;#4bgKn zv%okEL#)oC+o=kW-78*emUH7dHjIU040z)q6Fi>la)A38$}vrb_&KPk_qez=hB4N8 zZl{Uh>3L$_+0Kn)G7LV=$L%x)kj^u?zD{Wj@Ualx0|$A|wTRKY4BOaFwczQ@Fz1{C zb1@7)jYl^3xelWJ63#L_zxZF{X&z*Ouff5dJMOh%92Pr8 zdwkPg0GkQX*(L7JQ`!gkXvjixfcyTZp1^uRj&NLe5XXK&ES^8WfGEIuKIaex`#h60%FMT|oX*I+f|j111F{4~hv8Jv`}AZKQ9 z<~bE|cEq8_xofPtQQS`Ff_ENyY$zc79N_2YP{XxWD}kQ^xxnLnkJAdbb!g)`FbuiT z(#0{30RFoqA4``4yF4Guk%2$%_+s{G@f;Y2NS=c$=!7ne3Ge`=Yautd&(1M)d*C9* z;Cwq>4c;}x*x=SP#s7b#Si?59({o zjJpMLTP6-=(yfr&BMv>jIh2FzduNOz8UNh~xg+Ax=TMu0G5f*>>>Tcy6pH?pRXmNeS sjrWtVxKGN*eR>Y^JQHCE!l9;TAkP-SUj+U_KAxV;+36|xUgBIn0|-ON^Z)<= literal 12040 zcmd5=cbt{g5#755*dSd|R_RsIMWra9-~x-RtnLEBiVapk1a;9UDA?BCd+$BH_uhLm ziRoR8Mocj=L1TiFbLP$2%=_5)c3<*Ga(@hW=FFU#+rImKZ=c@2@u{-1vfhvcsjjV^ z)X+3%N$sW_ke)=}6S5gH)cczMkv%-r-vXUBdyS_nf3fjr^ZmE>{utK?$p2fjG)hiPN{=1ABATo=FjS^NvyNJ&{&w4KGur;jDSgJ zjOIWd=b*7m7arr3TfQaEi#FGy&={4AevU=8qRpJea78*x)YQ%b~qq^*+M_;k^>#Vl8v6l2=zV6J6V^K}5wQ?8YGfwNKp8LK9 zQUhs+@GhmUHDDb+N3=V89_i1HL$-2g-_^?>$5ZV5u|~1=QXS5pI_pr6^_YXU{M40` z82wsD+S#$S10$|j>Fia9ecetp^vU~5zOh^%1iT#51M((FU&uhnU`P#Q7-SS=9ArO8 zE#zRxGziBx3o-{XA956gZ68R*+(r(!64C?Z>K8ADc4*FOZkfxi9s#LtXlia*yry+E z_BbsCP}R^>H}_a5Qy`VjnHJYCf^r~@ z#tp5j4}x+Vq_S{Oc{ABJ5^ZW*wFdny)Ek;?h@1)Yv@pjJGMMKM2%;M4;5ngA z{4Pk9Psm;r6>Tl`z-LR0TaAU4n{ z+{+a)HvUgub4XszlBj!^TJxV?6W{y) zDRp?M#BE-4Wa%|U9lc9U0?4bi{JYl}+ewWAq2oi&>SFsUgLng8bw1V?UFOBi+o%w)p*To;PoOmy~UOwOSQKI$=xOH@S0OguPN#wVX%GT z$oshCS+6lYuSU_(nO)`lqvt%l>zoRm-Br%td(OJ9b1HOhS2>^aocP4(Zs?IAuwP{9 z!rtmR8}f1%4P6*=>YZw@XW~dF>=~v8q*u3<2XwR)1=`E90GU3#^K+v^*OVpOTdx3_ zzVbj`lqK8is{olkeq@QWM2d!O@1X)@`uMFZ&Qd9P?;Q}ya*N2i2<@ff zWMLxH$8SM#mP=8fy&VdW>EmC!#5qBV$aYs3Ak)_iQttkfvShoz3y|rn4&)Ug=UvQB z7N$A#(&mpHRc;koSDM|pP8KFIeZ2#DRhDd5X#p~Q{HY?&8Y!w`7h3@`efZ|;Ag>iU z@3M2UFb$g*VPA;mye><&tEvE*zJ7sxdX{W=QUNl3{R8>TEZOd<0%ZCI1o8%v^DZPO z3)7r=Sq%*2vqc_gin9iK-{GW<_BSxu&Vigu0KL8;_i z$YL*wICL9B28-uQwJ!kwLdLN{7rxo;!N7R4Y4-y6!?+B)i z_Gbp6T@Sf|0Q#DEGHM(Y(QIHZk%e{>3Tf9hI#D0^g|cM9l9@r-4E=+3`RO*Kj-); z_Gq@iRNym=fzgI;Ke8f*5WZ3#f;=2?=<&oUAL~8}c_b5SdlWIm@Dx9h!N`9c@>s;7 z^X|wSD@^j=8slJ-{}#xTo#1Z={i5q0>5#V67*_}&Y7U*L~*-HxmC4MUu2%l-hc4+icyj|Xfw%%{+Q*0J#(7teuV zh^dG%u=x%05y(d)4n3}ixY`%l9|!LfUW;=V{xRsE4EO}s<2(&RoN~(kG@#D}uFl&# zfWH&+VfxVPS$V+iiOz}7m|=)z`$CL^&GYlc4E7~pU&&yAEcSbj@6R4B&c!eWqLo9w z1^F(6&>z4a%`Ols0gIot76%{C`Zr=6?5{(o`LjPL8wT54I{$%&Xbv6tWta1V7oej*BscaCA;@;p2j_|sjFcfGK9rwfaBw_(V~ z_1MNd=!J1le+PM?6P#b2FJ^E+mWS)db$G@7GuWfWbv2CfbfA~b@xDZi4Z8kX5ZBc( z__VIF`Aoc=V;c7`*WLZ2Kn4eX19jF$zn!m9{}0Qn7UY#G= zJfEW=qazn_Y+RSA5Z$lGc;5Ky(6C&%#qWoBz02)g22LD@-fudKZl?+0?d$ouUd^t@ zb8HxE(We;jCPMUGLVS_?8Ok{v1kt;4vFD9rGK{g#b307}??8`d-X*TbF&PG*=Hqrc z7|`Uv^>s?)fRBgNdab1%6Q4Q5kW=$?J52-6-j&W>=G-_J!@_uEbDyU}^ga>JGCaTa zkOdH(zZTCO_u4Rz*E>LQaSV#n_}xykk^czK%k@02lkpn{pZ3D-GzVPWi{vWjEZ}tz z-Eqr3XWS#hz|nZzPV>Rjox_~RJ2&oyVS(#*Y5-Sv0=d4PX(n*JONsk)mc{@d3u$Bx z;B#_)sshHn(etQt`ZL7*9Jrn@J4?WRyQmfFD z0kJXIZNAab(y{Wx$ny~o*j7eI3?@&NPJ(e^>*-hqT|3?CHR2bwVa$m*?SL@6j?)QV z%dvDKu#@w#92xl8j-TRn;@6;Ih~!vTWa8r2pyD(~w^J*4%FFdwpv|c<1=f^l>+XIv?g0J0uYuTgO14jjMhA}?hP8Wc8Au%?%ZO@?c>sPVb$BdmW z2KN&3*br{}2Q}`Guz0?-&&pRUhG*t7$mOvHYH7cfi*Z*#uFAwQ^h(Ip5r-b%9LmA< zy*9>?jDMFxu8BDGc<#k#?DdfAVyx%55%>)m94`9;xE;Q`+_#=RTHHHfJ4m1tonh!X z=3DczbPKTCh_NBYo*$@jPld&t{+y*dz`xVTIlj*?-30vRfcv>jn}KhE+)W?q`E!vr zx!ndVUh;Y_w*l9DgvGnbFobZ94=5LVw75Rv#`{TF+$ZJZK0OS1go%(}IMnnY

ISim Statistics
Xilinx HDL Libraries Used=
Fuse Resource Usage=280 ms, 29568 KB
Fuse Resource Usage=327 ms, 29068 KB
Total Signals=31
Total Nets=41
Total Signals=39
Total Nets=38
Total Blocks=3
Total Processes=22
Total Simulation Time=950 ns
Simulation Resource Usage=0.078125 sec, 4962316 KB
Total Processes=48
Total Simulation Time=4 us
Simulation Resource Usage=0.109375 sec, 4643532 KB
Simulation Mode=gui
Hardware CoSim=0