diff --git a/cpld/CNT.v b/cpld/CNT.v index ffea199..d26fff4 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -18,13 +18,16 @@ module CNT( end /* Timeout signals */ + reg TimeoutBPre; always @(posedge FCLK) begin if (~CACT) begin TimeoutA <= 0; + TimeoutBPre <= 0; TimeoutB <= 0; end else begin - if (RefCnt==0) TimeoutA <= 1; - if (RefCnt==0 && TimeoutA) TimeoutB <= 1; + if (RefCnt[6:0]==0) TimeoutA <= 1; + if (RefCnt==0) TimeoutBPre <= 1; + if (RefCnt==0 && TimeoutBPre) TimeoutB <= 1; end end diff --git a/cpld/IOBM.v b/cpld/IOBM.v index 30edeed..6c5435e 100644 --- a/cpld/IOBM.v +++ b/cpld/IOBM.v @@ -72,6 +72,7 @@ module IOBM( IOS <= 2; IOACT <= 1; ALE0 <= 1; + IOBERR <= 0; end else if (IOS==2) begin IOS <= 3; IOACT <= 1; diff --git a/cpld/XC95144XL/IOBM_isim_beh.exe b/cpld/XC95144XL/IOBM_isim_beh.exe new file mode 100644 index 0000000..fb891a9 Binary files /dev/null and b/cpld/XC95144XL/IOBM_isim_beh.exe differ diff --git a/cpld/XC95144XL/IOBM_isim_beh1.wdb b/cpld/XC95144XL/IOBM_isim_beh1.wdb new file mode 100644 index 0000000..0a4aadb Binary files /dev/null and b/cpld/XC95144XL/IOBM_isim_beh1.wdb differ diff --git a/cpld/XC95144XL/MXSE.bld b/cpld/XC95144XL/MXSE.bld index 80e33cd..7917d9c 100644 --- a/cpld/XC95144XL/MXSE.bld +++ b/cpld/XC95144XL/MXSE.bld @@ -2,16 +2,16 @@ Release 14.7 ngdbuild P.20131013 (nt) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p +ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd Reading NGO file -"C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.ngc" ... +"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.ngc" ... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file -"C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf" ... +"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -29,7 +29,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 130168 kilobytes +Total memory usage is 130604 kilobytes Writing NGD file "MXSE.ngd" ... Total REAL time to NGDBUILD completion: 1 sec diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log index 1358c60..4493a01 100644 --- a/cpld/XC95144XL/MXSE.cmd_log +++ b/cpld/XC95144XL/MXSE.cmd_log @@ -157,3 +157,10 @@ ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/ xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE diff --git a/cpld/XC95144XL/MXSE.gise b/cpld/XC95144XL/MXSE.gise index f99335a..ae9ee5c 100644 --- a/cpld/XC95144XL/MXSE.gise +++ b/cpld/XC95144XL/MXSE.gise @@ -22,6 +22,7 @@ + @@ -32,6 +33,7 @@ + @@ -40,10 +42,13 @@ + + + @@ -60,9 +65,16 @@ + + + + + + + @@ -81,13 +93,9 @@ - + - - - - @@ -95,23 +103,17 @@ - - - - + + + - + - + - - - - - @@ -119,35 +121,33 @@ - - - - + + + - + - - + - - - + + - + + - + @@ -157,7 +157,7 @@ - + @@ -178,7 +178,7 @@ - + @@ -188,34 +188,46 @@ - - - + + + + + + + + + + + + + - + - - + + + - + + diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd index 915eba3..d1053fd 100644 --- a/cpld/XC95144XL/MXSE.gyd +++ b/cpld/XC95144XL/MXSE.gyd @@ -1,113 +1,117 @@ Pin Freeze File: version P.20131013 95144XL100 XC95144XL-10-TQ100 -A_FSB<10> S:PIN68 -A_FSB<11> S:PIN11 -A_FSB<12> S:PIN64 -A_FSB<13> S:PIN13 -A_FSB<14> S:PIN14 -A_FSB<15> S:PIN15 -A_FSB<16> S:PIN89 -A_FSB<17> S:PIN90 -A_FSB<18> S:PIN92 -A_FSB<19> S:PIN82 -A_FSB<1> S:PIN17 -A_FSB<20> S:PIN93 -A_FSB<21> S:PIN80 -A_FSB<22> S:PIN95 -A_FSB<23> S:PIN76 -A_FSB<2> S:PIN18 +A_FSB<10> S:PIN89 +A_FSB<11> S:PIN86 +A_FSB<12> S:PIN92 +A_FSB<13> S:PIN82 +A_FSB<14> S:PIN93 +A_FSB<15> S:PIN80 +A_FSB<16> S:PIN95 +A_FSB<17> S:PIN96 +A_FSB<18> S:PIN76 +A_FSB<19> S:PIN73 +A_FSB<1> S:PIN90 +A_FSB<20> S:PIN71 +A_FSB<21> S:PIN68 +A_FSB<22> S:PIN9 +A_FSB<23> S:PIN66 +A_FSB<2> S:PIN16 A_FSB<3> S:PIN78 -A_FSB<4> S:PIN54 -A_FSB<5> S:PIN25 +A_FSB<4> S:PIN56 +A_FSB<5> S:PIN15 A_FSB<6> S:PIN19 -A_FSB<7> S:PIN96 -A_FSB<8> S:PIN52 -A_FSB<9> S:PIN59 +A_FSB<7> S:PIN20 +A_FSB<8> S:PIN64 +A_FSB<9> S:PIN12 CLK2X_IOB S:PIN22 CLK_FSB S:PIN23 CLK_IOB S:PIN27 -E_IOB S:PIN46 -nAS_FSB S:PIN73 -nBERR_IOB S:PIN56 -nDTACK_IOB S:PIN49 -nLDS_FSB S:PIN71 +E_IOB S:PIN49 +nAS_FSB S:PIN13 +nBERR_IOB S:PIN18 +nDTACK_IOB S:PIN54 +nLDS_FSB S:PIN25 nRES S:PIN99 -nUDS_FSB S:PIN20 -nVPA_IOB S:PIN28 -nWE_FSB S:PIN66 -RA<11> S:PIN58 -RA<10> S:PIN70 -RA<0> S:PIN8 -RA<1> S:PIN87 -RA<2> S:PIN65 -RA<3> S:PIN74 -RA<4> S:PIN77 -RA<5> S:PIN91 -RA<6> S:PIN67 -RA<7> S:PIN50 -RA<8> S:PIN53 -RA<9> S:PIN55 -nADoutLE0 S:PIN60 -nADoutLE1 S:PIN16 +nUDS_FSB S:PIN52 +nVPA_IOB S:PIN59 +nWE_FSB S:PIN61 +RA<11> S:PIN63 +RA<10> S:PIN65 +RA<0> S:PIN87 +RA<1> S:PIN35 +RA<2> S:PIN91 +RA<3> S:PIN39 +RA<4> S:PIN94 +RA<5> S:PIN50 +RA<6> S:PIN53 +RA<7> S:PIN55 +RA<8> S:PIN58 +RA<9> S:PIN60 +nADoutLE0 S:PIN67 +nADoutLE1 S:PIN29 nAS_IOB S:PIN10 -nAoutOE S:PIN72 -nBERR_FSB S:PIN63 -nCAS S:PIN79 -nDTACK_FSB S:PIN12 -nDinLE S:PIN61 -nDinOE S:PIN94 -nDoutOE S:PIN9 +nAoutOE S:PIN70 +nBERR_FSB S:PIN14 +nCAS S:PIN74 +nDTACK_FSB S:PIN11 +nDinLE S:PIN72 +nDinOE S:PIN97 +nDoutOE S:PIN8 nLDS_IOB S:PIN6 -nOE S:PIN81 -nRAMLWE S:PIN33 -nRAMUWE S:PIN97 +nOE S:PIN17 +nRAMLWE S:PIN77 +nRAMUWE S:PIN79 nRAS S:PIN24 -nROMCS S:PIN35 -nROMWE S:PIN85 +nROMCS S:PIN42 +nROMWE S:PIN81 nUDS_IOB S:PIN7 -nVMA_IOB S:PIN29 -nVPA_FSB S:PIN86 +nVMA_IOB S:PIN33 +nVPA_FSB S:PIN85 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 EXP14_ EXP15_ nDTACK_FSB_OBUF EXP16_ - EXP17_ EXP18_ -PARTITION FB1_8 EXP19_ nADoutLE1_OBUF fsb/BERR0r EXP20_ - fsb/Ready2r EXP21_ $OpTx$INV$223 EXP22_ - IORW0 IOREQ EXP23_ -PARTITION FB2_1 iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 - iobm/IOS_FSM_FFd1 iobm/BERRrr iobm/BERRrf iobm/IOS_FSM_FFd8 - ALE0M iobm/IOS_FSM_FFd2 nLDS_IOB_OBUF nUDS_IOB_OBUF - iobm/IOS_FSM_FFd3 RA_0_OBUF nDoutOE_OBUF IOBERR - nAS_IOB_OBUF IOACT -PARTITION FB3_1 EXP24_ EXP25_ iobs/IORW1 iobm/ETACK - nRAS_OBUF iobs/PS_FSM_FFd1 iobs/IOReady BERR_IOBS - ram/RS_FSM_FFd1 ram/Once nVMA_IOB_OBUF iobs/PS_FSM_FFd2 - EXP26_ iobs/Once nRAMLWE_OBUF fsb/Ready1r - EXP27_ iobs/Load1 -PARTITION FB4_1 EXP28_ RA_1_OBUF EXP29_ ram/RS_FSM_FFd2 - EXP30_ ram/RASEL EXP31_ RA_5_OBUF - EXP32_ ram/RAMDIS1 EXP33_ nDinOE_OBUF - EXP34_ ram/RAMReady EXP35_ EXP36_ - nRAMUWE_OBUF ram/RAMDIS2 -PARTITION FB5_2 nROMCS_OBUF -PARTITION FB5_16 iobm/VPArr iobm/Er cnt/RefCnt<0> -PARTITION FB6_1 ram/BACTr RA_3_OBUF iobs/IOACTr fsb/ASrf - cnt/RefCnt<3> RA_4_OBUF cnt/RefCnt<2> cnt/RefCnt<1> - nCAS_OBUF RefAck ALE0S nOE_OBUF - iobs/IOU1 iobs/IOL1 nROMWE_OBUF IOU0 - nVPA_FSB_OBUF IOL0 -PARTITION FB7_1 iobm/VPArf RA_7_OBUF iobm/RESrr iobm/RESrf - iobm/IOREQr RA_8_OBUF iobm/Er2 iobm/DTACKrr - RA_9_OBUF iobm/DTACKrf iobm/ES<3> A_FSB_19_IBUF$BUF0 - iobm/ES<1> iobm/ES<0> nADoutLE0_OBUF iobm/ES<4> - nDinLE_OBUF iobm/ES<2> -PARTITION FB8_1 fsb/VPA nBERR_FSB_OBUF cnt/RefCnt<6> cnt/RefCnt<5> - cnt/RefCnt<4> RA_2_OBUF fsb/BERR1r cs/nOverlay1 - RA_6_OBUF cs/nOverlay0 cnt/RefDone A_FSB_21_IBUF$BUF0 - fsb/Ready0r TimeoutB nAoutOE_OBUF ram/RS_FSM_FFd3 - TimeoutA cnt/RefCnt<7> +PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606 + EXP16_ nBERR_FSB_OBUF fsb/Ready1r +PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r + EXP18_ EXP19_ fsb/VPA EXP20_ + $OpTx$FX_DC$602 EXP21_ +PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> + fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF + cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA + nAS_IOB_OBUF iobs/IOReady +PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr + nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S + iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1 + IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_ + iobs/IORW1 ram/BACTr +PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1 +PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF + EXP28_ iobs/Once EXP29_ RA_4_OBUF + EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r + nDinOE_OBUF EXP32_ +PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 + iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF + iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF + ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3 + EXP33_ IOBERR +PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf + RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1 + nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF + iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0 + iobm/ES<4> iobm/ES<2> +PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0 + ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1 + RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF + ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6> + ram/RAMReady EXP34_ +PARTITION FB8_2 A_FSB_19_IBUF$BUF0 +PARTITION FB8_6 A_FSB_21_IBUF$BUF0 +PARTITION FB8_9 nADoutLE0_OBUF +PARTITION FB8_12 nAoutOE_OBUF +PARTITION FB8_15 nDinLE_OBUF +PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er diff --git a/cpld/XC95144XL/MXSE.jed b/cpld/XC95144XL/MXSE.jed index d2a0ba7..9f5a12e 100644 --- a/cpld/XC95144XL/MXSE.jed +++ b/cpld/XC95144XL/MXSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sun Oct 24 06:31:45 2021 +Date Extracted: Sat Dec 11 06:24:30 2021 QF93312* QP100* @@ -90,570 +90,570 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 10000000 00000000 00100000 00000000 00000000 01000000 00000000* -L0000064 00000000 10000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0000128 00000000 10000000 00000000 00101000 00000000 00000000 00000000 00000000* -L0000192 00000000 10000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0000256 00000000 10000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0000320 00000000 00000100 00000000 00001000 00000000 00000000 00000000 00000000* -L0000384 00100000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0000448 00000000 00010000 00000000 01001000 00000000 00000000 00000000 00000000* -L0000512 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0000576 000000 000000 000000 010000 000000 000000 000000 000000* -L0000624 000000 001001 000000 001000 000000 000000 100000 000000* -L0000672 000000 001011 000000 001000 000000 000000 000000 000000* -L0000720 000000 000101 000000 011000 000000 000000 000000 000000* -L0000768 000000 000001 000000 011010 000000 000000 000000 000000* -L0000816 000000 000001 000000 001010 000000 000000 000000 000000* -L0000864 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000928 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000992 10001000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0001056 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001120 10001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 10000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0001248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001376 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 100011 000000 000000 000000 000000 000000 000000 000000* -L0001488 100000 000000 000000 100000 000000 000000 000000 010000* -L0001536 100100 000000 000000 100000 000000 000000 000000 000000* -L0001584 100100 000000 000000 000000 000000 000000 000000 000000* -L0001632 100100 000000 000000 000000 000000 000000 000000 000000* -L0001680 100100 000000 000000 000000 000000 000000 000000 000000* -L0001728 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0000064 00010000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0000128 01000000 00001100 00000000 00000000 00000000 00011000 00000000 00000000* +L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000256 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* +L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000448 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0000512 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0000576 000100 000000 000000 000000 000001 000000 000000 000000* +L0000624 000000 000000 000000 000100 001000 000000 010100 000000* +L0000672 001001 000010 000000 100000 000001 000000 001010 000000* +L0000720 001100 000010 000000 000000 000000 000000 000000 000000* +L0000768 000101 000000 000000 000000 000000 000000 000000 000000* +L0000816 000101 000000 000000 000000 000000 000000 000000 000000* +L0000864 00010000 00000000 00000000 00000000 00000000 00011000 00000000 00000000* +L0000928 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000992 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001056 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001120 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0001248 00000000 00000000 00000000 00100000 00000100 00000000 10000000 00000000* +L0001312 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0001376 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001440 000000 000000 000000 000000 000000 000000 000100 000000* +L0001488 001101 000000 000000 000000 000001 000000 001010 000000* +L0001536 000100 000000 000010 000100 000000 000000 010000 000000* +L0001584 000001 000000 000000 100000 000001 000001 000000 000000* +L0001632 100000 000000 000000 000000 000000 000000 000000 000000* +L0001680 100000 000000 000000 000000 000000 000000 000000 000000* +L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 001001 000000 000000 000000 000000 000000 000000* -L0002400 000000 001011 000000 000000 000000 000000 000000 000000* -L0002448 000000 000101 000000 000000 000000 000000 000000 000000* -L0002496 000000 000001 000000 000000 000000 000000 000000 000000* -L0002544 000000 000001 000000 000000 000000 000000 000000 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002352 000000 000000 000000 000000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 000000 000000 000000* +L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 000000* +L0002592 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* -L0003216 000000 100000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003584 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003648 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003712 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00011100* -L0003904 00000000 00010000 10000000 00000000 00000000 00000000 00000000 00001100* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 001001 000000 000000 000000 000000 000000 000000* -L0004128 000000 001111 000000 000000 000000 000000 000000 000000* -L0004176 000000 000101 000000 000000 000000 000000 000000 000000* -L0004224 000000 000001 000000 000000 000000 000000 000000 000000* -L0004272 000000 000001 100000 000000 000000 000000 000000 000000* -L0004320 01000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004384 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004448 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004512 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004576 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004640 11000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0004704 11000000 00000000 10000000 00100000 00000000 00000000 00000000 00000000* -L0004768 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004832 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0004896 110000 000000 000000 000000 000000 000000 000000 000001* -L0004944 100000 000000 000000 000000 000000 000000 000000 000001* -L0004992 100000 000000 000000 000000 000000 000000 000000 000000* -L0005040 100000 000000 000000 000000 000000 000000 000000 000000* -L0005088 100000 000000 000000 000000 000000 000000 000000 000000* -L0005136 100000 000000 000000 000000 000000 000000 000000 000000* -L0005184 00000010 10001000 00000000 00100000 00000000 10000000 00000000 00000000* -L0005248 00000000 10001000 00010000 00100000 00000000 00000000 00000000 00000000* -L0005312 00000011 10001000 00010000 00110000 00000000 00000000 00000000 00000100* -L0005376 00000010 10001000 00000000 01100000 00000000 00000000 00000000 00000000* -L0005440 00000010 10001000 00000000 01000000 00000000 00000000 00000000 00000000* -L0005504 00010010 00000100 00000000 01000000 00000000 00000000 00000000 00000000* -L0005568 00000001 00010000 00000000 01000100 00000000 00000000 00000000 00011100* -L0005632 00000000 00010000 00000000 01100100 00000000 00000000 00000000 00001100* -L0005696 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 001000 011000 000000 000000 000000 000000* -L0005808 010100 001001 001000 010000 000000 000000 000000 000000* -L0005856 000000 001111 001000 000010 000000 000000 000000 000000* -L0005904 000000 000101 001000 010000 000000 000000 000000 000000* -L0005952 000000 000001 001000 010001 000000 000000 000000 000000* -L0006000 001000 000001 001000 010001 000000 000000 000000 000000* -L0006048 10000010 00000000 00000000 01010000 00000000 00000000 00000000 00000000* -L0006112 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002976 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003168 100000 000000 000000 000000 000000 000000 000100 000000* +L0003216 100001 000000 000000 000000 000000 000000 000000 000000* +L0003264 100001 000000 000010 000000 000000 000000 000000 000000* +L0003312 100001 000000 000000 000000 000000 000000 000000 000000* +L0003360 100001 000000 000000 000000 000000 000000 000000 000000* +L0003408 100001 000000 000000 000000 000000 000000 000000 000000* +L0003456 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 01000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0003584 01010000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* +L0003648 10100000 00000000 01000000 00000000 00000000 00000000 00010100 00000000* +L0003712 10100100 00000000 01000100 00000000 00000000 00000000 00010100 00000000* +L0003776 01000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0003904 00010000 00000000 01100000 00000000 00000000 00000000 00001100 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* +L0004032 000000 000000 000000 000000 000000 000000 001001 000000* +L0004080 101101 000000 000000 000000 000000 000000 000000 000000* +L0004128 001000 000000 000100 000000 000000 000000 000000 000000* +L0004176 001101 000000 000000 000000 000000 000000 010000 000000* +L0004224 000001 000000 000000 000000 000000 000000 001000 000000* +L0004272 000100 000000 000000 000000 000000 000000 001000 000000* +L0004320 00010000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0004384 10010000 00000000 10000000 00000000 00000000 00000000 00110100 00000000* +L0004448 00000100 00000000 10000000 00000000 00000000 00000000 00010100 00000000* +L0004512 00010100 00000000 10000000 00000000 00000000 00000000 01000000 00000000* +L0004576 00010000 00000000 00010000 00000000 00000100 00000000 00000000 00000000* +L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0004704 01000000 00010000 01000000 00000000 00000100 00000000 00101000 00000000* +L0004768 01000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0004832 00010000 00000000 00000000 00000000 00001000 00000000 00010000 00000000* +L0004896 000100 000000 000010 000000 100001 000000 000000 000000* +L0004944 000000 000000 000000 000000 000000 000000 000000 000000* +L0004992 100101 000100 000000 000000 000000 000000 000000 000000* +L0005040 100000 000000 000000 100000 000000 000000 001000 000000* +L0005088 110100 000000 000010 000000 000000 000000 000000 000000* +L0005136 110001 000000 000000 000000 000001 000000 000100 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000000 01000100 00000000* +L0005248 00000000 00001100 00000000 00000000 00000000 00000000 00010000 00000000* +L0005312 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005376 00001010 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005504 00000010 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0005568 00000001 00000000 00000000 00000000 00000000 00000000 00110100 00000000* +L0005632 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0005696 00000100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000001 000000* +L0005808 000000 000000 000000 000000 000000 000000 000000 000000* +L0005856 000000 000010 000000 000000 000000 000000 000000 000000* +L0005904 000000 000001 000000 000000 000000 000000 000000 000000* +L0005952 000000 000000 000000 000000 000000 000000 011001 000000* +L0006000 000000 000000 000000 000000 000000 000000 000100 000000* +L0006048 00000110 00001100 00000000 00000000 00000000 00000000 00110000 00000000* +L0006112 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0006240 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 10000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006432 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006496 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000100* -L0006624 000001 000000 000000 100000 000000 000000 000000 000001* -L0006672 000010 000000 000000 000010 000000 000000 000000 100001* -L0006720 000000 000000 000000 010001 000000 000000 000000 000000* -L0006768 000000 000000 000000 000001 000000 000000 000000 000000* -L0006816 000011 000000 000000 000000 000000 000000 000000 000000* -L0006864 000010 000000 000000 000000 000000 000000 000000 000000* -L0006912 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006976 01000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0007040 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0007104 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0007168 11001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0007232 00000000 00100000 00000000 00000100 00000000 00000000 00000000 00000000* -L0007296 00000000 00100000 01000000 00000000 00000000 00000000 00000000 00011100* -L0007360 10101000 00000000 00000100 00000000 00000000 00000000 00000000 00001100* -L0007424 00100100 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0007488 000001 000000 100000 000000 000000 000000 000000 000000* -L0007536 100000 000000 001000 000000 000000 000000 000000 000000* -L0007584 000000 000000 001010 000000 000000 000000 000000 000000* -L0007632 000000 000000 000000 000000 000000 000000 000000 000000* -L0007680 100100 000000 000100 000000 000000 000000 000000 000000* -L0007728 100100 000000 000100 000000 000000 000000 000000 000000* -L0007776 10000000 00000000 00001000 00000000 00000000 00000000 00000000 01000000* -L0007840 10011000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0007904 10001000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0007968 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0008032 00010000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0008160 00001100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0008224 00000100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0008288 10001000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0008352 100010 000000 001110 000000 000000 000000 000000 000000* -L0008400 000000 000000 000000 000000 000000 000000 000000 100001* -L0008448 110110 000000 000000 000000 000000 000000 000000 000000* -L0008496 110110 000000 001000 000000 000000 000000 000000 000000* -L0008544 010010 000000 001000 000000 000000 000000 000000 000000* -L0008592 010011 000000 001000 000000 000000 000000 000000 000000* -L0008640 00000000 00000000 00001000 10000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0008768 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008896 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00100000 00000000 00010000 10000000 00000000* -L0009024 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0009152 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0009216 000000 000000 000110 000000 000000 000000 000000 000000* -L0009264 000000 000000 000100 000000 000000 000000 000000 011000* -L0009312 000000 000000 000110 000000 000000 000000 011000 000000* -L0009360 100100 000000 000100 000000 000000 000000 000000 000000* -L0009408 000000 000000 100101 000000 000000 000001 000000 000000* -L0009456 100100 000000 000100 000000 000000 000000 000000 000000* -L0009504 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00001000 00010000 00000000 10000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0009696 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* -L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010080 000000 000000 000000 000000 000000 000000 000000 000000* -L0010128 000000 000000 000000 000000 000000 000000 011000 000000* -L0010176 100100 000000 010000 000000 000000 000000 000000 011000* -L0010224 000000 000010 000000 000000 000000 000000 000000 000000* -L0010272 100100 000000 000000 000000 000000 000000 000000 000000* -L0010320 000000 000001 000000 000000 000000 000000 000000 000000* -L0010368 00001001 00000001 00000001 00000001 00000000 00000011 00000011 00000011* -L0010432 00000000 00000011 00000100 00000000 00000010 00000011 00000011 00000001* -L0010496 00000011 00000011 00100111 00000001 00000000 00000011 00000111 00000011* -L0010560 01000000 00000011 00100100 00000010 00000000 00000011 00000011 00000011* -L0010624 00000001 00000011 00000111 00000001 00000000 00000011 00000011 00000011* -L0010688 00001000 00000011 00000011 00000010 00000000 00000011 00000011 00000010* -L0010752 00001001 00000011 00000011 00000000 00000001 00000011 00000011 00011111* -L0010816 00000001 00000011 00000010 00000011 00000001 00000011 00011011 00001111* -L0010880 01000010 00000011 00000011 00000001 00000000 00000011 00000011 00000011* -L0010944 100010 000000 000000 000000 000000 000000 000000 000000* +L0006304 00000010 00000000 00000000 00000000 00000100 00000000 01000000 00000000* +L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0006432 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0006496 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006560 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0006624 000000 000000 000000 000000 100001 000000 000010 000000* +L0006672 000000 001011 000000 100000 000000 000000 000000 000000* +L0006720 000000 000000 000000 000000 000000 000000 000100 000000* +L0006768 000000 000000 000000 000000 000000 000000 000100 000000* +L0006816 000000 000000 000000 000000 000000 000000 000100 000000* +L0006864 000000 000000 000000 000000 000001 000000 000000 000000* +L0006912 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007040 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0007232 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007360 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 000000 000000 000000 000000 011100 000000 000000* +L0007584 000000 000100 000000 000000 000000 000000 000000 000000* +L0007632 000000 000100 000000 000000 000000 000000 000000 000000* +L0007680 000000 000000 000100 000000 000001 000000 000000 000000* +L0007728 000000 000000 000001 000000 000001 000000 000000 000000* +L0007776 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0007840 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0007904 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0007968 01111000 00000000 11000000 00000100 00000000 00000000 00000000 00000000* +L0008032 11111000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* +L0008096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008160 01000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0008224 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008288 00010100 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0008352 000100 000000 000000 000100 000000 000000 000000 000000* +L0008400 101101 000000 000000 000000 100000 000000 010000 000000* +L0008448 101101 000000 000000 000000 000000 000000 000000 000000* +L0008496 101101 000000 000000 000000 000000 000000 000000 000000* +L0008544 110101 000000 000000 000000 000000 000000 000000 000000* +L0008592 110101 000000 000000 000000 000000 000000 000000 000000* +L0008640 01000000 00000000 00000000 00000000 10001100 00000000 01000000 00000000* +L0008704 00000000 00011100 00000000 00000000 10001100 00000000 01000000 00000000* +L0008768 10100000 00001100 00000000 00000000 10000100 00000000 01000000 00000000* +L0008832 00000000 00000000 00000100 00000000 10000100 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* +L0009152 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* +L0009216 000000 000000 100000 000000 100000 000000 000000 000000* +L0009264 000000 000000 000100 000000 000000 000000 000000 000000* +L0009312 000000 000010 000000 000000 000000 000000 000000 000000* +L0009360 010000 000010 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000 000001 000000 000000 000000* +L0009456 000000 000000 000000 000000 000001 000000 000000 000000* +L0009504 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0009568 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0009632 01011000 00000000 10010000 00000000 00000000 00000000 00100000 00000000* +L0009696 11011000 00000000 10010000 00000000 00000000 00100000 00010000 00000000* +L0009760 01011100 00000000 00010000 00000000 00000000 00000000 00010100 00000000* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009888 01000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0009952 01010100 00000000 01001000 00000000 00000000 00000000 00001000 00000000* +L0010016 00010100 00000000 00101000 00000000 00000000 00100000 00101000 00000000* +L0010080 000100 000000 001011 000000 000000 000000 001001 000000* +L0010128 001101 000000 000000 000000 000000 000000 000000 000000* +L0010176 101101 000000 000001 000000 000000 000000 000000 000000* +L0010224 101101 000000 000111 000000 000000 000000 000000 000000* +L0010272 110101 000000 000111 000000 000000 000000 001000 000000* +L0010320 110101 000000 000101 000000 000000 000000 001000 000000* +L0010368 10000101 00000011 00000000 00010111 00000010 00000011 00000011 00000000* +L0010432 00100111 00011111 00000001 00000000 00000011 00000011 00100011 00000010* +L0010496 10100001 00001111 00000010 00000011 00000011 00000011 00100011 00000000* +L0010560 00100010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* +L0010624 10100000 00000011 00000011 00000001 00000011 00000011 00000011 00000000* +L0010688 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0010752 00000010 00000011 00000010 00000001 00000011 00000011 00000010 00000000* +L0010816 00000001 00000011 00000011 00000010 00000010 00000011 00000011 00000001* +L0010880 00000000 00000011 00000011 10000000 00000011 00000011 00000010 00000011* +L0010944 000000 000000 000000 100000 000000 000000 000000 000000* L0010992 100000 000000 000000 000000 000000 000000 000000 000000* -L0011040 000000 000000 000000 000000 000000 000000 000000 000000* -L0011088 000000 000000 000000 000000 000000 000000 000000 000000* -L0011136 000000 000000 000000 000000 000000 000000 000000 000000* -L0011184 000000 000000 000000 000000 000000 000000 000000 000000* -L0011232 00000010 10000010 00100010 00000010 00000000 00000000 00000100 01000000* -L0011296 00000011 00010000 00100011 00000011 00000000 00000000 00000000 00000010* -L0011360 00000000 00001100 00000000 00000010 00000000 00000000 00001000 00000000* -L0011424 00000011 00000000 00000011 00000001 00000000 00000000 00000000 00000000* -L0011488 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0011552 00000011 00000000 00000000 00000001 00000000 00000000 00011000 01000001* -L0011616 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* -L0011680 00000010 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0011744 00000001 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 000000 000000 000000 100001* -L0011904 000000 000000 000000 000000 000000 000000 000000 000000* -L0011952 010000 000010 000000 000000 000000 000000 000001 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 000000* -L0012048 010000 000001 000000 000000 000000 000000 000000 000000* -L0012096 00000001 10000001 00000001 00000001 00000000 00000000 00000000 00000011* -L0012160 10001000 00000001 00000000 00100000 00000010 00000010 00000011 00000001* -L0012224 10001011 10000001 00000011 00100001 00000000 00000000 00000000 00000000* -L0012288 00000000 00000001 00000000 00001010 00000000 00000000 00000001 00000001* -L0012352 00000001 10000001 00100011 00001001 00000000 00000000 00000001 00000001* -L0012416 00000000 00100001 00000010 00000010 00000000 00000010 00000010 00000010* -L0012480 00000101 00000001 00000011 00011100 00000000 00000001 00000001 00000011* -L0012544 00000100 00000010 00100010 10101110 00000000 00000000 00000000 00000001* -L0012608 10101010 00000011 00000011 10101001 00000000 00000001 00000011 00000010* -L0012672 100010 000000 000000 001010 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* -L0012768 100100 001001 000000 000000 000000 000000 000000 000000* -L0012816 100100 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000001 000000 000000 000000 000000 000000 000000* -L0012912 000000 000000 000000 001100 000000 000000 000000 000000* -L0012960 00001010 00000010 00000010 00101010 00000000 00100000 00000000 00000000* -L0013024 00000010 00000010 00000011 00001011 00000000 00000000 00000000 00000010* -L0013088 00000000 00000000 00000000 00001010 00000000 00000000 00000000 00000010* -L0013152 10001011 00000000 00000011 00000001 00000000 00000000 00000000 00000000* -L0013216 11011010 00000000 00000000 01000010 00000000 00000000 00000000 00000000* -L0013280 00000011 00000000 00100001 11001001 00000000 01000000 00000000 00000001* -L0013344 00000000 10000000 00100000 11000010 00000000 00000000 00000000 00000000* -L0013408 00001011 00000001 00000001 00000001 00000000 00000000 00000000 00000000* -L0013472 00000101 00000000 00000000 00000010 00000000 00000000 00000000 00000001* -L0013536 000001 000000 000000 010000 000000 000000 000000 000000* -L0013584 100000 000000 000000 000000 000000 000000 000100 000000* -L0013632 000000 000000 000000 000000 000000 000000 001000 010000* -L0013680 000000 000000 000000 001000 000000 000000 000000 000000* -L0013728 100100 000000 000000 001101 000000 000000 000000 000000* -L0013776 100100 000000 000000 000001 000000 000000 000000 000000* -L0013824 01000000 00000001 00000001 00000001 00000000 00000000 00000000 00000110* -L0013888 00000000 00000001 00000000 00000000 00000000 00000100 00000001 00000100* -L0013952 00000011 00000001 00000011 00000000 00000000 00000000 00000000 00000100* -L0014016 00000000 00000001 00000000 00000010 00000000 00000000 00000001 00000101* -L0014080 00000001 00000000 00000111 00000001 00000000 00000000 00000001 00000101* -L0014144 00000000 00000000 00000000 00000010 10000000 00000000 00000000 00000000* -L0014208 00000001 00000001 00000011 00000000 10000000 00000000 00000001 00000001* -L0014272 01000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* -L0014336 00000010 00000001 00000011 00000001 00000000 00000000 00000001 00000000* -L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000000 000100 000000 000000 000000 000010 000010* -L0014496 001000 000000 100001 000000 000000 000001 000000 000010* -L0014544 000000 000000 000000 000000 000000 000000 000000 000010* -L0014592 000000 000000 000000 000000 000000 000000 000000 000010* -L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 10001011 00000010 00000010 00000000 00000000 00000100 00000000 00000000* -L0014752 10011010 00000000 00100011 00000011 00000000 00000000 00000000 00000010* -L0014816 10001000 00000000 00101000 00000011 00000000 00000000 00000000 00000010* -L0014880 11001011 00000000 00101011 00000001 00000000 00000000 00000000 00000000* -L0014944 10001010 00000000 00100000 00000010 00000000 00000000 00000000 00000000* -L0015008 00000011 00000001 00000000 00000001 00000000 00000000 00000000 00000001* -L0015072 00001100 00000000 00100000 00000010 00000000 00000000 00000000 00000000* -L0015136 00000111 00000000 00100001 00000011 00000000 00000000 00000000 00000000* -L0015200 00000101 00000000 00000000 00000010 00000000 00000000 00000000 00000101* -L0015264 100011 000000 000000 000000 000000 000000 000000 000001* -L0015312 100000 000000 000000 000000 000000 000001 000000 000000* -L0015360 110110 000000 000000 000100 000000 000000 000000 000000* -L0015408 110110 000000 000000 000000 000000 000000 000000 000000* -L0015456 110110 000000 000000 000000 000000 000000 000000 000000* -L0015504 110111 000000 000000 000000 000000 000000 000000 000000* -L0015552 00010000 00000001 00000000 00001001 00000000 00000000 10000000 00000010* -L0015616 00010000 00000000 00010000 00001000 00000000 00000000 00000000 00000000* -L0015680 00000011 00000000 00010011 00000000 00000000 00000000 00000000 00000000* -L0015744 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* -L0015808 00000001 00000000 00000001 00001001 00000000 00000001 00000000 00000000* -L0015872 00000000 00000000 00000000 01000010 00000000 00000000 00000000 00010000* -L0015936 00000001 00000001 00000011 01000000 00000000 00000001 00000001 00000001* -L0016000 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000010* -L0016064 00000010 00000001 00000001 00100001 00000000 00000001 00000001 00000000* -L0016128 000000 000000 001000 000010 000000 000000 000000 000000* -L0016176 000000 000000 001000 000000 000000 000000 000000 000000* -L0016224 000000 000010 001000 000000 000000 000000 000000 000000* -L0016272 000000 000000 001000 000000 000000 000000 000000 000000* -L0016320 010000 000000 001000 000000 000000 000000 000000 000000* -L0016368 010000 000000 001000 000000 000000 000000 000000 000000* -L0016416 00000011 00000010 00010001 00000000 00000000 00000000 00000000 00000000* -L0016480 00000010 00000000 00000011 00000011 00000000 00000000 00000000 00000010* -L0016544 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* -L0016608 00000011 00000000 00000011 00000011 00000000 00000001 00000000 00000000* -L0016672 00000010 00000000 00000010 00000010 00000000 00000001 00000000 00000000* -L0016736 01000011 01000001 00000000 00000001 00000000 00000000 00000000 00000001* -L0016800 01000000 00000000 00000000 00000110 00000000 00000001 00000000 00000000* -L0016864 00000011 00000000 00000011 00010110 00000000 00000000 00000000 00000011* -L0016928 00000001 00000000 00000010 00000010 00000000 00000001 00000000 00000001* +L0011040 100000 000010 000000 000000 000000 000000 000000 000000* +L0011088 100000 000010 000000 000000 000000 000000 000000 000000* +L0011136 010000 000000 000000 110000 000000 000000 000000 000000* +L0011184 010000 000000 000000 000010 000000 000000 000000 000000* +L0011232 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0011296 00001000 00000000 00000010 00001011 00000000 00000000 00000000 00000000* +L0011360 00000010 00000000 00000001 00000000 00000100 00000000 00000000 00000000* +L0011424 01000001 00000000 00000000 10001001 00000000 00000000 00000000 00000000* +L0011488 00001011 00000000 00000000 00000010 10000000 00000000 00000000 00000000* +L0011552 00000000 00000000 00000000 10000001 00000000 00000000 00000000 00000000* +L0011616 00000001 00000000 00000001 00000110 00001000 00001000 00000001 00000000* +L0011680 00000000 00000000 00000000 10000001 10000101 00000000 00000000 00000000* +L0011744 00000011 00000000 00000000 00000011 00000000 00000000 00000001 00000000* +L0011808 000000 000000 000000 000010 000000 000000 000000 000000* +L0011856 000000 010000 000000 000000 000000 000000 000000 000001* +L0011904 000000 000000 000000 000011 000000 000000 000000 000000* +L0011952 000000 000000 000000 000000 000001 000000 000000 000000* +L0012000 000000 000000 000000 000001 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00000001 00000001 00000000 00001011 00000010 00000001 00000001 00000000* +L0012160 00000010 00011101 00000001 10001000 00000011 00000001 00000011 00000000* +L0012224 00000001 00001101 00000010 10001001 00000001 00000000 00000000 00000000* +L0012288 00000010 00000001 00010001 10001000 00000001 00000001 00000011 00000000* +L0012352 00000000 00000001 00000010 10001001 00000001 00000001 00000010 00000000* +L0012416 00000011 00000001 00000001 10001110 00000001 00000000 00000011 00000000* +L0012480 00000010 00000001 00000000 10001101 00000001 00000001 00000010 00000000* +L0012544 00000001 00000000 00000001 10000110 00000010 00000001 00000011 00000000* +L0012608 00000000 00000011 00001010 10001100 00000001 00000001 00000010 00000000* +L0012672 000000 000000 000000 000011 000000 000000 000000 000000* +L0012720 000000 000000 000000 000011 000000 000000 000000 001000* +L0012768 000000 000010 000000 000011 000000 000000 000000 000000* +L0012816 000000 000010 000010 000111 000000 000000 000000 000000* +L0012864 000000 000000 000000 000101 000000 000000 000000 000000* +L0012912 000000 000000 000000 000111 000000 000000 000000 000000* +L0012960 00010010 00100000 00000011 00000000 00000000 00000000 00000010 00000000* +L0013024 01011001 00000000 00000010 00000011 00000000 00000100 00000000 00000000* +L0013088 01011010 00000000 10000001 00000010 00000000 00000000 00000001 00000000* +L0013152 01011001 00000000 11000010 00000001 00000000 00000000 00000000 00000000* +L0013216 01111011 00000000 01000001 00000010 00000000 00000000 00000001 00000000* +L0013280 00000000 00000000 00000000 00000001 00100000 00000000 00000000 00000000* +L0013344 00000001 00000000 00000001 00000010 00000000 00000000 00000001 00000000* +L0013408 01010100 00000000 00000010 00001001 00000001 00000000 00000000 00000000* +L0013472 00010111 00000000 00000001 00000011 00000000 00000000 00000001 00000000* +L0013536 000100 000000 000000 100000 000000 000000 000000 000000* +L0013584 101101 010000 000000 000000 000000 000000 000000 000000* +L0013632 001101 000000 000000 000000 000000 000000 000010 000000* +L0013680 101101 000000 000000 000000 000000 000000 000000 000000* +L0013728 100101 000000 000000 000000 000000 000000 000000 000000* +L0013776 110101 000000 000000 000000 000000 000000 000000 000000* +L0013824 00000001 10000000 10011000 00000011 00000010 00000001 00000000 00000000* +L0013888 00001010 00000001 11010000 00000000 00000000 00000001 00000001 00000000* +L0013952 00001001 00000001 11011110 00000000 00000000 00000000 00000000 00000000* +L0014016 01001000 00000001 11011001 00000000 00000000 00000001 00000001 00000000* +L0014080 01001000 00000000 01011010 00000001 00000001 00000000 00000010 00000000* +L0014144 00000011 10000001 10000000 00000010 00000001 00000000 00000000 00000000* +L0014208 00000010 00000001 10101000 00000001 00000001 00000000 00000010 00000000* +L0014272 00000100 00000000 10101001 00000000 00000000 00000001 00000011 00000000* +L0014336 00000100 00000001 10101010 00000000 00000001 00000001 00000000 00000000* +L0014400 000000 000000 101010 000000 000000 000000 000000 000000* +L0014448 000000 000000 100100 000000 000000 000000 000000 000000* +L0014496 010000 000000 101100 000000 000000 000000 000000 000000* +L0014544 010000 000000 101100 000000 000000 000000 000000 000000* +L0014592 000000 000000 101100 000000 000000 000000 000000 000000* +L0014640 000000 000000 101100 010000 000000 000000 000000 000000* +L0014688 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0014752 00000001 00000000 00000011 00010011 00000010 00000000 00000000 00000000* +L0014816 00000010 00000000 00000001 00000011 00000100 00000000 00000001 00000000* +L0014880 00000011 00000000 00000010 00010001 00000000 00000100 00000010 00000000* +L0014944 00000011 00000000 00000001 00000010 10000000 00000000 00000001 00000000* +L0015008 00000000 00000000 00100001 00000001 00000000 00000000 00000011 00000000* +L0015072 00000001 00000000 00000001 00000010 00001000 00000000 00000001 00000000* +L0015136 00001001 00000000 00010010 00000011 10000101 00000000 00000000 00000000* +L0015200 10000011 00000000 00000001 00000011 00000000 00001000 00000011 00000000* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 011000 000000 000000 000000 000000 000000* +L0015360 000000 000000 000000 001000 000000 000000 001000 000000* +L0015408 000010 000000 000000 000000 000001 000000 000000 000000* +L0015456 000000 000000 000000 000000 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000001 00000000 00000000 00001011 00000010 00000000 00000000 00000000* +L0015616 00001010 00000000 00000000 00001000 00000000 00000000 10000001 00000000* +L0015680 00001001 00000000 00000010 10001010 00000000 00000000 00010100 00000000* +L0015744 00001000 00000000 00000001 10001000 00000000 00000000 00010101 00000000* +L0015808 00001000 00000000 00000000 10001001 00000001 00000001 00010010 00000000* +L0015872 00000001 00000000 00001000 10000010 00000001 00000000 00000100 00000000* +L0015936 00000010 00000000 00000000 10000000 00000001 00000011 00010100 00000000* +L0016000 00000100 00000000 00000001 10000100 00000000 00000011 00010111 00000000* +L0016064 00000100 00000001 00000010 10001100 00000000 00000001 00010100 00000000* +L0016128 000000 000000 000000 100011 000000 000000 000010 000000* +L0016176 000000 000000 000010 000010 000000 000000 000000 000000* +L0016224 000000 000000 000010 000010 000000 000000 000000 000000* +L0016272 000000 000000 000000 000111 000000 000000 000000 000000* +L0016320 000000 000000 000000 000111 000000 000000 010001 000000* +L0016368 000000 000000 000000 000111 000000 000000 010001 000000* +L0016416 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0016480 00000001 00000000 00000011 00000011 00000010 00000000 00001000 00000000* +L0016544 00000010 00000000 00000001 00000011 00000000 00000000 00000001 00000000* +L0016608 00000011 00000000 00000010 00000001 00000000 00000000 00000010 00000000* +L0016672 00000001 00000000 00000001 00000010 00000000 00000001 00000001 00000000* +L0016736 00000010 00000000 00000001 00000001 00000000 00000000 00000010 00000000* +L0016800 00000001 00000000 00000001 00000011 00000000 00000011 01000001 00000000* +L0016864 00000001 00000000 00000010 00000011 00000001 00000010 00000000 00000000* +L0016928 00001001 00000000 00000001 00000011 00000001 00000000 00000011 00000000* L0016992 000000 000000 000000 000000 000000 000000 000000 000000* L0017040 000000 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000000 000000 000001 000000 000000 000000 000000* -L0017136 000000 000000 000000 000001 000000 000000 000000 000000* -L0017184 000000 000000 000000 000001 000000 000000 000000 000000* -L0017232 000000 000000 000000 000001 000000 000000 000000 000000* -L0017280 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000010* -L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000011 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0017472 00000000 00000001 00010000 00000000 00000000 00000000 00000000 00000000* -L0017536 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00010000* -L0017664 00000001 00000001 00000001 00000000 00000000 00000000 00000000 00000001* -L0017728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017792 00000010 00000001 01000001 00000001 00000000 00000000 00000001 00000100* -L0017856 000000 000000 000000 000000 000000 000000 000000 000001* -L0017904 000000 000000 000000 000000 000000 000000 000010 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000000 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000011 00000010 00000001 00000000 00000000 00000000 00000000 00000000* -L0018208 00000010 00000000 00000011 00000011 00000000 00000000 00000000 00000110* -L0018272 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* -L0018336 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00000000* -L0018400 00000010 00000000 00010000 00000010 00000000 00000000 00000000 00000000* -L0018464 00000011 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0018528 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* -L0018592 00000011 00000000 00000011 00000010 00000000 00000000 00000000 00000001* -L0018656 00000001 00000000 00000010 00000010 00000000 00000000 00000000 00000001* +L0017088 000000 000001 000000 000000 000010 000000 000000 000000* +L0017136 000000 000001 000000 000000 000010 000000 000000 000000* +L0017184 000010 000001 000000 000000 000010 000000 000000 000000* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* +L0017344 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000001 00000000 00000010 00000000 00000000 00000000 00010000 00000000* +L0017472 00000000 00000000 00000001 00000000 00000000 00000000 00010001 00000000* +L0017536 00000000 00000000 00000000 00001001 00000000 00000000 00010010 00000000* +L0017600 00000001 00000000 00001000 01000010 00000000 00000000 00000000 00000000* +L0017664 01000010 00000000 00000000 11000000 00000001 00000000 00010000 00000000* +L0017728 01000000 00000000 00000001 10000000 00000000 00000000 00010011 00000000* +L0017792 00000000 00000000 00000010 00000000 00000000 00000001 00010000 00000000* +L0017856 000000 000000 000000 000001 000000 000000 000000 000000* +L0017904 000000 000000 000010 000001 000000 000000 000000 000000* +L0017952 100000 000000 000000 000011 000000 000000 000000 000000* +L0018000 100000 000000 000000 000000 000000 000000 000000 000000* +L0018048 010000 000000 000000 001000 000000 000000 000000 000000* +L0018096 010000 000000 000000 001100 000000 000000 000000 000000* +L0018144 00000010 00000000 00000001 00001000 00000000 00000000 00000001 00000000* +L0018208 00000001 00000000 00000010 00001011 00000010 00000000 00000001 00000000* +L0018272 00000010 00000000 00000001 00001101 00000000 00000000 00000001 00000000* +L0018336 00000011 00000000 00000010 00011001 00000000 00000000 00000010 00000000* +L0018400 00000001 00000000 00000001 00110100 00000000 00000000 00000001 00000000* +L0018464 00000010 00000000 00000000 10000101 00000000 00000000 00010010 00000000* +L0018528 00000001 00000000 00000001 00000111 00000000 00000000 00000001 00000000* +L0018592 00000000 00000000 00000010 00000101 00000001 00000000 00000000 00000000* +L0018656 00000001 00000000 00000001 00100111 00000001 00000000 00000011 00000000* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000000 000000 000000 000000 000000 000000 000000* -L0018864 000000 000000 000000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000001 00000000 00001000 00000000 00000000 00000010 00000000 00010000* -L0019072 00001000 00000000 00101000 00000000 00000010 00000000 00000000 00010001* -L0019136 00001001 00000000 00100010 00000000 00000000 00000001 00000000 00000000* -L0019200 00001000 00000001 00100000 00000010 00000000 00000000 00000001 00000001* -L0019264 00001000 00000000 00101010 00000001 00000000 00000000 00000001 10000000* -L0019328 00000000 00000000 00000001 00000000 10000000 00000001 00000000 10000000* -L0019392 00000000 00000000 00111001 00000000 10000000 00000000 00000000 00000011* -L0019456 00000000 00000010 00111000 00000001 00000000 00000001 00000001 00000000* -L0019520 00000000 00000011 00011001 00000000 00000001 00000000 00000001 00000000* -L0019584 000000 000000 000110 000000 000000 000000 000000 000000* -L0019632 000000 000000 000100 000000 000000 000000 000000 000010* -L0019680 100100 000000 000110 000000 000000 000000 000000 000000* -L0019728 100100 000000 000100 000000 000000 000000 000000 000000* -L0019776 100100 000000 100101 000000 000000 000000 000000 000000* -L0019824 100100 000000 000100 000000 000000 000000 000000 100001* -L0019872 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000010* -L0019936 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000000* -L0020000 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00001000* -L0020064 00000000 00000000 00000000 00000010 00000000 00000100 00000000 00000000* -L0020128 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0020192 00000000 00000000 00000000 00000010 00000000 00010000 00000000 00000000* -L0020256 00000001 00000001 00000000 00000000 00000000 00000000 00000000 10000001* -L0020320 00000000 00000000 00000010 00000000 00000000 00000000 00000000 10000000* -L0020384 00000010 00000000 00000011 00000001 00000000 00000000 00000000 10000000* -L0020448 000000 000000 000000 000000 000000 000000 000000 100000* -L0020496 000000 000000 010000 000000 000000 000000 000000 000100* +L0018816 000000 000001 000010 000000 000010 000000 000000 000000* +L0018864 000000 000001 000000 000101 000010 000000 000000 000000* +L0018912 000000 000001 000000 000101 000010 000000 000000 000000* +L0018960 000000 000000 000000 000001 000000 000000 000000 000000* +L0019008 00010000 00000001 00000000 00000001 00000011 00000000 00000000 00000000* +L0019072 00010001 00000000 00000001 00000000 00000001 00000001 00000000 00000000* +L0019136 01010001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0019200 00010000 00000000 00000001 00000000 00000001 00000101 00000001 00000000* +L0019264 00010000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0019328 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000001* +L0019392 00000010 00000000 00000000 00000001 00000001 00000000 00000010 00000000* +L0019456 00010000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0019520 00010000 00000010 00000011 00000000 00000000 00001011 00000000 00000000* +L0019584 000100 000000 000000 000000 000000 000000 000000 000000* +L0019632 001101 000000 000000 000000 000000 000000 000000 000000* +L0019680 001101 000000 000000 000000 000000 000000 000000 000000* +L0019728 001101 000000 000000 000000 000000 000000 000000 000000* +L0019776 100101 000000 000000 000000 000000 000000 000000 000000* +L0019824 100101 000000 000000 010000 000000 000000 000000 000000* +L0019872 00000001 00000000 00000000 00000011 00000010 00000000 00000001 00000000* +L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0020000 00000001 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0020064 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0020128 00000000 00000000 00000000 00010001 00000000 00000000 00000010 00000000* +L0020192 00000001 00000000 00000000 00000010 00000000 00000000 10000000 00000000* +L0020256 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0020320 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* +L0020384 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* +L0020448 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 001000 000000 000000 000000 000000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000001 000000 000000* +L0020592 000000 000000 000000 001000 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000 000000 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000001 00001010 00000000 00000001 00000000 00000000 00000000 00000010* -L0020800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0020864 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0020928 00000000 00000000 00010010 00000000 00000000 00000000 00000000 00000000* -L0020992 01000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0021056 00000000 00000100 00000000 00000010 00000000 00000000 00000000 00000000* -L0021120 00000001 00010001 00000000 00000000 00000000 00000000 00000000 00000001* -L0021184 00001001 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021248 10001010 00000001 01000001 00000000 00000000 00000000 00000000 00000000* -L0021312 000000 000000 000000 000000 000000 000000 000000 000000* -L0021360 000000 001001 000000 000000 000000 000000 000000 000000* -L0021408 000000 001111 000000 000000 000000 000000 000000 000000* -L0021456 000000 000100 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* -L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000011 00010110 00000010 00000000 00000000 00000000 00000000 00000000* -L0021664 00000001 00010110 00000000 00000001 00000000 00000100 00000000 00000010* -L0021728 00000000 00010100 00000000 00000001 00000000 00000000 00000000 00000010* -L0021792 00000010 00010100 00000010 00000000 00000000 00000000 00000000 00000000* -L0021856 00000010 00000100 00010010 00000000 00000000 00000000 00000000 00000000* -L0021920 00000010 00000000 00000001 00000001 00000100 00000000 00000000 00000000* -L0021984 00000000 00000100 00000000 00000011 00000000 00000000 00000000 00000000* -L0022048 00000001 00000001 00000000 00000010 00000000 00000000 00000000 00000001* -L0022112 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* +L0020800 00000010 00001000 00000000 00000010 00000000 00000000 00010000 00000000* +L0020864 00000000 00001000 00000010 10000000 00000000 00000000 01010000 00000000* +L0020928 00000010 00000000 00000001 10000000 00000000 00000000 01010001 00000000* +L0020992 00000000 00000000 00000000 10000001 00000000 00000000 01010010 00000000* +L0021056 00000001 00000000 00001000 10000110 00000000 00000000 01000000 00000000* +L0021120 00000000 00000000 00000000 10000100 00000000 00000000 01100000 00000000* +L0021184 00000000 00000000 00000001 10001100 00000000 00000000 01100001 00000000* +L0021248 00000000 00000000 00000010 10000100 00000000 00000000 01110000 00000000* +L0021312 000000 000000 000000 000001 000000 000000 011000 000000* +L0021360 000000 000000 000010 000001 000000 000000 000000 001000* +L0021408 000000 000000 000000 000001 000000 000000 000001 000000* +L0021456 000000 000000 000000 000001 000000 000000 011000 000000* +L0021504 000000 000000 000000 000001 000000 000000 001000 000000* +L0021552 000000 000000 000000 000001 000000 000000 001000 000000* +L0021600 00000000 00001000 00000001 00000000 00000000 00000000 00001010 00000000* +L0021664 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* +L0021728 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0021792 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0021856 00000010 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0021920 00000000 00000000 00000000 00001001 00000000 00000000 00100010 00000000* +L0021984 00000001 00000000 00000000 00000010 00000000 00000000 00010000 00000000* +L0022048 00000001 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0022112 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0022176 000000 000000 000000 000000 000000 000000 000010 000000* +L0022224 000000 000000 000000 000000 000000 000000 000001 000000* +L0022272 000000 000010 000010 000000 000000 000000 000000 000000* L0022320 000000 000010 000000 000000 000000 000000 000000 000000* -L0022368 000000 000010 000000 000000 000000 000000 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000001 00000011 00001001 00000001 00000000 00000011 00000011 00000011* -L0022528 00000000 00000011 00001001 00000010 00000010 00000011 00001011 10000011* -L0022592 00000011 00000011 00001011 00000001 00000000 00000011 00000011 10000011* -L0022656 00000000 00000011 00001010 00000010 00000000 00000011 00000011 10000011* -L0022720 01000001 00000011 00001011 00000001 00000000 00000011 00000011 10000011* -L0022784 00000000 00000011 00000011 00000110 00000000 00000011 00000011 10000011* -L0022848 00000001 00000011 00011011 00000000 00000001 00000011 00001011 10000011* -L0022912 00001001 00000011 00011010 00000011 00000001 00000011 00001011 10000011* -L0022976 10001010 00000011 00011011 00000001 00000001 00000011 00000011 10000011* -L0023040 000000 000000 000110 000000 000000 000000 000000 100000* -L0023088 000000 000000 000000 000000 000000 000000 000001 000000* -L0023136 000000 000000 000110 000000 000000 000000 000000 000001* -L0023184 000000 000000 000100 000000 000000 000000 000000 000001* -L0023232 000000 000000 000100 000000 000000 000000 000000 000001* -L0023280 000000 000000 000100 000000 000000 000000 000000 000001* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0023392 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0023456 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0023520 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0023584 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0023648 00000000 00000000 00001000 00000000 00000000 00000000 00001000 00000000* -L0023712 00000000 00001000 00100000 00000000 00000000 00000000 00010000 00000000* -L0023776 00000000 00000000 00100000 00000000 00000000 00000000 00010000 00000000* -L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023904 000000 000000 000000 000000 000000 000000 000000 000000* -L0023952 000000 000000 100001 000100 000000 000000 000000 000000* -L0024000 000000 000000 000000 000100 000000 000001 000000 000000* -L0024048 000000 000000 000000 000000 000000 000000 000000 000000* -L0024096 000000 000000 000000 000000 000000 000000 000001 000000* -L0024144 000000 000000 000000 000000 000000 000000 000000 000000* -L0024192 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0022368 000000 000000 000000 000010 000000 000000 010000 000000* +L0022416 000000 000000 000000 000000 000000 000000 010000 000000* +L0022464 00000001 00000011 00000000 00000011 00000011 00000011 00000011 00000000* +L0022528 00000011 00000011 00000001 00000010 00000011 00000011 00000011 00000010* +L0022592 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* +L0022656 00000010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* +L0022720 00000000 00000011 00000011 00000001 00000011 00000011 00001011 00000000* +L0022784 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0022848 00000010 00001011 01000010 00000001 00000011 00000011 00000011 00000000* +L0022912 00000001 00000011 01000011 00000011 00000010 00000011 00000011 00000001* +L0022976 00000000 00000011 00000011 00000000 00000011 00000011 00000010 00000011* +L0023040 000000 000000 000000 000000 000000 000000 010000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000000* +L0023136 000000 000000 000000 000000 000000 000000 000000 000000* +L0023184 000000 000000 000000 000000 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000 000000 000000 000100 000000* +L0023280 000000 000000 000000 000000 000000 000000 000000 000000* +L0023328 01010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023392 01011000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0023456 11111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* +L0023520 01111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* +L0023584 11111000 00000000 00000000 10000000 00000000 00000000 00010000 00000000* +L0023648 01000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023712 01000100 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0023776 01010100 00000000 00000000 00000100 00000000 00000000 00010000 00000000* +L0023840 00010100 00000000 00000000 10000100 00000000 00000000 00010000 00000000* +L0023904 000100 000000 000000 100001 000000 000000 000010 000000* +L0023952 101101 000000 000000 000010 000001 000000 000000 000000* +L0024000 101101 000000 000000 000000 000001 000000 000000 000000* +L0024048 111101 000000 000000 000110 000000 000000 000000 000000* +L0024096 110101 000000 000000 000110 000000 000000 010000 000000* +L0024144 110101 000000 000000 000110 000000 000000 010000 000000* +L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 010000 000000 000000 000000 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000010 000000 000000 000000 000000 000000 000000* -L0024960 000000 000010 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 000010 000000 000000 000000 000000 000000* +L0024864 000000 001000 000000 000000 000000 000000 000000 000000* +L0024912 000000 000000 000000 000000 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000 000000 000000 000000 000000* L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025248 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000000 000000 000000 000000 000000 000000 000000 000000* -L0025728 000000 000000 000000 000000 000000 000000 000000 000000* -L0025776 000000 000000 000000 000000 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* -L0025984 00000100 00000001 00000001 00001010 00000010 00000010 00000010 00000110* -L0026048 00000110 00000001 00100000 00000001 00000000 00000001 00000001 00000101* -L0026112 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0026176 00000000 00000001 00000010 00001000 00000000 00000000 00000000 00000100* -L0026240 00000000 00000001 00000001 11000000 00000000 00000011 00000011 00000011* -L0026304 00000000 00000000 00100000 11000100 00000000 00000000 00001000 00000000* -L0026368 00000000 00000001 00000000 10100111 00000000 00000001 00001001 00000000* -L0026432 00000010 00000000 00000000 10100000 00000000 00000010 00000010 00000010* -L0026496 000000 000000 000000 000010 000000 000000 000000 000000* -L0026544 000010 000100 000000 000000 000000 000000 000000 000010* -L0026592 000010 000000 000000 000000 000000 000000 000000 000010* -L0026640 000000 000000 000000 000000 000000 000000 000000 000010* -L0026688 000010 000000 000000 000001 000000 000000 000000 000010* -L0026736 000000 000000 000000 000001 000000 000000 000000 000000* -L0026784 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0026848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026976 00000100 00000000 00000000 00010000 00000000 00000000 00000100 00000000* -L0027040 00000100 00000000 00100000 00010000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027232 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0027296 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0027360 000001 000000 000000 000000 000000 000000 000000 000001* -L0027408 000000 000000 000000 000100 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 000000 000000* -L0027504 000010 000000 000000 010000 000000 000000 000000 000000* -L0027552 000000 000000 000000 010010 000000 000000 000000 000000* -L0027600 000010 000000 000000 010010 000000 000000 000001 000000* -L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00001000 00000000 00000000 00000010 00000010 00000010 00000010 00000010* -L0027776 00001000 00000000 00000000 00000001 00000000 00000001 00000001 00000001* -L0027840 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00001001 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0027968 00000000 00000000 00000001 00000000 00000000 00000011 00000011 00000011* -L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028096 00000000 00000000 00000000 00000011 00000000 00000001 00000000 00000000* -L0028160 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0028224 000000 000000 000000 000000 000000 000000 000000 000000* -L0028272 000000 000000 000000 000000 000000 000000 000000 000000* -L0028320 100100 000000 000000 000000 000000 000000 000000 000000* -L0028368 100100 000000 000000 000000 000000 000000 000000 000000* -L0028416 100100 000000 000000 000000 000000 000000 000000 000000* -L0028464 100100 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0028576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028832 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00001000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0025504 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000010 000000 000000 000000 000000 000000 000000 000000* +L0025680 000010 000000 000000 000000 000000 000000 000000 000000* +L0025728 000010 000000 000010 000000 000000 000000 000000 000000* +L0025776 000010 000000 000000 000000 000000 000000 000000 000000* +L0025824 000010 000000 000000 000000 000000 000000 000000 000000* +L0025872 000010 000000 000000 000000 000000 000000 000000 000000* +L0025920 00010000 00000000 00010000 00000000 10001100 00000000 00000000 00000000* +L0025984 00010011 00000001 00000001 00000110 10001010 00000010 00000010 00000010* +L0026048 01010000 00000001 00000000 00000101 00000001 00000001 00000001 00000001* +L0026112 00010000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0026176 00010000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* +L0026240 00000010 00010000 00000001 00000000 00000000 00000011 00000011 00000011* +L0026304 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0026368 00010000 00000001 00000000 00000011 00000010 00000000 00000000 00000000* +L0026432 00010000 00000000 00000000 00000000 00000000 00000010 01000010 00000010* +L0026496 000100 000000 000000 000000 000000 000000 000000 000000* +L0026544 001101 000000 000000 000000 000000 000000 000000 000000* +L0026592 001101 000000 000000 000000 000000 000000 000000 000000* +L0026640 001101 000000 000001 000000 000000 000000 000100 000000* +L0026688 100101 000000 000000 000000 000000 000000 000000 000000* +L0026736 100101 000000 000000 000000 000000 000000 000000 000000* +L0026784 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0026848 00000000 00000000 11000000 00000000 00000000 00000000 10000000 00000000* +L0026912 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* +L0026976 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* +L0027040 00000000 00000000 01000000 00000000 00000000 00000000 00010000 00000000* +L0027104 00000000 00000000 00000000 00000000 00001100 00000000 00001000 00000000* +L0027168 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* +L0027232 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* +L0027296 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* +L0027360 000000 000000 000000 000000 000001 000000 000010 000000* +L0027408 000000 000000 000000 000000 000001 000000 000000 000000* +L0027456 000000 000000 000000 000000 000001 000000 000000 000000* +L0027504 000000 000000 000000 000000 000001 000000 000000 000000* +L0027552 000000 000000 000000 000000 000000 000000 010000 000000* +L0027600 000000 000000 000000 000000 000000 000000 010000 000000* +L0027648 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027712 00000001 00000000 00000100 00000010 00000010 00001000 00100010 00000010* +L0027776 00000000 00000000 00000000 00000001 00000001 00001001 00100001 00000001* +L0027840 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0027968 00000010 00000000 00000000 00000000 00010000 00000011 00000011 00000010* +L0028032 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0028096 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* +L0028160 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0028224 100010 000000 000001 000000 000000 000000 000000 000000* +L0028272 000000 000000 100000 000000 000000 000000 000000 000000* +L0028320 000000 000000 000000 000000 000000 000000 000000 000000* +L0028368 000000 000000 000000 000000 000000 000000 000000 000000* +L0028416 000000 000000 000000 000000 000000 000000 000000 000000* +L0028464 000010 000000 000000 000000 000000 000000 000000 000000* +L0028512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028704 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028768 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028960 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0029024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028960 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0029024 00000100 00000000 00000100 00000000 00000000 00000100 00000000 00000001* L0029088 000000 000000 000000 000000 000000 000000 000000 000000* L0029136 000000 000000 000000 000000 000000 000000 000000 000000* -L0029184 000000 000000 000000 000000 000000 010000 000000 000000* +L0029184 000000 000000 100000 000000 000000 000000 000000 000000* L0029232 000000 000000 000000 000000 000000 000000 000000 000000* L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000000 000000 000000 000000 000000 000000 000000 000000* -L0029376 00000000 00000011 00000000 00000000 00000000 00000000 00000011 00000000* -L0029440 00000000 00000011 00000001 00000000 00000000 00000000 00000001 00000000* -L0029504 00000000 00000011 00000000 00000000 00000000 00000000 00000010 00000000* -L0029568 00000000 00000011 00000010 00000000 00000000 00000000 00000111 00000000* -L0029632 00000000 00000010 00000000 00000000 00000000 00000000 00000011 00000000* -L0029696 00000000 00010011 00000000 00000100 00000000 00000000 00000000 00000000* -L0029760 00000000 00000011 00000000 00000100 00000001 00000000 00001011 00011100* -L0029824 00000000 00000011 00000000 00000100 00000000 00000000 00001011 00001100* -L0029888 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000000 000000 000000 000000 000001 000000* -L0030048 000000 000000 000000 000001 000000 000000 000001 000000* -L0030096 000000 000000 000000 000001 000000 000000 000000 000000* -L0030144 000000 000000 000000 000001 000000 000000 000000 000000* -L0030192 000000 000000 000000 000001 000000 000000 000001 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000011 01000000* -L0030304 00000000 00000001 00000000 00000000 00000000 00000000 00000100 00000000* -L0030368 00000000 00000001 00000000 00000000 00000000 00000000 00000100 00000000* -L0030432 00000000 00000000 00000000 00000000 00000000 00001010 00000010 00000000* -L0030496 00000000 00000000 00010000 00000000 00000000 00000000 00000010 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0030624 00000000 00000010 00000000 00000000 00000000 00000000 00010000 00000000* -L0030688 00000000 00000001 00000000 00000000 00000001 00000000 00010001 00000000* -L0030752 00000000 00000000 00000000 00000000 00000000 00001010 00000000 00000000* +L0029328 000000 000000 000000 000000 000000 000001 000000 000000* +L0029376 10000100 00000010 00000000 00001000 00000010 00011011 00000000 00000000* +L0029440 00100100 00000011 00000000 00001000 00000001 00000001 00000000 00000000* +L0029504 10100000 00000011 00000000 10001000 00000010 00000010 00000000 00000000* +L0029568 00100000 00000010 00000000 10001000 00000011 00000011 00000000 00000000* +L0029632 10100000 00000011 00000001 00001000 00000011 00000000 00000000 00000000* +L0029696 00000000 00000000 00001001 10000000 00000010 00000100 00000000 00000001* +L0029760 00000000 00000000 00000000 10000000 00000011 00000000 00000000 00000000* +L0029824 00000000 00000001 00000000 10000000 00000000 00010001 00000000 00000001* +L0029888 00000000 00000000 00000000 00001000 00000011 00000001 00000000 00000000* +L0029952 000000 000000 000000 000010 000000 000000 000000 000000* +L0030000 100000 000000 000010 000000 000000 000000 000000 000000* +L0030048 100000 000000 000000 000010 000000 000000 000000 000000* +L0030096 100000 000001 000000 000001 000000 000001 000000 000000* +L0030144 010000 000001 000000 000001 000000 000000 000000 000000* +L0030192 010000 000000 000000 000001 000000 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0030304 00000000 00000011 00000000 00000000 00000000 00011010 00000000 00000000* +L0030368 00001000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0030432 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000000* +L0030496 01000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0030624 00000000 00000000 00000010 00000000 00000000 00000100 00000000 00000000* +L0030688 00000100 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0030752 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000000 000000 000000 000001 000000 000000 000000 100001* -L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000000 000000 000000 000000 000000 000000 000000 000000* +L0030912 000000 000001 000010 000000 000000 000000 000000 000000* L0030960 000000 000000 000000 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000 000000 000000 000001 000000* +L0031008 000000 000000 000000 000000 000000 000000 000000 000000* L0031056 000000 000000 000000 000000 000000 000000 000000 000000* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* L0031728 000000 000000 000000 000000 000000 000000 000000 000000* -L0031776 010000 000000 000000 000000 000000 000000 000000 000000* -L0031824 010000 000000 000000 000000 000000 000000 000000 100000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032096 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032224 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 11000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0032416 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0032480 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032352 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0032416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0032480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* @@ -661,39 +661,39 @@ L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0033088 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0032960 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033408 000000 000000 000000 000000 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000000 000000 000000 000000 000000 000000 000000* -L0033552 000000 000000 000000 000000 000000 000000 000000 000010* -L0033600 000000 000000 000000 000000 000000 000000 000000 000001* +L0033504 000000 000010 000000 000000 000000 000000 000000 000000* +L0033552 000000 000010 000000 000000 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00010011* -L0033760 00000000 00000000 00000001 10000000 00000000 00000000 00000001 00010000* -L0033824 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00001010* -L0033888 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* -L0033952 00000000 00000000 00000001 00000000 00000000 00000010 00000001 00000011* -L0034016 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* -L0034080 00000001 00000001 00000010 00000000 00000000 00000010 00000001 10000001* -L0034144 00000000 00000000 00000010 00000000 00000000 00000010 00000000 10000001* -L0034208 00000000 00000000 01000010 00000001 00000001 00000000 00000000 10000001* -L0034272 000000 000000 000000 000000 000000 000000 000000 100000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000010* -L0034368 000000 000000 000000 000000 000000 000000 000000 000010* -L0034416 000000 000000 000000 000000 000000 000000 000000 000000* -L0034464 000000 000000 000000 000000 000000 000000 000000 000000* -L0034512 000000 000000 000000 000000 000000 000000 000000 100000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0033696 00000000 00000000 00000000 00000000 00000001 00000001 00000011 00000000* +L0033760 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0033824 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0033888 00000000 00000001 00000000 00000000 00000000 00000001 00000011 00000000* +L0033952 00000000 00000000 00000000 10000000 00000000 00000000 00000011 00000000* +L0034016 00000000 00000011 00010001 00000000 00000001 00000000 00000000 00000000* +L0034080 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* +L0034144 00000000 00000010 00000001 00000100 00000000 00000001 00000010 00000000* +L0034208 00000000 00000001 00000000 10000100 00000001 00000000 00000000 00000000* +L0034272 000000 000000 000000 100001 000000 000000 000000 000000* +L0034320 000000 000000 000000 000010 000000 000000 000000 000000* +L0034368 000000 000000 000000 000000 000000 000000 000000 000000* +L0034416 000000 000000 000000 000110 000000 000000 000000 000000* +L0034464 000000 000000 000000 000110 000000 000000 000000 000000* +L0034512 000000 000000 000000 000110 000000 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034688 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -705,146 +705,146 @@ L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035680 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036000 100011 000000 000000 000000 000000 000000 000000 000000* -L0036048 100000 000000 000000 000000 000000 000000 000000 000000* -L0036096 100100 000000 000000 000000 000000 000000 010000 000000* -L0036144 100100 000000 000000 000000 000000 000000 000000 000000* -L0036192 100100 000000 000000 000000 000000 000000 000000 000000* -L0036240 100100 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* +L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0036000 000000 000000 000000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000 000001 000000 000000 000000* +L0036240 000000 000000 000000 000000 000000 000000 000000 000000* +L0036288 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00010001 00100000 00000001 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036608 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* -L0036800 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00000000 00000000 00001000 00010000 00000000 00000000 00000000 00000000* +L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036912 000000 100000 000000 000000 000000 000000 000000 000000* L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037056 000000 000000 000001 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0037216 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0037280 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0037344 10001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0037408 10001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037664 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0037728 100011 000000 000100 000000 000000 000000 000000 000000* -L0037776 100000 000000 000000 000000 000000 000000 000000 000000* -L0037824 100100 000000 000010 000000 000000 000000 000000 001000* -L0037872 100100 000000 000000 000000 000000 000000 000000 000000* -L0037920 100100 000000 000100 000000 000000 000000 000000 000000* -L0037968 100100 000000 000100 000000 000000 000000 000000 000000* +L0037152 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0037216 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0037280 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0037344 01111000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0037408 11111000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0037472 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037600 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000100 000000 000000 000000 000000 000000 000000 000000* +L0037776 101101 000000 000000 000000 000000 000000 000000 000000* +L0037824 101101 000000 000000 000000 000000 000000 000000 000000* +L0037872 101101 000000 000000 000000 000000 000000 000000 000000* +L0037920 110101 000000 000000 000000 000000 000000 000000 000000* +L0037968 110101 000000 000000 000000 000000 000000 000000 000000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000000 00000001 00000001 00000010 00000010 00000010 00000010 00000010* -L0038144 00000010 00000001 00000000 00000001 00000000 00000001 00000001 00000001* +L0038080 00000011 00000001 00000001 10000010 00000010 00000010 00000010 00000010* +L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000001* L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038272 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0038336 00000000 00000001 00000001 00000000 00000000 00000011 00000011 00000011* +L0038336 00000010 00000000 00000001 00000000 00000000 00000011 00000011 00000011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000001 00000000 00000011 00000000 00000001 00000001 00000000* -L0038528 00000010 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0038464 00000000 00000001 00000000 00001011 00000010 00000000 00000000 00000000* +L0038528 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* L0038592 000000 000000 000000 000000 000000 000000 000000 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000001 000000 000000 000010 000000 000000 000000* +L0038736 000000 000001 000000 000000 000010 000000 000000 000000* +L0038784 000000 000001 000000 000000 000010 000000 000000 000000* L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0038944 00000011 00000011 00000011 00000011 00000010 00000011 00000011 00000011* -L0039008 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039072 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039136 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039200 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039264 00000001 00000011 00000011 00000011 00000001 10000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00000011 00000001 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* -L0039456 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000011 00000011 10000011 00000010 00000011 00000011 00000000* +L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000010* +L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* +L0039072 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000000* +L0039136 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* +L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000011 00000011 00001011 00000011 00000011 00000011 00000000* +L0039328 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039456 000000 000000 000000 100000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* -L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000010 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000011 00000011 11000011 00000011 00000000 00000000 00000000 00010011* -L0039808 00011010 00000011 11010011 00000011 00000010 00000010 00000011 00011011* -L0039872 00011011 00000001 11011011 00000011 00000000 00000000 00000000 10001010* -L0039936 00011011 00000001 01001011 00000011 00000000 00000000 00000001 00000001* -L0040000 01011011 00000001 01000011 00000011 00000000 00000000 00000001 00000001* -L0040064 00100011 00000001 00000111 00000011 10000000 00000010 00000010 10000011* -L0040128 00100001 00000001 01000111 00000010 10000000 00000001 00000001 00000011* -L0040192 00101011 00000011 01000111 00000011 00000000 00000000 00000000 00000001* -L0040256 10101011 00000011 10000111 00000011 00000000 00000001 00000011 00000011* -L0040320 001000 000000 101001 000000 000000 000000 000000 000000* -L0040368 000000 000000 001000 000000 000000 000000 000000 000000* -L0040416 001100 000000 001000 000000 000000 000000 000000 000000* -L0040464 000100 000000 101001 000000 000000 000000 000000 000001* -L0040512 100100 000000 001000 000000 000000 000000 000000 000000* -L0040560 100100 000000 101000 000000 000000 000000 000000 100000* -L0040608 00000011 00000011 00000011 00000001 00000000 00000000 00000000 00000110* -L0040672 00000010 00000001 00000111 00000011 00000000 00000000 00000001 00000010* -L0040736 00000111 00000001 00000011 00000011 00000000 00000000 00000000 00000010* -L0040800 00000111 00000001 00000011 00000011 00000000 00000000 00000001 00000001* -L0040864 00000111 00000000 00000011 00000011 00000000 00000000 00000001 00000001* -L0040928 10001011 00000001 00000000 00000011 00000000 00000000 00000000 00000001* -L0040992 10000001 00000001 00001011 00000010 00000000 00000000 00000001 10000001* -L0041056 00000011 00000000 00001011 00000011 00000000 00000000 00000000 10000001* -L0041120 01000011 00000001 00000011 00000011 00000000 00000000 00000001 10000001* -L0041184 000000 000000 000000 000000 000000 000000 000000 100000* -L0041232 000010 000000 000000 000000 000000 000000 000000 000000* -L0041280 010000 000000 000100 000000 000000 000000 000000 000000* -L0041328 010001 000000 000100 000000 000000 000000 000000 000000* -L0041376 010001 000000 000000 000000 000000 000000 000000 000000* -L0041424 010000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000011 00000011 00001001 00000001 00000000 00000000 00000000 00000010* -L0041536 00000010 00000000 00001011 00000011 00000000 00000000 00000000 00000010* -L0041600 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00001010* -L0041664 00000011 00000001 00000111 00000011 00000000 00000001 00000000 00000000* -L0041728 00000011 00000000 00000011 00000011 00000000 00000001 00000000 00000000* -L0041792 00000011 00000001 00000000 00000011 00000000 00000000 00000000 00000001* -L0041856 00000001 00000001 00000011 00000010 10000000 00000001 00000001 00000001* -L0041920 00000011 00000000 01000011 00000010 00000000 00000000 00000000 00000011* -L0041984 00000011 00000001 00010111 00000011 00000000 00000001 00000001 00000001* -L0042048 000000 000000 101100 000000 000000 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 000000 000000 000000 000000* -L0042192 000000 000000 001000 000000 000000 000000 000000 000010* -L0042240 000000 000000 001000 000000 000000 000000 000000 000000* -L0042288 000000 000000 001000 000000 000000 000000 000000 000000* -L0042336 00000011 00000010 00000001 00000001 00000000 00000000 00000000 00000010* -L0042400 00000010 00000000 00010011 00000011 00000000 00000000 00000000 00001010* -L0042464 00000011 00000000 10011011 00000011 00000000 00000000 00000000 00000010* -L0042528 00000011 00000001 00001011 00000011 00000000 00000000 00000000 00000000* -L0042592 00000011 00000000 01001101 00000011 00000000 00000000 00000000 00000000* -L0042656 00000011 00000000 00000000 00000011 00000000 10000000 00000000 00000000* -L0042720 00000001 00000001 01000011 00000010 00000000 00000000 00000000 00000001* -L0042784 00000011 00000000 00000011 00000010 00000000 00000000 00000000 00000001* -L0042848 00000011 00000001 00000011 00000011 00000000 00000000 00000001 00000001* -L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 001000 000000 000000 000000 000000 000000* -L0043008 000000 000000 001010 000000 000000 000000 000000 000010* +L0039744 00000011 00001101 00000011 00100011 00000010 00000001 00000011 00000000* +L0039808 00000011 00000001 00000011 00000011 00000011 00000001 00000011 00000000* +L0039872 00000011 00000001 00000011 00000011 00000001 00000000 00000001 00000000* +L0039936 00000011 00000001 00000011 00000001 00000001 00000001 00000011 00000000* +L0040000 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* +L0040064 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* +L0040128 00000011 00000001 00000001 00010011 00000001 00000001 00000011 00000000* +L0040192 00000001 00000000 00000011 00000011 00000011 00000001 00000011 00000000* +L0040256 00000011 00000011 00000011 00000011 00000001 00000001 00000011 00000000* +L0040320 000000 000000 000000 000000 000000 000000 000000 000000* +L0040368 000000 001011 000000 000000 000010 000000 000000 000000* +L0040416 000000 000000 000000 000000 000000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000 000000 000000 000000 000000* +L0040608 00000011 00000000 00000011 00000011 00000010 00000001 00000001 00000000* +L0040672 00000011 00000001 00000011 00000011 00000010 00000001 00000001 00000000* +L0040736 00000011 00001101 00000011 00000011 00000000 00000000 00000001 00000000* +L0040800 00000011 00000001 00000011 00000001 00000000 00000001 00000011 00000000* +L0040864 00000011 00000000 00000011 00000011 00000001 00000000 00000011 00000000* +L0040928 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* +L0040992 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* +L0041056 00000001 00000000 00000011 00000011 00000001 00000001 00000011 00000000* +L0041120 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000100 000000* +L0041280 000000 000000 000000 000000 000000 000000 000000 000000* +L0041328 000000 000010 000000 000000 000000 000000 000000 000000* +L0041376 000000 000001 000000 000000 000010 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000111 00000000 10100011 00001011 00000010 00000000 00000001 00000000* +L0041536 00001011 00000000 01000011 00000011 00000010 00000000 00000001 00000000* +L0041600 00001111 00000000 10000011 10001011 00000000 00000000 00000001 00000000* +L0041664 00001011 00000000 01000011 00000101 00000000 00000000 00000011 00000000* +L0041728 00000101 00000000 00000001 00001111 00000001 00000001 00000011 00000000* +L0041792 00010011 00000000 00000001 00000111 00000001 00000000 00000010 00000000* +L0041856 00000011 00000000 11000001 10000011 00000001 00000011 00000001 00000000* +L0041920 00000001 00000000 11000011 00000011 00000001 00000011 00000011 00000000* +L0041984 00000001 00000001 00000011 00001011 00000001 00000001 00000011 00000000* +L0042048 000000 000000 000000 000000 000000 000000 000000 000000* +L0042096 000010 000000 000000 000001 000000 011110 000000 000000* +L0042144 000010 000000 000000 000000 000000 000000 000000 000000* +L0042192 000010 000000 100000 000001 000010 000000 000000 000000* +L0042240 000010 000000 100000 000000 000000 000000 000000 000000* +L0042288 000010 000000 000000 000001 000000 000000 000000 000000* +L0042336 00100011 00000000 00000101 00010111 00000010 00000000 00000001 00000000* +L0042400 00000011 00000000 00000010 00000011 00000010 00000000 00000001 00000000* +L0042464 00000011 00000000 00000011 00000001 00000000 00000000 00000001 00000000* +L0042528 00000011 00000000 00000011 00000001 00000000 00000000 00000011 00000000* +L0042592 00000001 00000000 00001001 00000001 00000000 00000000 00000011 00000000* +L0042656 10000011 01000000 00000000 00000011 00000000 00000000 00000010 00000000* +L0042720 00010011 00000000 00000001 00000011 00000001 00000000 00000001 00000000* +L0042784 00000000 00000000 00000011 00000001 00000001 00000000 00000011 00000000* +L0042848 00000001 00000000 00000011 10000011 00000001 00000001 00000011 00000000* +L0042912 000000 000000 000000 100000 000000 000000 000000 000000* +L0042960 010000 000000 000001 000000 000010 000000 000000 000000* +L0043008 000000 000000 000000 000000 000000 000000 000000 000000* L0043056 000000 000000 000000 000000 000000 000000 000000 000000* -L0043104 000000 000000 000100 000000 000000 000000 000000 000000* -L0043152 000000 000000 000100 000000 000000 000000 000000 000000* -L0043200 00000011 00000000 00000011 00000000 00000000 00000010 00000010 00000011* -L0043264 00000000 00000000 00000010 00000010 00000000 00000010 00000010 00000001* -L0043328 00000000 00000010 00000000 00000010 00000000 00000010 00000000 00000011* +L0043104 000000 000000 000000 110000 000000 000000 000000 000000* +L0043152 000000 000000 001000 000010 000000 000000 000000 000000* +L0043200 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* +L0043264 00000001 00000010 00000000 00000001 00000011 00000011 00000000 00000000* +L0043328 00000000 00000000 00000010 00000010 00000010 00000011 00000010 00000000* L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000000 00000010 00000010 00000010 00000000 00000010 00000000 00000001* +L0043456 00000001 00000010 00000010 00000010 00000001 00000011 00000010 00000000* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -855,11 +855,11 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0044128 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0044192 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0044064 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* +L0044128 00000000 00000001 00000001 00000000 00000000 00000000 00000000 00000000* +L0044192 00000000 00000001 00000011 00000000 00000000 00000000 00000010 00000000* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000000 00000010 00000001 00000000 00000000 00000000 00000000 00000000* +L0044320 00000010 00000001 00000011 00000000 00000000 00000000 00000000 00000000* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -870,428 +870,428 @@ L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 10000000 00000000 00000010 00000000 00000000 00000000 00000010 00010010* -L0044992 10000000 00000000 00000000 00000010 00000000 00000000 00000000 10010010* -L0045056 10000100 00000010 00000000 00000010 00000000 00000000 00000000 00000010* -L0045120 10000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 10000110 00000010 00000010 00000000 00000000 00000000 00000000 00000010* +L0044928 00000011 00000010 00000010 00000011 00000000 00000000 00000011 00000000* +L0044992 00000001 00000000 00000000 00000001 00000001 00000000 00000001 00000000* +L0045056 00000010 00000000 00000011 00000000 00000010 00000000 00000010 00000000* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000011 00000010 00000010 00000000 00000011 00000000 00000010 00000000* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045440 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045504 000001 000000 000000 000000 000000 000000 000000 000000* -L0045552 000010 000000 000000 000000 000000 000000 000000 000000* -L0045600 000010 000000 000000 000000 000000 000000 000000 000001* -L0045648 000011 000000 100001 000000 000000 000000 000000 000000* -L0045696 000011 000000 000000 000000 000000 000000 000000 000000* -L0045744 000011 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000001 00001011 00000011 00000000 00000000 00000000 00000010* -L0045856 00000010 00000001 00001001 00000001 00000000 00000010 00000000 00000010* -L0045920 00000010 00000011 00001111 00000011 00000000 00000010 00000000 00000010* -L0045984 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000010 00001111 00000011 00000000 00000010 00000000 00000010* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0046176 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0046240 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0046304 00000000 00000000 00011000 00000000 00000000 00000000 00000000 10000000* -L0046368 000000 000000 000110 000000 000000 000000 000000 100000* -L0046416 001000 000000 000000 000000 000000 000000 001000 000000* -L0046464 000000 000000 000010 000000 000000 000000 000000 000000* +L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 000000 000000 000000 000000* +L0045552 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* +L0045648 000000 000000 000000 000000 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000 000000 000000 000000 000000* +L0045792 00000001 00000010 00000001 00000011 00000001 00000000 00000010 00000000* +L0045856 00000000 00000001 00000000 00000010 00000001 00000000 00000001 00000000* +L0045920 00000000 00000010 00000000 00000000 00000011 00000000 00000010 00000000* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000010 00000001 00000000 00000010 00000010 00000000 00000001 00000000* +L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000100 000000 000000 000000 000000 000000* -L0046608 000000 000000 000100 000000 000000 000000 000000 100000* -L0046656 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000000* -L0046720 00000010 00000000 10000010 00000010 00000000 00000000 00000000 00000010* -L0046784 00000000 00000010 01000000 00000010 00000000 00000000 00000000 00000010* -L0046848 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000010 00000001 00000001 00000000 00000001 00000000 00000010* +L0046560 000000 000000 000000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 00000000 00000001 00000000 00000010 00000001 00000010 00000000 00000000* +L0046720 00001010 00000010 00000010 00000000 00000000 00001000 00000010 00000000* +L0046784 00001000 00000000 00000000 00000010 00000010 00001010 00000000 00000000* +L0046848 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046912 01001000 00000011 00000000 00000000 00000011 00000000 00000000 00000000* L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 100000* +L0047040 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0047104 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047232 000000 000000 000000 000000 000000 000000 000000 000000* L0047280 000000 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000000 000000* -L0047376 000000 000000 000000 000000 000000 000000 000000 000000* -L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047328 010000 000000 000000 000000 000000 000001 000000 000000* +L0047376 010000 000000 000000 000000 000010 000000 000000 000000* +L0047424 000000 000000 000000 000000 000010 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00001001 00000000 00001010 00000010 00000000 00000010 00000010 10000011* -L0047584 10001000 00000000 00001000 00000000 00000000 00000001 00000000 10000001* -L0047648 10001011 00000010 00001000 00000010 00000000 00000001 00000010 10000011* -L0047712 10001000 00000000 00001100 00000000 00000000 00000000 00000000 10000000* -L0047776 10001000 00000010 00001110 00000010 00000000 00000011 00000010 10000011* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0047904 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048032 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0048096 100011 000000 000100 000000 000000 000000 000000 000000* -L0048144 100000 000000 000000 000000 000000 000000 000000 000000* -L0048192 100100 000000 000010 000000 000000 000000 000000 000001* -L0048240 100100 000000 000000 000000 000000 000000 000000 000001* -L0048288 100100 000000 000100 000000 000000 000000 000000 000001* -L0048336 100100 000000 000100 000000 000000 000000 000000 000001* -L0048384 00000010 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0048448 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000011* -L0048512 00000000 00000010 00000001 00000001 00000000 00000000 00000000 00000011* -L0048576 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0048640 00000011 00000010 00000011 00000001 00000000 00000000 00000010 00000011* +L0047520 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00000000* +L0047584 00000000 00000000 00000000 00000000 00000011 00010000 00000000 00000000* +L0047648 00000011 00000010 00000010 00000000 00000000 00010010 00000011 00000000* +L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047776 00000000 00000010 00000000 00000000 00000011 00000010 00000000 00000000* +L0047840 00001000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0047904 10000000 00000000 00000000 00100000 00000000 00000100 00000000 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0048096 000000 000000 000000 000000 000000 000000 000000 000000* +L0048144 000010 000000 000000 000000 000010 000000 000000 000000* +L0048192 000000 000000 000000 000000 000010 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048288 000000 000000 000000 000000 000000 000001 000000 000000* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00000001 00010000 00000001 00000011 00000001 00000000 00000011 00000010* +L0048448 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* +L0048512 00000000 00000011 00000000 00000001 00000001 00000011 00000000 00000010* +L0048576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048640 00000011 00000011 00000001 00000011 00000011 00000010 00000011 00000000* L0048704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0048896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048960 000000 000000 000000 000000 000000 000000 000000 000000* L0049008 000000 000000 000000 000000 000000 000000 000000 000000* L0049056 000000 000000 000000 000000 000000 000000 000000 000000* L0049104 000000 000000 000000 000000 000000 000000 000000 000000* L0049152 000000 000000 000000 000000 000000 000000 000000 000000* L0049200 000000 000000 000000 000000 000000 000000 000000 000000* -L0049248 00000011 00000000 00000011 00000011 00000000 00000000 00000011 00000000* -L0049312 00000010 00000000 00000001 00000010 00000000 00000010 00000001 00000011* -L0049376 00000011 00000010 00000000 00000011 00000000 00000010 00000000 00000001* +L0049248 00000010 00000000 00000011 00000011 00000010 00000001 00000010 00000010* +L0049312 00000000 00000000 00000001 00000010 00000000 00000011 00000010 00000000* +L0049376 00000000 00000010 00000000 00000001 00000000 00000010 00000001 00000000* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000010 00000010 00010011 00000010 00000000 00000010 00000001 00000001* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000000 00000010 00000001 00000010 00000000 00000011 00000001 00000010* +L0049568 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049824 000000 000000 000000 000000 000000 000000 000000 000000* L0049872 000000 000000 000000 000000 000000 000000 000000 000000* -L0049920 000000 000000 000000 000000 000000 000000 000000 000000* +L0049920 000000 000000 000000 100000 000000 000000 000000 000000* L0049968 000000 000000 000000 000000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000010 00000000 00000000 00000010 00000010 00000000 00000000 00000010* -L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050240 00000001 00000000 00000001 00000000 00000000 00000001 00000010 00000000* -L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050368 00000000 00000000 00000010 00000000 00000000 00000010 00000010 00000010* +L0050112 00001000 00000010 00010010 00000010 10001000 00000010 01000000 00000000* +L0050176 10000000 00000000 00010001 00000000 10001010 00000000 01000010 00000000* +L0050240 00000110 00000010 00010001 00010001 10000001 00000000 00000001 00000000* +L0050304 10000100 00000000 00011100 00000000 10000000 00000000 00000000 00000000* +L0050368 00000110 00000000 00010111 00000010 10000010 00000010 00000000 00000000* L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000000 000000 000000 000000 000000 000000 000000 000000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000000* -L0050832 000000 000000 000000 000000 000000 000000 000000 000000* -L0050880 000000 000000 000000 000000 000000 000000 000000 000000* -L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000011 00000011 00000000 00000000 00000010 00000000* -L0051040 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0051104 00000000 00000010 00000001 00000001 00000000 00000000 00000010 00000010* +L0050496 00000000 00000000 01101000 00000000 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 01101100 00000000 10000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00101000 00000000 10000000 00000000 00000000 00000000* +L0050688 000000 000000 001011 000000 100000 000000 000000 000000* +L0050736 000000 000000 000100 000000 000000 000000 000000 000000* +L0050784 000000 000000 000101 000000 000000 000000 000000 000000* +L0050832 000000 000000 000111 010000 000000 000000 000000 000000* +L0050880 000000 000000 001111 000000 000001 000000 000000 000000* +L0050928 000000 000000 000101 000000 000001 000000 000000 000000* +L0050976 00000000 00000010 00000001 00000001 00000010 00000000 00000000 00000000* +L0051040 00000000 00000001 00000010 00000000 00000001 00000000 00000000 00000000* +L0051104 00000000 00000010 00000011 00000111 00000010 00000000 00000010 00000000* L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000010 00000011 00000011 00000000 00000000 00000000 00000010* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000011 00000011 00000111 00000011 00000000 00000010 00000000* +L0051296 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 010000 000000 000010 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* -L0051744 000000 000000 000000 000000 000000 000000 000000 000000* -L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000001 00000001 00000010 01000001 00000000 00000000 00000000 00000010* -L0051904 00000000 00000001 00010000 01000001 00000000 00000000 00000010 00000010* -L0051968 00000000 00000000 00010000 01010001 00000000 00000000 00000010 00000010* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000001 00000001 00000010 00000001 00000000 00000000 00000010 00000010* -L0052160 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0052224 00000000 10100000 00000000 10000100 00000000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 001000 000000 000000 000000 000000 000000* -L0052464 000000 000000 001000 100010 000000 000000 000000 000000* -L0052512 000000 000000 001000 110000 000000 000000 000000 000000* -L0052560 000000 000000 001000 100000 000000 000000 000000 000000* -L0052608 000000 000000 001000 100001 000000 000000 000000 000000* -L0052656 000000 000000 001000 100001 000000 000000 000000 000000* -L0052704 00000000 10010101 00000010 00000000 00000010 00000010 00000000 00000011* -L0052768 00000000 10011100 00000000 00010000 00000000 00000000 00000000 00000001* -L0052832 00000000 10011100 00000000 00000000 00000000 00000000 00000000 00000001* -L0052896 00000000 10011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0052960 00000010 10001101 00000010 00100000 00000000 00000010 00000000 00000001* -L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053088 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 000000 000000 000000 000000 000000 000000* -L0053328 000000 000000 000000 010000 000000 000000 000000 000000* -L0053376 000000 000000 000000 000001 000000 000000 000000 000000* -L0053424 000000 000011 000000 000011 000000 000000 000000 000000* -L0053472 000000 000011 000000 000000 000000 000000 000000 000000* -L0053520 000000 000001 000000 000000 000000 000000 000000 000000* -L0053568 00000011 00000000 00000010 00111000 00000010 00010000 00000000 00000000* -L0053632 00000010 00000000 00010010 01111000 00000010 00000011 00000000 00000000* -L0053696 00000010 00000010 00010000 01101000 00000010 00000011 00000001 00000000* -L0053760 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* -L0053824 00000011 00000010 00000010 01011000 00000000 00000010 00000001 00000000* -L0053888 00000000 00000000 00000000 11011000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 11011100 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 11111100 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 11101000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 001000 011110 000000 000000 000000 000000* -L0054192 000000 000000 001000 101000 000000 000000 000000 000000* -L0054240 000000 000000 001000 111000 000000 000000 000000 000000* -L0054288 000000 000000 001000 111110 000000 000000 000000 000000* -L0054336 000000 000000 001000 111111 000000 000000 000000 000000* -L0054384 000000 000000 001000 111111 000000 000000 000000 000000* -L0054432 00000011 00000010 00000010 00000000 00000000 00000000 00000011 00000001* -L0054496 00000000 00000000 00000001 00000000 00000000 00000010 00000010 00000001* -L0054560 00000011 00000010 00000001 00010010 00000000 00000011 00000001 00000001* +L0051696 000000 000000 100000 000000 000000 000000 000000 000000* +L0051744 000000 000000 100000 000000 000000 000000 000000 000000* +L0051792 000000 000000 100000 000000 000000 000000 000000 000000* +L0051840 00000010 00000010 00000001 00000000 00000010 00000001 00000011 00000000* +L0051904 00010000 00011100 00000010 00000000 00000000 00000001 00000011 00000000* +L0051968 01000001 00001101 00000010 00000010 00000000 00000000 00000000 00000000* +L0052032 00000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* +L0052096 00010011 00000011 00000011 00000000 00000010 00000001 00000011 00000000* +L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0052352 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052416 000100 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 000000 000000 100000 000000 000000 000000* +L0052512 001001 000010 000000 000000 000000 000000 000000 000000* +L0052560 001100 000010 000010 000000 000000 000000 000000 000000* +L0052608 000101 000000 000000 000000 000000 000000 000000 000000* +L0052656 000101 000000 000000 000000 000000 000000 000000 000000* +L0052704 00010000 00100000 10000001 00000010 00000000 00000010 10000010 00000000* +L0052768 00001000 00000000 11000000 00000000 00000100 00000000 00000000 00000000* +L0052832 00011001 00000001 01000000 00000000 10000101 00000000 00000000 00000000* +L0052896 01111000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0052960 11001010 00000001 00000001 00000010 10000100 00000010 00000000 00000000* +L0053024 01000000 00100000 00000000 00000000 00001100 00000000 00001000 00000000* +L0053088 01000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* +L0053152 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0053216 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0053280 000000 000000 000000 000000 100001 000000 000000 000000* +L0053328 001101 010000 000000 000100 000001 000000 100000 000000* +L0053376 100100 000000 000000 000000 000001 000000 000000 000000* +L0053424 000001 000000 000000 000000 000001 000000 000010 000000* +L0053472 110000 000000 000000 000000 000001 000000 000000 000000* +L0053520 100000 000000 000000 000000 000001 000000 000000 000000* +L0053568 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000000* +L0053632 00000000 00000001 00000010 00000010 00000001 00000000 00000011 00000010* +L0053696 00000000 00000010 00000010 00000000 00000000 00000000 00000001 00000000* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000000 00000011 00000011 00000010 00000001 00000000 00000010 00000010* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* +L0054192 000000 000000 000000 000000 000000 000000 000000 000000* +L0054240 000000 000001 000000 000000 000010 000000 000000 000000* +L0054288 000000 000001 000000 000000 000010 000000 000000 000000* +L0054336 000000 000001 000000 000000 000010 000000 000000 000000* +L0054384 000000 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000010 00000000 00000010 00000010 00000010 00000000 00000010 00000000* +L0054496 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* +L0054560 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000010 00000000 00000011 00100000 00000000 00000011 00000010 00000011* +L0054688 00000000 00000011 00000010 00000010 00000010 00000000 00000010 00000000* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 100000 000000 000000 000000 000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000011 000000 000000 000000 000000* -L0055152 000000 000000 000000 000001 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000001 00000010 00000001 00000001 00000000 00000001 00000000 00000001* -L0055360 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00001001* -L0055424 00001000 00000010 00000000 00000000 00000000 00000000 00000000 00001000* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055296 00000001 00000000 00000011 00000001 00000010 00000001 00000000 00000001* +L0055360 00000001 00000010 00000000 00000000 10001000 00000000 00000000 00000001* +L0055424 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* +L0055488 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055552 00000010 00000010 00000011 00000011 00000000 00000001 00000000 00000000* +L0055616 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055744 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055808 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055872 000000 000000 000000 000000 100000 000000 000000 000000* L0055920 000000 000000 000000 000000 000000 000000 000000 000000* L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 100100 000000 000000 000000 000000 000000 000000 000000* +L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* -L0056112 100100 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000001 00000000 00000001 00000001 00000000 00000001 00000011 00000011* -L0056224 00001000 00000010 00000000 00000010 00000000 00000000 00000011 00000010* -L0056288 00000000 00000010 00000010 00000000 00000000 00000001 00000001 00000011* -L0056352 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000010 00000010 00000000 00000000 00000001 00000010 00000011* -L0056480 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0056112 000000 000000 000000 000000 000000 000000 000000 000000* +L0056160 00000001 00000000 00000000 00000010 00000000 00000001 00000010 00000000* +L0056224 00001000 00000010 00000010 00000000 00000010 00000001 00000010 00000000* +L0056288 00011010 00000010 00000000 00000010 00000000 00000001 00000001 00000000* +L0056352 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 01011010 00000010 00000000 00000010 00000010 00000000 00000011 00000000* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 100100 000000 000000 000000 000000 000000 000000 000000* -L0056880 000000 000000 000000 000000 000000 000000 000000 000000* -L0056928 100100 000000 000000 000000 000000 000000 000000 000000* -L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00001111 00000001 00110100 00000000 00000000 00000000 00000000 10101001* -L0057088 00000111 00000001 00000001 00000000 00000000 00000010 00000000 00000001* -L0057152 00000011 00000000 00000000 00000010 00000000 00000010 00000000 00000001* -L0057216 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0057280 00000011 00000001 00000001 00100000 00000000 00000000 00000010 00000001* -L0057344 00000100 00000000 10101000 00000000 00000000 00000000 00000000 00001100* -L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0057600 000000 000000 000000 100100 000000 000000 000000 000000* -L0057648 100000 000000 000000 000001 000000 000000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000000 000000* -L0057744 001000 000000 000000 100000 000000 000000 000000 000000* -L0057792 000000 000000 000000 100000 000000 000000 000000 000010* -L0057840 000000 000000 000001 100000 000000 000000 000000 000000* -L0057888 00000000 00000001 00000000 00100010 00000000 00000010 00000010 00000000* -L0057952 00000000 00000011 00001000 00001000 00000000 00000000 00000010 00010010* -L0058016 00010101 00000010 00010010 00101010 00000000 00000000 00000000 00000000* -L0058080 00010100 00000000 00101000 00001000 00000000 00000000 00000000 00000000* -L0058144 00010100 00000011 00100010 00011010 00000000 00000010 00000010 00000010* -L0058208 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058272 00100100 00000000 00000000 11010000 00000000 00000000 00000000 00000000* -L0058336 00100100 00000000 00011000 00000100 00000000 00000000 00000000 00001100* -L0058400 00100100 00000000 00000000 11101000 00000000 00000000 00000000 10000000* -L0058464 001001 000000 001110 000000 000000 000000 000000 100001* -L0058512 001010 000000 000000 000000 000000 000000 000000 000000* -L0058560 001010 000000 001010 001000 000000 000000 000000 000000* -L0058608 000010 000000 000100 000001 000000 000000 000000 000000* -L0058656 001010 000000 000000 011000 000000 000000 000000 000000* -L0058704 001010 000000 001100 000011 000000 000000 000000 000000* -L0058752 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000011* -L0058816 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0058880 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000011* -L0058944 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* -L0059008 00000010 00000000 00010000 00000001 00000000 00000000 00000000 00000011* +L0056608 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056672 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056736 000100 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 100000 000000 001000 000000* +L0056832 000000 000000 000000 000000 000000 000000 000000 000000* +L0056880 001001 000000 000000 000000 000000 000000 000000 000000* +L0056928 000001 000000 000000 000000 000000 000000 000000 000000* +L0056976 100101 000000 000000 000000 000000 000000 000000 000000* +L0057024 00100001 00000000 00000111 00000001 00000011 00000100 00110011 00000000* +L0057088 00000000 00000001 00000001 00000001 00000010 00000011 00000011 00000001* +L0057152 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000000* +L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000001 00001010 00001000 00000010 00000011 01000011 00000001* +L0057344 10000000 00000000 00000000 00000100 00000000 00100000 00010000 00000000* +L0057408 00010000 00000000 00000000 11000100 00000000 00000000 00000000 00000000* +L0057472 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057600 000000 000000 000000 000001 000000 000000 000010 000000* +L0057648 000000 000000 000001 000000 000000 010000 000000 000000* +L0057696 000000 001000 000000 000010 000100 000000 000100 000000* +L0057744 000000 000000 000000 000000 000000 000000 000100 000000* +L0057792 000000 000000 000000 000000 000000 000000 000100 000000* +L0057840 000000 000000 001000 001100 000000 000000 000000 000000* +L0057888 00001001 00000001 00000000 00000010 00000000 00000010 00000001 00000000* +L0057952 00000100 00000011 10000010 00000000 00000000 00000010 01000101 00000000* +L0058016 00000011 00000000 01000010 00001000 00000000 00000010 00010100 00000000* +L0058080 00000100 00000000 10000000 00001000 00000000 00000000 00000100 00000000* +L0058144 00001010 00000011 01000010 00100010 00000000 00000010 00010101 00000000* +L0058208 00001000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* +L0058272 00001000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0058336 00001100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* +L0058400 00001100 00000000 10000000 00100100 00000000 00000000 00001100 00000000* +L0058464 000010 000000 100000 000000 000000 000000 001000 000000* +L0058512 000000 000000 000000 000001 000000 000000 000000 000000* +L0058560 000000 000000 000000 000001 000000 000000 000000 000000* +L0058608 000000 000000 000000 000000 000000 000000 001000 000000* +L0058656 000000 000000 000000 000100 000000 000000 000000 000000* +L0058704 000000 000000 100000 000001 000000 000000 011001 000000* +L0058752 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0058816 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0058880 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000000* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00011100* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00001100* -L0059264 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 011000 000000 000000* L0059424 000000 000000 000000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000001 000000* -L0059616 00000001 00000000 00000011 00000000 00000000 00000000 00000000 01000001* -L0059680 00001000 00000000 00000011 00000010 00000010 00000001 00000100 00000010* -L0059744 00001001 00000000 00000000 00000010 00000000 00000000 00000100 00000000* -L0059808 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00001000 00000000 00000011 00000000 00000000 00000001 00000000 00000001* -L0059936 00000000 00000000 00000000 00100000 00000000 00000000 00010000 01000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000011 00000010 00000011 00000000 00000000 10000000 00000000* +L0059680 00000001 00000011 00000000 00000010 00000001 00000001 00000000 00000000* +L0059744 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000011 00000010 00000010 00000001 00000000 00000000 00000000* +L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 000000 100001* -L0060288 100100 000000 000000 000000 000000 000000 000000 000000* -L0060336 100100 000000 000000 000000 000000 000000 000000 000000* -L0060384 100100 000000 000000 000000 000000 000000 000000 000000* -L0060432 100100 000000 000000 000000 000000 000000 000000 000000* -L0060480 00001010 00000000 00001001 00000000 00000000 00000000 00000010 00000001* -L0060544 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0060608 10001000 00000001 00001001 00000000 00000000 00000000 00000001 00000000* -L0060672 10001100 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0060736 10001100 00000000 00001101 00000000 00000000 00000000 00000001 00000001* -L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060864 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060928 00000100 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0060992 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0061056 100011 000000 000100 000000 000000 000000 000000 000000* -L0061104 100000 000000 000010 000000 000000 000010 000000 000000* -L0061152 100100 000000 000010 000000 000000 000000 000000 000000* -L0061200 100110 000000 000000 000000 000000 000000 000000 000000* -L0061248 100100 000000 000100 000000 000000 000000 000000 000000* -L0061296 100110 000000 000100 000000 000000 000000 000000 000000* -L0061344 00000011 00000001 00000011 00000000 00000000 00000001 00000001 00000001* -L0061408 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0061472 00000100 00000001 00000000 00000000 00000000 00000001 00000000 00000000* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000001 00000001 00000000 00000000 00000000 00000001 00000001 00000000* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0060240 000000 000000 000000 000000 000000 000000 100000 000000* +L0060288 000000 000000 000000 000100 000000 000000 000000 000000* +L0060336 000000 000000 000000 000000 000000 000000 000010 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* +L0060432 000000 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000001 00000000 00000001 00100010 00000000 00000000 01000101 00000000* +L0060544 00000000 00000000 00000000 00100000 00000010 00000001 01110101 00000000* +L0060608 00000001 00000000 00000001 00110101 00000011 00000001 00110000 00000001* +L0060672 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0060736 00000000 00000000 00000000 00100000 00000010 00000001 00010101 00000000* +L0060800 00000000 00000000 00000000 01010100 00000000 00000000 00000000 00000000* +L0060864 00000000 00000000 00000000 01010100 00000000 00000000 00101000 00000000* +L0060928 00000000 00000000 00000000 01110000 00000000 00000000 00101000 00000000* +L0060992 00000000 00000000 00000000 01110000 00000000 00000000 00111000 00000000* +L0061056 000000 000000 000000 000100 000000 000000 001001 000000* +L0061104 000000 000000 000010 000001 000100 000000 000000 000000* +L0061152 000000 000000 000010 000001 000100 000000 000000 000000* +L0061200 000000 000000 000000 010000 000000 000000 001000 000000* +L0061248 000000 000000 000000 001000 000000 000000 001000 000000* +L0061296 000000 000000 000000 001000 000000 000000 001100 000000* +L0061344 00000000 00000010 00000001 00000000 00000000 00000001 00000000 00000000* +L0061408 00000010 00000010 00000010 00010011 00000000 00000001 00000000 00000000* +L0061472 00000001 00000000 00000000 10000000 00000000 00000000 00000001 00000000* +L0061536 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000001 10000000 00000000 00000001 00000001 00000000* +L0061664 00000000 00000000 00001000 00000000 00000000 00000000 01000000 00000000* +L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 001000 000000 000001 000000 000000 000000 000000 000000* -L0061968 000010 000000 000000 000000 000000 000100 000000 000000* -L0062016 000010 000000 000000 000000 000000 000000 000000 000000* +L0061856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000010 000000 000000 000000 000000* +L0061968 000000 000000 000000 001010 000000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000000* L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000010 000000 000000 000000 000000 000000 000000 000000* -L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00000001 00000001 00000001 00000001 00000000 00000000 00000000 00000001* -L0062272 00000000 00000001 00000000 00000001 00000000 00000010 00000001 00000001* -L0062336 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000001* -L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062464 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000001* -L0062528 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000010 000000 000000 000000 000000 000000* -L0062880 000000 000000 000000 000000 000000 000000 000000 000000* -L0062928 000000 000000 000000 000000 000000 000000 000000 000000* -L0062976 000000 000000 000000 000000 000000 000000 000000 000000* -L0063024 000000 000000 000000 000000 000000 000000 000000 000000* -L0063072 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000000* -L0063136 00000010 00000000 00000011 00000001 00000010 00000001 00000000 00000010* -L0063200 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0062112 000000 000000 000000 000000 000000 000000 000001 000000* +L0062160 000000 000000 000000 000000 000000 000000 000001 000000* +L0062208 00000001 00000010 10000000 00000011 10001010 00000000 00000001 00000000* +L0062272 00000000 00000001 11000000 00010011 10001000 00000000 00000001 00000000* +L0062336 00000000 00000001 11000000 00010000 10000000 00000000 00000000 00000000* +L0062400 00000000 00000000 11000000 00010000 10000000 00000000 00000000 00000000* +L0062464 00000000 00000011 01000000 00010011 10000010 00000001 00000001 00000000* +L0062528 00000000 00000100 10000000 00010000 00000000 00000000 00000000 00000000* +L0062592 00000000 00001000 10000000 00010000 00000000 00000000 00000000 00000000* +L0062656 00000000 00010000 10000000 00110000 10000000 00000000 00000000 00000000* +L0062720 00000000 00000000 10000000 00110000 10000000 00000000 00000000 00000000* +L0062784 000000 000000 100000 000100 100000 000000 000000 000000* +L0062832 000000 000000 000000 001000 000000 000000 000000 000000* +L0062880 000000 000000 000000 001000 000000 000000 000000 000000* +L0062928 000000 000100 100000 001000 000000 000000 000000 000000* +L0062976 000000 000000 100000 001000 000001 000000 000000 000000* +L0063024 000000 000000 100000 001000 000001 000000 000000 000000* +L0063072 00000001 00000010 00000001 00000001 00000011 00000000 00000001 00000000* +L0063136 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000000* +L0063200 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000000* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000001 00000010 00000000 00000001 00000010 00000000 00000001 00000000* +L0063392 00000000 00000000 00000100 00000000 01000000 00000000 00000000 00000000* L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 010000 000000 000000 000000 000000* L0063744 000000 000000 000000 000000 000000 000000 000000 000000* -L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 001000 000000 000000 000000 000000 000000* L0063840 000000 000000 000000 000000 000000 000000 000000 000000* L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 10000100 00000001 00000010 00000000 00000010 00000010 00000000 00000010* -L0064000 10000101 00000001 00000011 00000000 00000010 00000000 00000000 00000010* -L0064064 10000101 00000000 00000011 01000000 00000010 00000010 00000000 00000010* -L0064128 10000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064192 10000101 00000001 00000011 00000000 00000010 00000000 00000000 00000011* -L0064256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064320 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064384 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064448 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064512 000001 000000 000000 000000 000000 000000 000000 000000* -L0064560 000011 000000 000000 000000 000000 000000 000000 000000* -L0064608 000010 000000 000000 000000 000000 000000 000000 000000* -L0064656 001011 000000 000000 000000 000000 000000 000000 000000* -L0064704 001011 000000 000000 100000 000000 000000 000000 000000* -L0064752 000011 000000 000000 000000 000000 000000 000000 000000* -L0064800 00000001 00000000 00000001 00000100 00000001 00000000 00000000 00000001* -L0064864 00000000 10000000 00000000 00000000 00000001 00000000 00000000 00000001* -L0064928 00000000 10010001 00000000 00000000 00000001 00000000 00000000 00000001* -L0064992 00000000 00001100 00000000 01100000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000001 00000001 01100000 00000000 00000000 00000000 00000001* -L0065120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 101100 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000001 000000 000000 000000 000000* -L0065520 000000 000000 000000 000101 000000 000000 000000 000000* -L0065568 000000 000010 000000 000101 000000 000000 000000 000000* -L0065616 000000 000000 000000 010101 000000 000000 000000 000000* -L0065664 00000011 00000000 00000010 00000000 00000000 00000001 00000010 00000010* -L0065728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065792 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063936 00000010 00000010 00000010 00000010 00000010 00000010 00000101 00000000* +L0064000 00000000 00000001 00000000 00000000 00000001 00000000 00011101 00000001* +L0064064 00000010 00000000 00000010 00000010 00000011 00000010 01011100 00000000* +L0064128 00000000 00000000 00000000 00000000 00000000 00000000 01011100 00000000* +L0064192 00000000 00000011 00000000 00000000 00000001 00000000 01011101 00000001* +L0064256 00000100 00000000 00000000 00000000 00000000 01000000 01000100 00000000* +L0064320 00000000 00000000 00010000 00000000 00000000 00000000 01101100 00000000* +L0064384 00000000 00000000 00000000 00000000 00000000 00000000 01101100 00000000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0064512 000000 000000 000000 000000 000000 000000 011001 000000* +L0064560 000000 000000 000000 000000 000000 000000 000000 000000* +L0064608 010000 000010 000000 000000 000000 000000 000100 000000* +L0064656 000000 000010 000000 000000 000000 000000 011101 000000* +L0064704 000000 000000 000000 000000 000000 000000 001101 000000* +L0064752 000000 000000 000000 000000 000000 000000 001101 000000* +L0064800 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0064864 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064928 00000000 00000001 00000001 00000000 00000001 00000001 00000001 00000000* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000001 00000001 00000000 00000000 00000001 00000001 00000000 00000000* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000010 000000* +L0065424 000000 000010 000000 000000 000000 000000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000001 000000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 010000 000000* +L0065616 000000 000000 000000 000000 000000 000000 010000 000000* +L0065664 00010000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065728 10010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0065792 00000100 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0065856 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00011100* -L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066048 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000100 000000 000000 000000 000000 000000 000000 000000* L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 000000 000000 000000 000000 000000 000000 000000 000000* -L0066384 000000 000000 000000 000000 000000 000000 000000 000000* -L0066432 000000 000000 000000 000000 000000 000000 000000 000000* -L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00010100 00000000 00000000 00000000 00000000 00000000 01000000* -L0066592 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066336 100101 000000 001000 000000 000000 000000 000000 000000* +L0066384 100000 000000 000000 000000 000000 000000 000000 000000* +L0066432 110100 000000 000000 000000 000000 000000 000000 000000* +L0066480 110001 000000 000000 000000 000000 000000 000000 000000* +L0066528 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066592 01001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0066656 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 11101000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 11101100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066976 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 100001* -L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000001 000000 000000 000000 000000 000000 000000* -L0067296 000000 000001 000000 000000 000000 000000 000000 000000* -L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000110 00000010 00000010 00000010 00000010 00001110 00000010 00000010* -L0067456 00000010 00000010 00000010 00000010 00000000 00000000 00000000 00000010* -L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 101101 000000 001000 000000 000100 000000 000000 000000* +L0067200 001000 000000 000000 000000 000000 000000 000000 000000* +L0067248 011101 000000 000000 000000 000000 000000 000000 000000* +L0067296 000001 000000 000000 000000 000000 000000 000000 000000* +L0067344 000100 000000 000000 000000 000000 000000 000000 000000* +L0067392 00000010 00000010 00000010 00000010 10001010 00000010 00000010 00000010* +L0067456 00000010 00000000 00000010 00000010 10001010 00000000 00000010 00000000* +L0067520 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067712 00000000 00000100 10000000 00000000 00000000 00000000 00000000 00000000* +L0067776 00000010 00001010 10000010 00000010 00000010 00000010 00000010 00000010* +L0067840 00000000 00010000 00000000 00000000 10000000 00000000 00000000 00000000* +L0067904 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* +L0067968 000000 000000 000000 000000 100000 000000 000000 000000* L0068016 000000 000000 000000 000000 000000 000000 000000 000000* -L0068064 000000 000000 000000 000000 000000 000000 000000 000000* -L0068112 000000 000000 000000 000000 000000 000000 000000 000000* -L0068160 000000 000000 000000 000000 000000 000000 000000 000000* -L0068208 000000 000000 000000 000000 000000 000000 000000 000000* -L0068256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068064 000000 000000 100000 000000 000000 000000 000000 000000* +L0068112 000000 000100 100000 000000 000000 000000 000000 000000* +L0068160 000000 000000 000000 000000 000001 000000 000000 000000* +L0068208 000000 000000 000000 000000 000001 000000 000000 000000* +L0068256 00000000 00000000 10000000 00000000 01000000 00000000 00000000 00000000* +L0068320 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068832 000000 000000 000000 000000 000000 000000 000000 000000* -L0068880 000000 000000 000000 000000 000000 000000 000000 000000* -L0068928 000000 000000 000000 000000 000000 000000 000000 000000* +L0068832 000000 000000 100000 000000 000000 000000 000000 000000* +L0068880 000000 000000 100000 000000 000000 000000 000000 000000* +L0068928 000000 000000 000000 000000 000000 000000 010000 000000* L0068976 000000 000000 000000 000000 000000 000000 000000 000000* -L0069024 000000 000000 000000 000000 000000 000000 000000 000000* -L0069072 000000 000000 000000 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0069024 000000 000000 100000 000000 000000 000000 000000 000000* +L0069072 000000 000000 100000 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1302,413 +1302,413 @@ L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0069696 000000 000000 000000 000000 000000 000000 000000 000000* L0069744 000000 000000 000000 000000 000000 000000 000000 000000* L0069792 000000 000000 000000 000000 000000 000000 000000 000000* -L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069840 000000 000001 000000 000000 000000 000000 000000 000000* +L0069888 000000 000001 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 100000 010000 000000* -L0070656 100100 000000 000000 000000 000000 000000 000000 000000* -L0070704 100100 000000 000000 000000 000000 000000 000000 000000* -L0070752 100100 000000 000000 000000 000000 000000 000000 000000* -L0070800 100100 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00001000 00001000 00000000 01000000 00000000 00010000* -L0070912 10000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* -L0070976 00000100 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0071040 10000100 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 11001100 00000000 00000100 00000000 00000000* -L0071232 00000100 00000000 01011000 00001100 00000000 00000000 00000000 10001100* -L0071296 00000000 00000000 01100000 11101000 00000000 00000000 00000000 10000000* -L0071360 00000100 00000000 00011000 00000000 00000000 00000000 00000000 00000100* -L0071424 000000 000000 000000 010010 000000 000000 000000 000000* -L0071472 000000 000000 001010 001100 000000 000110 000000 000000* -L0071520 000010 000000 000100 000101 000000 000000 000000 000000* -L0071568 000011 000000 001000 011000 000000 000000 000000 000000* -L0071616 000000 000000 001100 000011 000000 000000 000000 000000* -L0071664 000001 000000 000000 001000 000000 000000 000000 000000* -L0071712 00000000 00000000 00110100 00000000 00000000 10000000 00000000 10101000* -L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0072032 00010000 00000000 10101000 00000000 00000000 00000000 00000000 00001100* -L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0072288 000000 000000 000000 100100 000000 000000 000000 000000* -L0072336 010100 000000 000000 000001 000000 000000 000000 000000* -L0072384 000000 000000 000000 000000 000000 000000 000000 100000* -L0072432 000000 000000 000000 100000 000000 000000 000000 000000* -L0072480 000000 000000 000000 100000 000000 000000 000000 000010* -L0072528 001000 000000 000001 100000 000000 000000 000000 000000* -L0072576 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0072640 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0072704 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* -L0072768 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000100* -L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072960 00001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0073024 00100000 00000000 00010100 01001000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 10001000 01001000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000010 000000 000000 000000 000000 000000* -L0073200 000000 000000 000000 001000 000000 000000 000000 000010* -L0073248 000010 000000 000000 001100 000000 000000 000000 000010* -L0073296 000010 000000 000000 000100 000000 000000 000000 000010* -L0073344 000010 000000 000000 000000 000000 000000 000000 000010* -L0073392 000011 000000 000000 000000 000000 000000 000000 000000* -L0073440 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* -L0073504 00001000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0073568 01011000 00000000 11001000 00000000 00000000 00000000 00000000 00000000* -L0073632 10011000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0073696 10011000 00000000 01001100 00001000 00000000 00000000 00000000 00000000* -L0073760 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073824 00100000 00000000 00000100 00000100 00000000 00000000 10000000 00000000* -L0073888 00000000 00000000 01000000 10100100 00000000 00000000 00000000 00000000* -L0073952 10001100 00000000 00000000 10100000 00000000 00000000 00000000 00000100* -L0074016 011001 000000 001001 000010 000000 000000 000000 000001* -L0074064 100000 000000 000000 000000 000000 000000 000000 000000* -L0074112 110100 000000 000010 000000 000000 000000 000000 000000* -L0074160 110100 000000 001000 000000 000000 000000 000000 000000* -L0074208 110100 000000 001100 000000 000000 000000 000000 000000* -L0074256 110100 000000 101100 000000 000000 000000 000000 000000* -L0074304 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074368 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 100000* -L0074928 000000 001001 000000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000000 000000 000000 000001* -L0075024 000000 000001 000000 000000 000000 000000 000000 000001* -L0075072 010000 000000 000000 000000 000000 000000 000000 100001* -L0075120 010000 000001 000000 000000 000000 000000 000000 000001* -L0075168 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0075488 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0075552 10000000 10100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075616 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 010000 000000 000000 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 000000 000000* -L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000000 000000 000000 000000 000000 000000* -L0075936 000000 000000 000000 000000 000000 000000 000000 000000* -L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000001 000000 000000 000000 000000 000000 000000* +L0070656 000000 000001 000000 000000 000000 000000 000000 000000* +L0070704 000000 000000 000000 000000 000000 000000 000000 000000* +L0070752 000000 000000 000000 000000 000000 000000 000000 000000* +L0070800 000000 000000 000000 000000 000000 000000 000000 000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071232 00000000 00000000 00010100 00000000 00000000 00001000 00000000 00000000* +L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 110000 000000 000000 000000 000000 000000* +L0071520 000000 000000 100000 011000 000000 000000 000000 000000* +L0071568 000000 000000 000000 001000 000000 000000 000000 000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071712 00010000 00000000 10010000 00000000 00000000 00000100 00000000 00000000* +L0071776 01011000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* +L0071840 01011000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* +L0071904 01111000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* +L0071968 11111000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* +L0072032 01000000 00000000 10000000 00000000 00000000 00001000 00000000 10000000* +L0072096 01000000 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0072160 01010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0072224 00010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* +L0072288 000100 000000 101010 000000 000000 000000 000000 000000* +L0072336 101101 000000 000100 000000 000000 000000 000000 000000* +L0072384 101101 000000 000100 000000 000000 000000 000000 000000* +L0072432 101101 000000 101100 000000 000000 000000 000000 000000* +L0072480 110101 000000 101100 000000 000000 000000 000000 000000* +L0072528 110101 000000 101100 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072896 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073152 000000 000000 000000 000000 000000 000000 000000 000000* +L0073200 000000 000000 000000 000000 000000 001000 000000 000000* +L0073248 000000 000000 000000 000000 000000 000000 000000 000000* +L0073296 000000 000000 000000 000000 000000 000000 000000 000000* +L0073344 000000 000000 000000 000000 000000 000000 000000 000000* +L0073392 000000 000000 000000 000000 000000 000000 000000 000000* +L0073440 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0073504 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073568 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073632 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073696 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073888 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073952 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074016 000100 000000 000000 000000 000000 000000 000000 000000* +L0074064 001101 000000 000000 000000 000000 000000 100000 000000* +L0074112 001101 000000 000000 000000 000000 000000 000000 000000* +L0074160 001101 000000 000000 000000 000000 000000 000010 000000* +L0074208 100101 000000 000000 000000 000000 000000 000000 000000* +L0074256 100101 000000 000000 000000 000000 000000 000000 000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0074368 00010000 00000000 00000000 00000000 00000000 00001000 00011000 00000000* +L0074432 01000000 00000000 00000000 00000000 00000000 00001000 01011000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* +L0074560 00010000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* +L0074624 00000000 00000100 00000000 00010000 00000000 00000000 01000000 00000000* +L0074688 00000000 00011000 00000000 00000000 00000000 00010000 01101000 00000000* +L0074752 00000000 00010000 00000000 01000000 00000000 00000000 01101000 00000000* +L0074816 00000000 00000000 00100000 00000000 00000000 00000000 01111000 00000000* +L0074880 000100 000000 000000 000000 000000 000000 011000 000000* +L0074928 000000 000000 000000 000000 000000 000001 000001 000010* +L0074976 001001 000100 000001 000000 000000 000000 000100 000000* +L0075024 001100 000100 000100 000000 000000 000000 011100 000000* +L0075072 000101 000000 000000 000000 000000 000000 001100 000000* +L0075120 000101 000000 000000 000000 000000 000000 001100 000000* +L0075168 00010000 00000000 10000000 00000000 00000000 00001000 00000000 00000000* +L0075232 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* +L0075296 00011000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* +L0075360 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0075424 01001000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 10000000 00010000 00100000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000000* +L0075616 00010100 00000000 00000000 00000000 00000000 00000100 00010000 00000000* +L0075680 00010100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075792 001101 000000 000000 000000 000000 000000 000000 000000* +L0075840 000100 000000 000000 000000 000000 000000 000000 000000* +L0075888 000001 000000 000000 000000 000000 000000 000001 000000* +L0075936 100000 000000 000000 000000 000000 000001 010000 000000* +L0075984 100000 000000 000000 000000 000000 000000 010000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076608 000000 000000 000000 000000 000000 000000 000000 000000* L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 000000 000000* -L0076752 100100 000000 000000 000000 000000 000000 000000 000000* +L0076704 000000 000010 000000 000000 000000 000000 000000 000000* +L0076752 000000 000010 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* -L0076848 100100 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077088 00001000 10010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 10001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077280 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000000* +L0076896 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0076960 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077024 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077152 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077344 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077408 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000000 000000 000000 000000* -L0077568 100100 000000 000000 000000 000000 000000 000000 000000* -L0077616 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 001101 000000 000000 000000 000000 000000 000000 000000* +L0077568 001101 000000 000000 000000 000000 000000 000000 000000* +L0077616 000100 000000 000000 000000 000000 000000 000010 000000* L0077664 100100 000000 000000 000000 000000 000000 000000 000000* L0077712 000000 000000 000000 000000 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 100000 000000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 011000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 100000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000000* L0078528 000000 000000 000000 000000 000000 000000 000000 000000* L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0078624 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 101100 000000 000000 000000 000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 10000000 00000000 10000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000000 000001 000000 000000 000000 000000* -L0079344 000000 000000 000000 000101 000000 000000 000000 000000* -L0079392 000000 000000 000000 000101 000000 000000 000000 000000* -L0079440 000000 000000 000000 010101 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0079680 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0079296 000000 000000 000010 000000 000000 000000 000000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0079552 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079680 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080000 00000000 00000000 01000000 00000000 00000000 00000000 00000000 10000000* -L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000000 000000 100000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* +L0080000 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080064 000000 000000 000000 000000 000001 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 100000 000000 000000* +L0080160 000000 000010 000000 000000 000011 000000 000000 000000* +L0080208 000000 000010 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0080416 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 00001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000000 000000 000000 000000* -L0081024 100100 000000 000000 000000 000000 000000 000000 000000* -L0081072 100100 000000 000000 000000 000000 000000 000000 000000* -L0081120 100100 000000 000000 000000 000000 000000 000000 000000* -L0081168 100100 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0081600 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0081664 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000010 000000 000000 000000 000000 000000* -L0081888 000000 000000 000000 000000 000000 000000 000000 000000* -L0081936 000000 000000 000000 000000 000000 000000 000000 000000* -L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082400 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082656 000000 000000 000000 000000 000000 000000 000000 000000* -L0082704 000000 000000 000000 000000 000000 000000 000000 000000* +L0080352 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080416 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080480 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080544 01111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080608 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080672 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080736 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080800 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080864 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080928 000100 000000 000000 000000 000000 000000 000000 000000* +L0080976 101101 000000 000000 000000 000001 000000 000000 000000* +L0081024 101101 000000 000000 000000 000000 000000 000000 000000* +L0081072 101101 000000 000000 000000 000011 000000 000000 000000* +L0081120 110101 000000 000000 000000 000010 000000 000000 000000* +L0081168 110101 000000 000000 000000 000000 000000 000000 000000* +L0081216 00010000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* +L0081280 01010000 00000000 11000100 00001000 00000000 00001000 00000000 00000000* +L0081344 01010000 00000000 11000000 00000100 00000000 00001000 00001000 00000000* +L0081408 00110000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0081472 10110000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* +L0081536 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0081600 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 01010000 00000000 10000000 00000100 00000000 00000000 01000000 00000000* +L0081728 00010000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0081792 000100 000000 100000 000000 000000 000000 000000 000000* +L0081840 101101 000000 000000 000000 000100 000000 000000 000000* +L0081888 101101 000000 000000 000000 000100 000000 000100 000000* +L0081936 101101 000000 000000 000101 000000 000000 000000 000000* +L0081984 110101 000000 100000 001001 000000 000000 000000 000000* +L0082032 110101 000000 100000 000000 000000 000001 000000 000000* +L0082080 00000000 00000000 00000000 00100000 00000000 00010000 00000000 00000000* +L0082144 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* +L0082208 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0082272 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0082336 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* +L0082400 00010000 00000000 10000000 00010100 00000000 00000000 00001000 00000000* +L0082464 00000000 00000000 10000000 00010100 00000000 00000100 00010000 00000000* +L0082528 00000000 00000000 00000000 01110000 00000000 00000100 00010000 00000000* +L0082592 00000000 00000000 10000100 01110000 00000000 00000000 00010000 00000000* +L0082656 000000 000000 001000 000100 000000 000000 000010 000000* +L0082704 000000 000000 000000 000000 000000 000000 000000 010000* L0082752 000000 000000 000000 000000 000000 000000 000000 000000* -L0082800 000000 000000 000000 000000 000000 000000 000000 000000* -L0082848 000000 000000 000000 000000 000000 000000 000000 000000* -L0082896 000000 000000 000000 000000 000000 000000 000000 000000* -L0082944 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0083008 00000100 00000000 00000000 00101000 00000000 00000000 00001000 00000000* -L0083072 00000100 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0083136 00000100 00000000 01000000 00001000 00000000 00000000 00000000 00000000* -L0083200 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00001000 00000000 11000000 00000000 10000000 00000000 00000000* -L0083328 00000100 00000000 00000100 11011000 00000000 00000000 00001000 00000000* -L0083392 00000100 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0083456 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083520 000001 000000 000000 001000 000000 000000 000000 000000* -L0083568 000010 000000 000000 000000 000000 011000 000000 000000* -L0083616 000010 000000 000000 000000 000000 000000 000001 000000* -L0083664 000010 000000 000000 000000 000000 000000 000000 000000* -L0083712 001010 000000 000000 000001 000000 000000 000000 000000* -L0083760 000010 000000 000000 001101 000000 000000 000000 000000* -L0083808 00000000 00000000 00001000 00100000 00000000 00000000 00001000 00000000* -L0083872 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0083936 00000000 00000000 00001000 00001000 00000000 00000000 00000100 00000000* -L0084000 00000000 00000000 00001100 01000000 00000000 00000000 00000100 00000000* -L0084064 00000000 00000000 00001100 01001000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0084192 00000000 00001000 00000000 00000100 00000000 10000000 00010000 00000000* -L0084256 00000000 00000000 00000000 11100100 00000000 00000000 00010000 00000000* -L0084320 00000000 00000000 00010000 11100000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000100 010010 000000 000000 000000 000000* -L0084432 000001 000000 000000 001000 000000 000000 000000 001000* -L0084480 000001 000000 000010 001100 000000 010000 000000 000000* -L0084528 001000 000000 000000 001100 000000 000000 000000 000000* -L0084576 000000 000000 000100 001100 000000 000000 000001 000000* -L0084624 000000 000000 000100 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0084736 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0082800 000000 000000 100000 000000 000000 000000 000010 000000* +L0082848 000000 000000 000000 000000 000000 000000 010000 000000* +L0082896 000000 000000 000100 000000 000000 000000 010000 000000* +L0082944 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0083008 10010000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* +L0083072 01010100 00000000 11010100 00100000 00000000 00000000 00000000 00000000* +L0083136 10010100 00000000 11010000 00000000 00000000 00000000 00000000 00000000* +L0083200 00010100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0083392 00010000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0083456 00010000 00000000 10100000 00010000 00000000 00000000 00000000 00000000* +L0083520 000100 000000 101000 000000 000000 000000 000000 000000* +L0083568 001101 000000 110000 000000 000000 000000 000000 000000* +L0083616 001101 000000 100000 000000 000000 000000 000000 000000* +L0083664 011101 000000 101000 000000 000000 000000 000000 000000* +L0083712 100101 000000 101000 000000 000000 000000 000000 000000* +L0083760 100101 000000 101000 000000 000000 000000 000000 000000* +L0083808 10000000 00000000 00001000 00000000 00000000 00000000 10000000 00000000* +L0083872 00101000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0083936 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084000 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084064 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 01000000 00001000 00000000* +L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084320 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0084384 000000 000000 000000 000000 000000 000000 000000 000000* +L0084432 000000 000000 001000 000000 000000 000000 100000 000000* +L0084480 000000 000000 001000 000000 000000 000000 000000 000000* +L0084528 000000 000000 000000 000000 000000 000000 000010 000000* +L0084576 000000 000000 000000 000000 000000 000000 000000 000000* +L0084624 000000 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0084736 00010000 00000000 00000000 00000000 00000000 00000000 00010100 00000000* +L0084800 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00011100* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 010000 000000 000000 100000 000000 000000 000000 000000* -L0085392 010000 000000 000000 000000 000000 000000 000001 000000* -L0085440 000000 000000 000000 000000 000000 000000 000000 000000* -L0085488 000000 000000 000000 100000 000000 000000 000000 000000* -L0085536 00000000 00000000 00001000 00000100 00000000 00000000 00100000 00000000* -L0085600 01000000 10000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0085664 00000000 10010000 00001000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00001100 00001100 01100000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00001100 01100000 00000000 00000000 00000000 00000000* -L0085856 11000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000100 00000000 00000000 00011000 00000000* -L0085984 01000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* -L0086048 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00000100* -L0086112 010000 000000 000100 101100 000000 000000 000000 000001* -L0086160 000000 000000 000000 000000 000000 000000 000000 000000* -L0086208 000000 000000 000010 000001 000000 000000 000000 000000* -L0086256 000000 000000 000000 000101 000000 000000 000000 000000* -L0086304 000000 000010 000100 000101 000000 000000 000000 000000* -L0086352 000000 000000 000100 010101 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00101000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 01110000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00011000 00000000 00001000 00000000 00000000* -L0086784 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00000000* -L0086848 00000000 00000000 00000000 01011100 00000000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 01011000 00000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 111100 000000 000000 000000 000000* -L0087024 000000 000010 000010 001000 000000 000001 000000 000000* -L0087072 000000 000000 000000 001001 000000 000000 000000 000000* -L0087120 000000 000000 000000 011101 000000 000000 000000 000000* -L0087168 000000 000000 000000 011111 000000 000000 000000 000000* -L0087216 000000 000000 000000 011111 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084928 00010000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0084992 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000100 00000000 00000000 00100000 00000000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0085248 000100 000000 000000 000000 000000 000000 001001 000000* +L0085296 000000 000100 000000 000001 000000 000000 000000 000000* +L0085344 001001 000000 000000 000001 000000 000000 000000 000000* +L0085392 001100 000000 000000 000000 000000 000000 001000 000000* +L0085440 000101 000000 000000 000000 000000 000000 001000 000000* +L0085488 000101 000000 000000 000000 000000 000000 001000 000000* +L0085536 00010000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085600 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085664 00011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085728 01011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085792 01001000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085920 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0085984 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0086048 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 010000 000000* +L0086160 001101 000000 000000 000000 000000 000010 000000 000000* +L0086208 000100 000000 000000 000000 000000 000000 000000 000000* +L0086256 000001 000000 000000 000010 000000 000000 000000 000000* +L0086304 100000 000000 000000 000000 000000 000000 000000 000000* +L0086352 100000 000000 000000 000000 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086592 01001000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0086656 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086848 00000100 00000000 00000100 00000000 00000000 00000000 00000100 00000000* +L0086912 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000000 000000 000100 000000 000000 000000* +L0087072 010000 000000 000000 000000 000100 000000 000000 000000* +L0087120 010000 000000 000000 000000 000000 000000 000000 000000* +L0087168 000000 000000 001000 000000 000000 000000 000001 000000* +L0087216 000000 000000 000000 000000 000000 000000 000001 000000* +L0087264 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* +L0087456 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* +L0087520 00000000 00000000 01000000 10000000 00000000 00000000 00001000 00000000* L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 000000 000000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000000 000000 000000 000000 000000* -L0087936 000000 000100 000000 000000 000000 000000 000000 000000* -L0087984 000000 000000 000000 000000 000000 000000 000000 000000* -L0088032 000000 000000 000000 000000 000000 000000 000000 000000* -L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0088512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* +L0087648 00001000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0087712 10000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* +L0087776 00000000 00000000 10000000 10000100 00000000 00000000 00000000 00000000* +L0087840 000000 000000 100000 100001 000000 000000 000000 000000* +L0087888 000000 000000 000000 000010 000000 000000 000000 000000* +L0087936 000010 000000 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000110 000000 000000 000000 000000* +L0088032 000000 000000 000000 000110 000000 000000 000000 000000* +L0088080 000000 000000 000000 000110 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 100000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000001 000000 000000 000000 000000 000000 000000 000001* -L0088848 000000 000000 000000 000000 000000 000000 000000 000001* -L0088896 000000 000000 000000 000000 000000 000000 000000 100001* -L0088944 000000 000000 000000 000000 000000 000000 000000 100001* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000010* +L0088800 000000 000100 000000 000000 000000 000000 000000 000000* +L0088848 000000 000100 000000 000000 000000 000000 000000 000000* +L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000000 000000 000000* L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 10010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 10001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089184 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 010000 000000 000000 000000 000000 000000* +L0089664 000000 000000 000000 000000 000000 000000 000000 000000* L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 000000 000000 000001 000000 000000 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00001000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0089920 00000000 00000100 00000100 00000000 00000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090048 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090240 00001000 00000100 00000000 00000000 00000000 00000000 00000000 00000100* -L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090368 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 100010 000000 000000 000000 000000 000000 000000 000000* -L0090480 100000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000010 000000 000000 000000 000000 000000 000000* -L0090624 000000 000010 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0089856 00001000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0089920 10000000 00000100 10000000 00000000 00000000 00000000 00110100 00000000* +L0089984 00000100 00000100 10000000 00000000 00000000 00000000 00010000 00000000* +L0090048 10000100 00000000 10000100 00000000 00000000 00000000 00000100 00000000* +L0090112 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 10100000 10000000 00000000 00000000* +L0090240 00000000 00000000 01100000 00000000 00000000 00000000 00101100 00000000* +L0090304 00000000 00000000 00100000 00000000 00000000 00000000 00100000 00000000* +L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0090432 000000 000000 000000 000000 000000 000000 000000 000000* +L0090480 000000 000000 000100 000000 000000 000000 000000 000000* +L0090528 000000 000000 000100 000000 000000 000000 000000 000000* +L0090576 000000 000000 000000 000000 000000 000000 011000 000000* +L0090624 000000 000000 000000 000000 000000 000000 000000 000000* +L0090672 000000 000000 000000 000000 000000 000000 000100 000000* +L0090720 00000000 00000100 00000000 00000000 00000000 00000000 01000000 00000000* +L0090784 00000000 00000000 01000000 00000000 00000000 00000000 01000000 00000000* +L0090848 00000000 00000000 01000000 00000000 00000000 00000000 01100100 00000000* +L0090912 00000000 00000000 01000000 00000000 00000000 00000000 01010000 00000000* +L0090976 00000000 00000000 01010000 00000000 00000000 00000000 00010100 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0091104 00000000 00000000 10000000 00000000 10100000 00000000 00000000 00000000* +L0091168 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* +L0091232 00000000 00000000 10000000 00000000 00000000 00000000 00101000 00000000* +L0091296 000000 000000 100010 000000 000000 000000 001001 000000* L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 010000 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 000000* L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 010000 000000 000000 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 000000 000000 000010 000000 000000 000000 001000 000000* +L0091536 000000 000000 000000 000000 000000 000000 001000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 100100 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000001 000000 000000* +L0092256 000000 000000 000000 000000 000000 000001 000000 000000* +L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 100100 000000 000000 000000 000000 000000 000000 000000* +L0092400 000000 000000 000000 000000 000000 000001 000000 000000* L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0093024 000000 000000 000000 000000 000000 000000 000000 000000* -L0093072 000000 000000 000000 000000 000000 010000 000000 000000* -L0093120 100100 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000000 000000 000000 000000 000000* +L0093120 000000 000000 000000 000000 000000 000000 000000 000000* L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 100100 000000 000000 000000 000000 000000 000000 000000* +L0093216 000000 000000 000000 000000 000000 000001 000000 000000* L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -C4638* -2BD2 +C708B* +2DC2 diff --git a/cpld/XC95144XL/MXSE.mfd b/cpld/XC95144XL/MXSE.mfd index 9810e8c..04543fc 100644 --- a/cpld/XC95144XL/MXSE.mfd +++ b/cpld/XC95144XL/MXSE.mfd @@ -1,103 +1,54 @@ MDF Database: version 1.0 MDF_INFO | MXSE | XC95144XL-10-TQ100 -MACROCELL | 2 | 10 | nVMA_IOB_OBUF +MACROCELL | 2 | 14 | nVMA_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 2 | 9 | 2 | 4 | 2 | 11 -INPUTS | 16 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<20> | A_FSB<22> | A_FSB<21> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd2 | fsb/ASrf | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | ram/Once.EXP -INPUTMC | 7 | 2 | 5 | 5 | 2 | 2 | 13 | 2 | 11 | 5 | 3 | 7 | 7 | 2 | 9 -INPUTP | 9 | 147 | 153 | 134 | 117 | 136 | 146 | 144 | 143 | 105 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 -EQ | 17 | - nVMA_IOB.T = ;Imported pterms FB3_10 - !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & +OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 15 +INPUTS | 15 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 10 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 0 | 1 | 1 | 1 | 0 | 3 | 2 +INPUTP | 5 | 147 | 136 | 105 | 109 | 15 +EXPORTS | 1 | 2 | 15 +EQ | 8 | + nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB_OBUF.EXP = iobs/PS_FSM_FFd1 & iobs/IOACTr - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + nVMA_IOB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 7 | 7 | cs/nOverlay1 +MACROCELL | 3 | 2 | cs/nOverlay1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 38 | 2 | 12 | 2 | 9 | 0 | 12 | 7 | 12 | 2 | 15 | 0 | 11 | 2 | 1 | 3 | 17 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 9 | 3 | 8 | 3 | 13 | 2 | 4 | 0 | 7 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 16 | 2 | 0 | 2 | 3 | 2 | 7 | 2 | 10 | 2 | 14 | 3 | 3 | 3 | 6 | 3 | 7 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 16 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 7 | 9 | 5 | 3 -INPUTP | 1 | 117 -EQ | 3 | +OUTPUTMC | 44 | 6 | 9 | 3 | 8 | 2 | 0 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 6 | 3 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 11 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 +INPUTS | 6 | cs/nOverlay0 | nAS_FSB | fsb/ASrf | A_FSB<10> | ram/RASEL | A_FSB<1> +INPUTMC | 3 | 6 | 3 | 2 | 6 | 3 | 0 +INPUTP | 3 | 21 | 143 | 144 +EXPORTS | 1 | 3 | 1 +EQ | 5 | cs/nOverlay1.D = cs/nOverlay0; cs/nOverlay1.CLK = CLK_FSB; // GCK cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; + cs/nOverlay1.EXP = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 13 | iobs/Once +MACROCELL | 6 | 9 | ram/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 2 | 13 | 2 | 7 | 0 | 15 | 2 | 2 | 2 | 6 | 2 | 11 | 2 | 17 | 0 | 14 | 0 | 8 | 0 | 0 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 -INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<20> | A_FSB<22> | A_FSB<21> | EXP26_.EXP | nRAMLWE_OBUF.EXP -INPUTMC | 6 | 2 | 13 | 5 | 3 | 2 | 11 | 2 | 5 | 2 | 12 | 2 | 14 -INPUTP | 5 | 117 | 123 | 147 | 153 | 134 -IMPORTS | 2 | 2 | 12 | 2 | 14 -EQ | 32 | - iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB3_13 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 -;Imported pterms FB3_15 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf; - iobs/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 9 | ram/Once -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 2 | 9 | 3 | 17 | 3 | 4 | 2 | 8 | 7 | 15 | 3 | 7 | 3 | 13 | 2 | 7 | 3 | 10 | 3 | 12 | 3 | 16 | 2 | 10 -INPUTS | 19 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | ram/RS_FSM_FFd1.EXP -INPUTMC | 16 | 2 | 9 | 5 | 3 | 7 | 7 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 10 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 1 | 17 | 6 | 0 | 4 | 15 | 2 | 8 -INPUTP | 3 | 117 | 123 | 153 -EXPORTS | 1 | 2 | 10 -IMPORTS | 1 | 2 | 8 -EQ | 18 | +OUTPUTMC | 10 | 6 | 9 | 6 | 10 | 6 | 16 | 6 | 7 | 6 | 4 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 15 +INPUTS | 16 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RAMDIS2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<21> | RA_7_OBUF.EXP +INPUTMC | 12 | 6 | 9 | 2 | 6 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 10 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 8 +INPUTP | 4 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 6 | 10 +IMPORTS | 1 | 6 | 8 +EQ | 26 | ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_9 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB3_9 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -105,17 +56,25 @@ EQ | 18 | !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf; ram/Once.CLK = CLK_FSB; // GCK - ram/Once.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> - # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr + ram/Once.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 10 | cnt/RefDone +MACROCELL | 1 | 9 | cnt/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 19 | 7 | 10 | 3 | 17 | 3 | 5 | 3 | 3 | 7 | 15 | 3 | 9 | 3 | 13 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 16 | 7 | 16 +OUTPUTMC | 19 | 1 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 13 | 6 | 15 | 6 | 17 INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 7 | 10 | 5 | 9 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +INPUTMC | 10 | 1 | 9 | 5 | 4 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 EQ | 5 | !cnt/RefDone.D = !cnt/RefDone & !RefAck # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & @@ -124,67 +83,100 @@ EQ | 5 | cnt/RefDone.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 7 | BERR_IOBS +MACROCELL | 3 | 9 | iobs/Once +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 3 | 9 | 4 | 14 | 2 | 2 | 2 | 16 | 1 | 17 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 7 | 3 | 8 | 3 | 10 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP28_.EXP | EXP29_.EXP +INPUTMC | 6 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 8 | 3 | 10 +INPUTP | 4 | 15 | 21 | 105 | 114 +IMPORTS | 2 | 3 | 8 | 3 | 10 +EQ | 24 | + !iobs/Once.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 +;Imported pterms FB4_9 + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB4_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once +;Imported pterms FB4_11 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB; + iobs/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 14 | BERR_IOBS ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 2 | 7 | 7 | 6 | 7 | 0 | 0 | 1 | 2 | 6 | 0 | 3 | 0 | 4 | 0 | 5 | 7 | 1 | 7 | 2 | 2 | 8 -INPUTS | 17 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd1 | iobs/IOReady.EXP -INPUTMC | 13 | 2 | 7 | 5 | 3 | 2 | 13 | 1 | 15 | 2 | 11 | 5 | 2 | 0 | 8 | 3 | 3 | 7 | 15 | 7 | 7 | 2 | 9 | 2 | 8 | 2 | 6 -INPUTP | 4 | 117 | 123 | 153 | 134 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 13 | +OUTPUTMC | 9 | 4 | 14 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 +INPUTMC | 7 | 4 | 14 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 +INPUTP | 1 | 21 +EQ | 8 | BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf # iobs/Once & BERR_IOBS & !IOBERR & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 # iobs/Once & !BERR_IOBS & IOBERR & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 -;Imported pterms FB3_7 # iobs/Once & !BERR_IOBS & IOBERR & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; BERR_IOBS.CLK = CLK_FSB; // GCK - BERR_IOBS.EXP = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 15 | IOBERR +MACROCELL | 4 | 17 | IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 2 | 7 | 1 | 15 | 2 | 6 | 1 | 14 | 1 | 16 -INPUTS | 13 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | nDoutOE_OBUF.EXP | nAS_IOB_OBUF.EXP -INPUTMC | 11 | 1 | 15 | 1 | 12 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 | 1 | 14 | 1 | 16 -INPUTP | 2 | 92 | 42 -IMPORTS | 2 | 1 | 14 | 1 | 16 -EQ | 19 | +OUTPUTMC | 5 | 4 | 14 | 4 | 17 | 1 | 17 | 4 | 16 | 4 | 0 +INPUTS | 17 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | EXP33_.EXP +INPUTMC | 15 | 4 | 17 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 16 +INPUTP | 2 | 28 | 42 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 +EQ | 24 | IOBERR.T = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & iobm/BERRrr +;Imported pterms FB5_17 # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & iobm/RESrr -;Imported pterms FB2_15 # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & iobm/BERRrr # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB2_17 # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & iobm/RESrr; IOBERR.CLK = CLK2X_IOB; // GCK + IOBERR.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 0 | 15 | IORW0 +MACROCELL | 2 | 2 | IORW0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 0 | 15 | 1 | 14 | 1 | 10 | 1 | 11 | 0 | 14 | 0 | 16 -INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP22_.EXP | IOREQ.EXP -INPUTMC | 9 | 0 | 15 | 2 | 2 | 2 | 11 | 2 | 5 | 0 | 8 | 2 | 13 | 5 | 3 | 0 | 14 | 0 | 16 -INPUTP | 3 | 123 | 105 | 117 -IMPORTS | 2 | 0 | 14 | 0 | 16 -EQ | 43 | +OUTPUTMC | 7 | 2 | 2 | 1 | 13 | 1 | 10 | 1 | 11 | 2 | 0 | 2 | 1 | 2 | 3 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP23_.EXP | iobs/IOACTr.EXP +INPUTMC | 9 | 2 | 2 | 2 | 16 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 9 | 2 | 6 | 2 | 1 | 2 | 3 +INPUTP | 3 | 105 | 98 | 21 +IMPORTS | 2 | 2 | 1 | 2 | 3 +EQ | 60 | IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 # !IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & @@ -195,86 +187,86 @@ EQ | 43 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_15 +;Imported pterms FB3_2 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB1_17 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & +;Imported pterms FB3_1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +;Imported pterms FB3_4 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; IORW0.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 16 | TimeoutA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 7 | 16 | 7 | 13 | 0 | 11 | 0 | 2 | 0 | 12 | 7 | 15 -INPUTS | 15 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 -INPUTMC | 14 | 7 | 16 | 5 | 3 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 -INPUTP | 1 | 117 -EXPORTS | 1 | 7 | 15 -EQ | 14 | - TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf - # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & - !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; - TimeoutA.CLK = CLK_FSB; // GCK - TimeoutA.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 9 | cs/nOverlay0 +MACROCELL | 6 | 3 | cs/nOverlay0 ATTRIBUTES | 4367104 | 0 -OUTPUTMC | 2 | 7 | 7 | 7 | 9 -INPUTS | 7 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 7 | 9 | 5 | 3 -INPUTP | 5 | 147 | 123 | 153 | 134 | 117 -EQ | 6 | - cs/nOverlay0.T = !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & +OUTPUTMC | 3 | 3 | 2 | 6 | 3 | 6 | 4 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 +INPUTMC | 6 | 6 | 3 | 2 | 6 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 114 | 21 +EXPORTS | 1 | 6 | 4 +EQ | 12 | + cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & !nAS_FSB - # !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & fsb/ASrf; cs/nOverlay0.CLK = CLK_FSB; // GCK !cs/nOverlay0.AR = nRES; // GSR + cs/nOverlay0.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES -MACROCELL | 5 | 17 | IOL0 +MACROCELL | 5 | 15 | IOL0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 10 INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 13 | 0 | 8 | 2 | 11 | 2 | 5 -INPUTP | 1 | 114 +INPUTMC | 4 | 5 | 7 | 2 | 10 | 2 | 8 | 2 | 11 +INPUTP | 1 | 37 EQ | 4 | IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; @@ -282,12 +274,12 @@ EQ | 4 | IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 15 | IOU0 +MACROCELL | 5 | 13 | IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 11 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 12 | 0 | 8 | 2 | 11 | 2 | 5 -INPUTP | 1 | 30 +INPUTMC | 4 | 5 | 6 | 2 | 10 | 2 | 8 | 2 | 11 +INPUTP | 1 | 82 EQ | 4 | IOU0.D = !nUDS_FSB & nADoutLE1 # iobs/IOU1 & !nADoutLE1; @@ -295,62 +287,93 @@ EQ | 4 | IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 13 | TimeoutB +MACROCELL | 1 | 15 | TimeoutA ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 7 | 13 | 0 | 9 | 0 | 5 | 0 | 1 | 0 | 4 | 0 | 3 | 7 | 1 | 7 | 2 | 7 | 17 -INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | TimeoutA | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 11 | 7 | 13 | 5 | 3 | 7 | 16 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 -INPUTP | 1 | 117 +OUTPUTMC | 8 | 1 | 15 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 9 | 1 | 15 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 +INPUTP | 1 | 21 +EQ | 8 | + TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf + # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> + # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & fsb/ASrf; + TimeoutA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 14 | TimeoutB +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 1 | 14 | 3 | 15 | 0 | 5 | 0 | 16 +INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 11 | 1 | 14 | 2 | 6 | 1 | 12 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTP | 1 | 21 EQ | 8 | TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf - # TimeoutA & !TimeoutB & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # TimeoutA & !TimeoutB & !cnt/RefCnt<0> & + # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & + !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & + !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; TimeoutB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 9 | fsb/BERR0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 9 | 0 | 5 | 0 | 4 | 7 | 1 | 7 | 2 | 7 | 17 | 0 | 8 -INPUTS | 11 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | fsb/BERR0r | TimeoutB | A_FSB<18> | nADoutLE1 | A_FSB<17> | cs/nOverlay1 | EXP20_.EXP -INPUTMC | 5 | 0 | 9 | 7 | 13 | 0 | 8 | 7 | 7 | 0 | 10 -INPUTP | 6 | 147 | 123 | 153 | 134 | 146 | 144 -EXPORTS | 1 | 0 | 8 -IMPORTS | 1 | 0 | 10 -EQ | 10 | - !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r -;Imported pterms FB1_11 - # nAS_FSB & !fsb/ASrf; - fsb/BERR0r.CLK = CLK_FSB; // GCK - fsb/BERR0r.EXP = !A_FSB<18> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & nADoutLE1 +MACROCELL | 1 | 12 | cnt/TimeoutBPre +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 2 | 1 | 14 | 1 | 12 +INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 1 | 12 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTP | 1 | 21 +EQ | 8 | + cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + cnt/TimeoutBPre.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 6 | fsb/BERR1r +MACROCELL | 3 | 15 | fsb/BERR0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 7 | 6 | 7 | 0 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 4 | 7 | 1 | 7 | 2 +OUTPUTMC | 9 | 3 | 15 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 3 | 16 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | nWE_FSB +INPUTMC | 3 | 3 | 15 | 1 | 14 | 2 | 6 +INPUTP | 6 | 105 | 15 | 109 | 114 | 21 | 98 +EXPORTS | 1 | 3 | 16 +EQ | 8 | + !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !fsb/BERR0r; + fsb/BERR0r.CLK = CLK_FSB; // GCK + fsb/BERR0r.EXP = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 8 | fsb/BERR1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 2 | 7 | 7 | 6 | 5 | 3 -INPUTP | 1 | 117 +INPUTMC | 3 | 4 | 14 | 1 | 8 | 2 | 6 +INPUTP | 1 | 21 EQ | 3 | !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r # nAS_FSB & !fsb/ASrf; fsb/BERR1r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 12 | fsb/Ready0r +MACROCELL | 6 | 6 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 12 | 0 | 1 | 0 | 13 | 0 | 3 +OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 7 | 7 | 7 | 12 | 3 | 13 | 5 | 3 -INPUTP | 4 | 123 | 153 | 134 | 117 +INPUTMC | 4 | 3 | 2 | 6 | 6 | 6 | 16 | 2 | 6 +INPUTP | 4 | 105 | 15 | 109 | 21 EQ | 6 | !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & @@ -360,142 +383,206 @@ EQ | 6 | fsb/Ready0r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 15 | fsb/Ready1r +MACROCELL | 0 | 6 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 2 | 15 | 0 | 2 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | nADoutLE1 -INPUTMC | 5 | 5 | 3 | 2 | 15 | 2 | 6 | 7 | 7 | 0 | 8 -INPUTP | 10 | 117 | 123 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 -EQ | 11 | +OUTPUTMC | 7 | 0 | 6 | 0 | 12 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 +INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nBERR_FSB_OBUF.EXP +INPUTMC | 5 | 2 | 6 | 0 | 6 | 1 | 17 | 3 | 2 | 0 | 5 +INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 +IMPORTS | 1 | 0 | 5 +EQ | 20 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB1_6 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1; fsb/Ready1r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB MACROCELL | 0 | 11 | fsb/Ready2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 11 | 0 | 2 | 0 | 12 -INPUTS | 21 | A_FSB<9> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cs/nOverlay1 | TimeoutA | nWE_FSB | fsb/Ready2r | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 7 | 7 | 7 | 16 | 0 | 11 | 5 | 3 -INPUTP | 17 | 96 | 147 | 136 | 146 | 144 | 143 | 23 | 21 | 123 | 153 | 134 | 22 | 103 | 18 | 109 | 105 | 117 -EQ | 18 | - !fsb/Ready2r.D = nAS_FSB & !fsb/ASrf - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r; +OUTPUTMC | 7 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | nOE_OBUF.EXP +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 10 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +IMPORTS | 1 | 0 | 10 +EQ | 35 | + !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB1_11 + # nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; fsb/Ready2r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 0 | fsb/VPA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 0 | 5 | 16 | 7 | 1 | 7 | 17 -INPUTS | 12 | fsb/VPA | nAS_FSB | fsb/ASrf | A_FSB<21> | BERR_IOBS | fsb/BERR1r | $OpTx$INV$223 | A_FSB<23> | A_FSB<20> | A_FSB<22> | nBERR_FSB_OBUF.EXP | cnt/RefCnt<7>.EXP -INPUTMC | 7 | 7 | 0 | 5 | 3 | 2 | 7 | 7 | 6 | 0 | 13 | 7 | 1 | 7 | 17 -INPUTP | 5 | 117 | 134 | 123 | 147 | 153 -IMPORTS | 2 | 7 | 1 | 7 | 17 -EQ | 30 | - fsb/VPA.T = fsb/VPA & nAS_FSB & !fsb/ASrf - # !A_FSB<20> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<23> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<22> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<21> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & - !$OpTx$INV$223 -;Imported pterms FB8_2 - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r & fsb/VPA & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 -;Imported pterms FB8_18 - # !A_FSB<20> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<23> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<22> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<21> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223; +MACROCELL | 0 | 14 | fsb/VPA +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 0 | 14 | 5 | 14 | 0 | 12 | 0 | 13 | 0 | 15 +INPUTS | 9 | fsb/BERR1r | fsb/VPA | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | EXP19_.EXP | EXP20_.EXP +INPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 9 | 4 | 14 | 3 | 15 | 0 | 16 | 0 | 13 | 0 | 15 +INPUTP | 1 | 21 +IMPORTS | 2 | 0 | 13 | 0 | 15 +EQ | 65 | + fsb/VPA.D = BERR_IOBS & fsb/VPA & !nAS_FSB + # fsb/BERR0r & fsb/VPA & !nAS_FSB + # fsb/BERR1r & fsb/VPA & !nAS_FSB + # fsb/VPA & !nAS_FSB & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & !nAS_FSB & !$OpTx$FX_DC$602 +;Imported pterms FB1_14 + # BERR_IOBS & fsb/VPA & fsb/ASrf + # fsb/BERR0r & fsb/VPA & fsb/ASrf + # fsb/BERR1r & fsb/VPA & fsb/ASrf + # fsb/VPA & fsb/ASrf & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 +;Imported pterms FB1_13 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 +;Imported pterms FB1_16 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + $OpTx$FX_DC$606 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + $OpTx$FX_DC$606 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady +;Imported pterms FB1_17 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606; fsb/VPA.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 13 | iobs/IOL1 +MACROCELL | 5 | 7 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 17 +OUTPUTMC | 1 | 5 | 15 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 17 -INPUTP | 1 | 114 +INPUTMC | 1 | 3 | 5 +INPUTP | 1 | 37 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; iobs/IOL1.CLK = CLK_FSB; // GCK iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 2 | iobs/IORW1 +MACROCELL | 2 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 0 | 15 | 2 | 2 | 2 | 1 -INPUTS | 10 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP25_.EXP | iobm/ETACK.EXP -INPUTMC | 7 | 2 | 2 | 0 | 8 | 2 | 13 | 2 | 11 | 2 | 5 | 2 | 1 | 2 | 3 -INPUTP | 3 | 123 | 134 | 147 -IMPORTS | 2 | 2 | 1 | 2 | 3 -EQ | 19 | +OUTPUTMC | 3 | 2 | 2 | 2 | 16 | 2 | 15 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP25_.EXP | ram/BACTr.EXP +INPUTMC | 5 | 2 | 16 | 2 | 10 | 3 | 9 | 2 | 15 | 2 | 17 +INPUTP | 4 | 105 | 109 | 114 | 98 +IMPORTS | 2 | 2 | 15 | 2 | 17 +EQ | 21 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 - # !A_FSB<20> & !A_FSB<23> - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB3_2 + # !A_FSB<23> & !A_FSB<20> # nWE_FSB & iobs/IORW1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 +;Imported pterms FB3_16 # !nWE_FSB & !iobs/IORW1 # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_4 - # !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1; + cs/nOverlay1 +;Imported pterms FB3_18 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; iobs/IORW1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 6 | iobs/IOReady +MACROCELL | 1 | 17 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 2 | 15 | 2 | 6 | 0 | 2 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 12 | 2 | 7 -INPUTS | 9 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 | BERR_IOBS -INPUTMC | 8 | 2 | 6 | 5 | 3 | 2 | 13 | 1 | 15 | 2 | 11 | 5 | 2 | 0 | 8 | 2 | 7 -INPUTP | 1 | 117 -EXPORTS | 1 | 2 | 7 -EQ | 10 | +OUTPUTMC | 9 | 0 | 6 | 0 | 12 | 1 | 17 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 | 0 | 16 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 +INPUTMC | 7 | 1 | 17 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 +INPUTP | 1 | 21 +EQ | 8 | iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf # iobs/Once & IOBERR & iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 @@ -504,30 +591,29 @@ EQ | 10 | # iobs/Once & !IOBERR & !iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = CLK_FSB; // GCK - iobs/IOReady.EXP = iobs/Once & !BERR_IOBS & IOBERR & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 12 | iobs/IOU1 +MACROCELL | 5 | 6 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 15 +OUTPUTMC | 1 | 5 | 13 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 17 -INPUTP | 1 | 30 +INPUTMC | 1 | 3 | 5 +INPUTP | 1 | 82 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; iobs/IOU1.CLK = CLK_FSB; // GCK iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 17 | ram/RAMDIS2 +MACROCELL | 6 | 10 | ram/RAMDIS2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 17 | 2 | 4 | 2 | 14 | 3 | 16 -INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nRAMUWE_OBUF.EXP -INPUTMC | 12 | 3 | 17 | 5 | 3 | 2 | 9 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 | 3 | 16 -INPUTP | 3 | 117 | 123 | 153 -IMPORTS | 1 | 3 | 16 -EQ | 25 | +OUTPUTMC | 6 | 6 | 10 | 2 | 4 | 5 | 5 | 5 | 8 | 6 | 9 | 6 | 11 +INPUTS | 17 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<9> | ram/RASEL | ram/Once.EXP +INPUTMC | 13 | 6 | 10 | 2 | 6 | 6 | 9 | 1 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 3 | 0 | 6 | 9 +INPUTP | 4 | 21 | 105 | 15 | 19 +EXPORTS | 1 | 6 | 11 +IMPORTS | 1 | 6 | 9 +EQ | 26 | ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & @@ -539,11 +625,11 @@ EQ | 25 | !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB7_10 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf -;Imported pterms FB4_17 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & @@ -553,82 +639,109 @@ EQ | 25 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; ram/RAMDIS2.CLK = CLK_FSB; // GCK + ram/RAMDIS2.EXP = A_FSB<9> & ram/RASEL GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 2 | nDTACK_FSB_OBUF +MACROCELL | 0 | 1 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 4 | 0 | 2 | 0 | 1 | 0 | 3 | 0 | 4 -INPUTS | 25 | A_FSB<9> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cs/nOverlay1 | TimeoutA | nWE_FSB | fsb/Ready2r | nDTACK_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | EXP15_.EXP | EXP16_.EXP -INPUTMC | 9 | 7 | 7 | 7 | 16 | 0 | 11 | 0 | 2 | 2 | 15 | 2 | 6 | 0 | 8 | 0 | 1 | 0 | 3 -INPUTP | 16 | 96 | 147 | 136 | 146 | 144 | 143 | 23 | 21 | 123 | 153 | 134 | 22 | 103 | 18 | 109 | 105 -IMPORTS | 2 | 0 | 1 | 0 | 3 -EQ | 56 | - nDTACK_FSB.D = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<22> & - A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & - !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<22> & - A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & - !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & nDTACK_FSB - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_2 - # A_FSB<23> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB1_4 - # !A_FSB<20> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<21> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB1_5 - # BERR_IOBS & fsb/BERR0r & nDTACK_FSB - # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB - # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB +OUTPUTMC | 5 | 0 | 1 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 17 +INPUTS | 9 | fsb/BERR1r | nDTACK_FSB | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | nAS_FSB | fsb/ASrf | EXP14_.EXP | EXP15_.EXP +INPUTMC | 8 | 1 | 8 | 0 | 1 | 4 | 14 | 3 | 15 | 0 | 16 | 2 | 6 | 0 | 0 | 0 | 2 +INPUTP | 1 | 21 +IMPORTS | 2 | 0 | 0 | 0 | 2 +EQ | 82 | + nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nAS_FSB & !fsb/ASrf + # nDTACK_FSB & !$OpTx$FX_DC$602 +;Imported pterms FB1_1 # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB -;Imported pterms FB1_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady; + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_3 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_4 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602; nDTACK_FSB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 5 | ram/RASEL +MACROCELL | 3 | 0 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 5 | 8 | 1 | 13 | 3 | 0 | 7 | 5 | 5 | 1 | 5 | 5 | 3 | 7 | 7 | 8 | 6 | 1 | 6 | 5 | 6 | 8 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP30_.EXP | EXP31_.EXP -INPUTMC | 11 | 3 | 3 | 7 | 15 | 2 | 8 | 7 | 10 | 5 | 0 | 5 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 3 | 4 | 3 | 6 -INPUTP | 2 | 123 | 117 -IMPORTS | 2 | 3 | 4 | 3 | 6 -EQ | 51 | +OUTPUTMC | 12 | 5 | 1 | 6 | 14 | 4 | 1 | 3 | 7 | 4 | 7 | 3 | 11 | 6 | 1 | 6 | 5 | 6 | 8 | 6 | 11 | 3 | 2 | 6 | 10 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | RA_0_OBUF.EXP | EXP32_.EXP +INPUTMC | 11 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 1 | 3 | 17 +INPUTP | 2 | 105 | 21 +IMPORTS | 2 | 3 | 1 | 3 | 17 +EQ | 50 | ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -638,25 +751,7 @@ EQ | 51 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_5 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_4 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -;Imported pterms FB4_7 +;Imported pterms FB4_2 # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & @@ -669,46 +764,61 @@ EQ | 51 | # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_8 +;Imported pterms FB4_18 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_17 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RASEL.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 3 | ram/RS_FSM_FFd2 +MACROCELL | 6 | 12 | ram/RS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 9 | 3 | 9 | 3 | 10 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 -INPUTS | 12 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | A_FSB<22> | A_FSB<21> | cnt/RefCnt<5> | cnt/RefCnt<7> | cs/nOverlay1 | EXP29_.EXP -INPUTMC | 10 | 3 | 3 | 7 | 15 | 7 | 10 | 2 | 8 | 7 | 2 | 5 | 0 | 7 | 3 | 7 | 17 | 7 | 7 | 3 | 2 -INPUTP | 2 | 153 | 134 -EXPORTS | 1 | 3 | 4 -IMPORTS | 1 | 3 | 2 -EQ | 34 | +OUTPUTMC | 17 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 17 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | RA_8_OBUF.EXP | cnt/RefCnt<7>.EXP +INPUTMC | 10 | 6 | 12 | 6 | 4 | 1 | 9 | 6 | 7 | 6 | 15 | 2 | 17 | 6 | 13 | 6 | 0 | 6 | 11 | 6 | 13 +IMPORTS | 2 | 6 | 11 | 6 | 13 +EQ | 28 | !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & ram/BACTr -;Imported pterms FB4_3 # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & !cnt/RefCnt<7> +;Imported pterms FB7_12 # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> & !fsb/ASrf # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> & !fsb/ASrf # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_2 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_14 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & @@ -719,24 +829,17 @@ EQ | 34 | ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK - ram/RS_FSM_FFd2.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 8 | ram/RS_FSM_FFd1 +MACROCELL | 6 | 7 | ram/RS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 9 | 3 | 9 | 3 | 13 | 2 | 7 | 3 | 1 | 3 | 2 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | BERR_IOBS.EXP -INPUTMC | 7 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 3 | 2 | 7 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 2 | 9 -IMPORTS | 1 | 2 | 7 -EQ | 20 | - ram/RS_FSM_FFd1.T = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & +OUTPUTMC | 22 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 13 | 6 | 15 | 6 | 17 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> +INPUTMC | 6 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 +INPUTP | 4 | 105 | 15 | 21 | 109 +EQ | 13 | + ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -744,27 +847,19 @@ EQ | 20 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB3_8 - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf; ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK - ram/RS_FSM_FFd1.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 15 | ram/RS_FSM_FFd3 +MACROCELL | 6 | 4 | ram/RS_FSM_FFd3 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 3 | 9 | 3 | 10 | 2 | 7 | 3 | 1 | 3 | 2 | 3 | 6 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | nAoutOE_OBUF.EXP | TimeoutA.EXP -INPUTMC | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 9 | 7 | 2 | 7 | 17 | 7 | 10 | 7 | 3 | 7 | 14 | 7 | 16 -INPUTP | 1 | 123 -IMPORTS | 2 | 7 | 14 | 7 | 16 +OUTPUTMC | 15 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 17 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | cs/nOverlay0.EXP | RA_6_OBUF.EXP +INPUTMC | 10 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 9 | 6 | 15 | 6 | 13 | 1 | 9 | 6 | 0 | 6 | 3 | 6 | 5 +INPUTP | 1 | 105 +IMPORTS | 2 | 6 | 3 | 6 | 5 EQ | 27 | !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -776,16 +871,16 @@ EQ | 27 | !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> -;Imported pterms FB8_15 +;Imported pterms FB7_4 # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB8_17 +;Imported pterms FB7_6 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> @@ -795,58 +890,52 @@ EQ | 27 | ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 11 | iobs/PS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 13 | 2 | 7 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 2 | 2 | 6 | 2 | 11 | 2 | 5 | 2 | 17 | 5 | 10 | 0 | 14 | 0 | 8 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 -INPUTS | 17 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nWE_FSB | nVMA_IOB_OBUF.EXP -INPUTMC | 7 | 2 | 11 | 2 | 5 | 0 | 8 | 2 | 13 | 5 | 3 | 7 | 7 | 2 | 10 -INPUTP | 10 | 123 | 117 | 147 | 136 | 146 | 144 | 143 | 153 | 134 | 105 -IMPORTS | 1 | 2 | 10 -EQ | 26 | - iobs/PS_FSM_FFd2.T = !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf -;Imported pterms FB3_11 - # iobs/PS_FSM_FFd1 & iobs/IOACTr - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; +MACROCELL | 2 | 8 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 3 | 9 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 1 | 17 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 2 | 11 | 2 | 13 | 3 | 8 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | ALE0S.EXP | EXP24_.EXP +INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 7 | 2 | 9 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 31 | + !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + iobs/IOACTr + # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + !iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 +;Imported pterms FB3_8 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB3_10 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 5 | iobs/PS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 2 | 13 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 2 | 2 | 11 | 2 | 5 | 2 | 17 | 5 | 10 | 0 | 16 | 0 | 8 | 0 | 0 | 0 | 14 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 2 | 11 | 2 | 5 | 5 | 2 -EQ | 3 | - iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 13 | iobm/ES<0> +MACROCELL | 5 | 12 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 2 | 9 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 6 | 13 | 4 | 16 | 6 | 6 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +INPUTMC | 7 | 5 | 12 | 7 | 17 | 5 | 0 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -856,11 +945,11 @@ EQ | 6 | iobm/ES<0>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 12 | iobm/ES<1> +MACROCELL | 5 | 10 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 9 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 6 | 13 | 6 | 12 | 4 | 16 | 6 | 6 +INPUTMC | 4 | 5 | 12 | 5 | 10 | 7 | 17 | 5 | 0 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -868,11 +957,11 @@ EQ | 4 | iobm/ES<1>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd3 +MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 8 | 1 | 16 | 6 | 16 | 1 | 14 | 1 | 10 | 1 | 11 +OUTPUTMC | 11 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 16 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 9 | 1 | 12 | 1 | 3 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 +INPUTMC | 9 | 4 | 15 | 4 | 5 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 INPUTP | 1 | 42 EQ | 9 | !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 @@ -886,11 +975,11 @@ EQ | 9 | iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 17 | iobm/ES<2> +MACROCELL | 5 | 17 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 6 | 13 | 6 | 17 | 6 | 12 | 4 | 16 | 6 | 6 | 6 | 10 | 6 | 15 +INPUTMC | 7 | 5 | 12 | 5 | 17 | 5 | 10 | 7 | 17 | 5 | 0 | 5 | 9 | 5 | 16 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -900,11 +989,36 @@ EQ | 6 | iobm/ES<2>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 10 | iobm/ES<3> +MACROCELL | 2 | 11 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 16 | 3 | 9 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 3 | 8 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nADoutLE1_OBUF.EXP +INPUTMC | 4 | 2 | 8 | 2 | 10 | 3 | 2 | 2 | 10 +INPUTP | 6 | 105 | 15 | 109 | 117 | 153 | 98 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 10 +EQ | 14 | + iobs/PS_FSM_FFd1.D = ;Imported pterms FB3_11 + iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK + iobs/PS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 9 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 6 | 10 | 4 | 16 | 6 | 6 | 6 | 13 | 6 | 12 | 6 | 17 +INPUTMC | 6 | 5 | 9 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -912,11 +1026,11 @@ EQ | 4 | iobm/ES<3>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 15 | iobm/ES<4> +MACROCELL | 5 | 16 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 15 | 2 | 4 +OUTPUTMC | 5 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 16 | 2 | 13 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 6 | 15 | 4 | 16 | 6 | 6 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 +INPUTMC | 7 | 5 | 16 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -928,152 +1042,200 @@ EQ | 8 | iobm/ES<4>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 3 | iobm/IOS_FSM_FFd4 +MACROCELL | 4 | 5 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 1 | 12 | 1 | 17 | 1 | 8 | 1 | 16 | 6 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +OUTPUTMC | 10 | 4 | 15 | 4 | 0 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 1 | 2 +INPUTMC | 1 | 4 | 4 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 2 | iobm/IOS_FSM_FFd5 +MACROCELL | 4 | 4 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 3 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +OUTPUTMC | 9 | 4 | 5 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 INPUTS | 1 | iobm/IOS_FSM_FFd6 -INPUTMC | 1 | 1 | 1 +INPUTMC | 1 | 4 | 3 EQ | 2 | iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; iobm/IOS_FSM_FFd5.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 1 | iobm/IOS_FSM_FFd6 +MACROCELL | 4 | 3 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 2 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 -INPUTS | 4 | iobm/IOS_FSM_FFd7 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 3 | 1 | 0 | 6 | 4 | 1 | 7 -INPUTP | 1 | 42 -EXPORTS | 1 | 1 | 0 -EQ | 3 | +OUTPUTMC | 9 | 4 | 4 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 1 | iobm/IOS_FSM_FFd7 +INPUTMC | 1 | 4 | 2 +EQ | 2 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7; iobm/IOS_FSM_FFd6.CLK = CLK2X_IOB; // GCK - iobm/IOS_FSM_FFd6.EXP = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 0 | iobm/IOS_FSM_FFd7 +MACROCELL | 4 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 1 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 -INPUTS | 14 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd6.EXP -INPUTMC | 13 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 | 1 | 7 | 1 | 6 | 1 | 5 | 1 | 1 +OUTPUTMC | 9 | 4 | 3 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 3 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 +INPUTMC | 2 | 1 | 4 | 4 | 10 INPUTP | 1 | 42 -EXPORTS | 1 | 1 | 17 -IMPORTS | 1 | 1 | 1 -EQ | 18 | - iobm/IOS_FSM_FFd7.D = ;Imported pterms FB2_2 - !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; +EQ | 2 | + iobm/IOS_FSM_FFd7.D = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; iobm/IOS_FSM_FFd7.CLK = CLK2X_IOB; // GCK - iobm/IOS_FSM_FFd7.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/BERRrf & iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 2 | iobs/IOACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 7 | 2 | 6 | 2 | 10 | 2 | 5 | 0 | 17 -INPUTS | 1 | IOACT -INPUTMC | 1 | 1 | 17 -EQ | 2 | - iobs/IOACTr.D = IOACT; - iobs/IOACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 17 | cnt/RefCnt<0> +MACROCELL | 4 | 9 | cnt/RefCnt<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +OUTPUTMC | 11 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 INPUTS | 0 EQ | 2 | cnt/RefCnt<0>.T = Vcc; cnt/RefCnt<0>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 3 | cnt/RefCnt<5> +MACROCELL | 6 | 0 | cnt/RefCnt<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 2 | 7 | 15 | 7 | 2 | 7 | 17 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 3 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 -INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 5 | 4 | 17 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 -EQ | 3 | +OUTPUTMC | 19 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 14 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 17 +INPUTS | 9 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 +EXPORTS | 1 | 6 | 17 +EQ | 5 | cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<5>.CLK = CLK_FSB; // GCK + cnt/RefCnt<5>.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 2 | cnt/RefCnt<6> +MACROCELL | 6 | 15 | cnt/RefCnt<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 3 | 7 | 15 | 7 | 17 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 2 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 | 7 | 1 -INPUTS | 15 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | nAS_FSB | BERR_IOBS | fsb/BERR1r | TimeoutB | fsb/BERR0r | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> -INPUTMC | 10 | 4 | 17 | 7 | 3 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 2 | 7 | 7 | 6 | 7 | 13 | 0 | 9 -INPUTP | 5 | 117 | 147 | 123 | 153 | 134 -EXPORTS | 1 | 7 | 1 -EQ | 8 | - cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & +OUTPUTMC | 18 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 16 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | RA_9_OBUF.EXP +INPUTMC | 7 | 3 | 2 | 6 | 9 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 6 | 14 +INPUTP | 4 | 105 | 15 | 21 | 109 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 14 +EQ | 14 | + cnt/RefCnt<6>.T = ;Imported pterms FB7_15 + cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<6>.CLK = CLK_FSB; // GCK - cnt/RefCnt<6>.EXP = nAS_FSB - # !BERR_IOBS & !fsb/BERR1r - # !TimeoutB & !fsb/BERR0r - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r + cnt/RefCnt<6>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 3 | iobm/ETACK +MACROCELL | 2 | 3 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 2 | 2 -INPUTS | 9 | A_FSB<19> | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nRAS_OBUF.EXP -INPUTMC | 2 | 7 | 7 | 2 | 4 -INPUTP | 7 | 136 | 123 | 134 | 146 | 144 | 143 | 153 +OUTPUTMC | 6 | 4 | 14 | 1 | 17 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 2 +INPUTS | 18 | IOACT | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> +INPUTMC | 8 | 4 | 0 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 +INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 EXPORTS | 1 | 2 | 2 -IMPORTS | 1 | 2 | 4 -EQ | 10 | - iobm/ETACK.D = ;Imported pterms FB3_5 - !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & +EQ | 18 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = CLK_FSB; // GCK + iobs/IOACTr.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 7 | cnt/RefCnt<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 1 | cnt/RefCnt<0> +INPUTMC | 1 | 4 | 9 +EQ | 2 | + cnt/RefCnt<1>.T = cnt/RefCnt<0>; + cnt/RefCnt<1>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 6 | cnt/RefCnt<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> +INPUTMC | 2 | 4 | 9 | 1 | 7 +EQ | 2 | + cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; + cnt/RefCnt<2>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 5 | cnt/RefCnt<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 2 | 6 | 13 +INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> +INPUTMC | 3 | 4 | 9 | 1 | 7 | 1 | 6 +EQ | 2 | + cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; + cnt/RefCnt<3>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 2 | cnt/RefCnt<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 13 +INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> +INPUTMC | 4 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 +EQ | 3 | + cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3>; + cnt/RefCnt<4>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 13 | iobm/ETACK +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 2 | 12 +INPUTS | 16 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 9 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 8 | 2 | 10 | 3 | 2 +INPUTP | 7 | 105 | 109 | 123 | 155 | 147 | 136 | 15 +EXPORTS | 1 | 2 | 12 +EQ | 11 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; iobm/ETACK.CLK = CLK2X_IOB; // GCK - iobm/ETACK.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> + iobm/ETACK.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 4 | iobm/IOREQr +MACROCELL | 1 | 4 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 8 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 +OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 17 INPUTS | 1 | IOREQ -INPUTMC | 1 | 0 | 16 +INPUTMC | 1 | 2 | 12 EQ | 2 | iobm/IOREQr.D = IOREQ; !iobm/IOREQr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 7 | iobm/IOS_FSM_FFd8 +MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd8 ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 5 | 1 | 8 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 +OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 1 INPUTS | 4 | iobm/IOS_FSM_FFd8 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/IOREQr -INPUTMC | 3 | 1 | 7 | 1 | 4 | 6 | 4 +INPUTMC | 3 | 4 | 10 | 7 | 16 | 1 | 4 INPUTP | 1 | 42 EQ | 3 | !iobm/IOS_FSM_FFd8.D = !iobm/IOS_FSM_FFd8 & !iobm/IOS_FSM_FFd1 @@ -1081,25 +1243,58 @@ EQ | 3 | iobm/IOS_FSM_FFd8.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 0 | ram/BACTr +MACROCELL | 3 | 5 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 3 | 5 | 3 | 3 | 3 | 9 | 3 | 13 | 3 | 2 | 3 | 4 | 3 | 6 | 3 | 8 | 3 | 10 | 3 | 12 | 3 | 14 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 5 | 3 -INPUTP | 1 | 117 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = CLK_FSB; // GCK +OUTPUTMC | 3 | 5 | 7 | 5 | 6 | 2 | 10 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP26_.EXP | EXP27_.EXP +INPUTMC | 7 | 2 | 10 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 4 | 3 | 6 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 3 | 4 | 3 | 6 +EQ | 18 | + !iobs/Load1.D = iobs/Once + # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB4_5 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB4_7 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/Load1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 17 | IOACT +MACROCELL | 2 | 17 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 9 | 5 | 2 -INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd7.EXP -INPUTMC | 11 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 1 | 7 | 2 | 3 | 1 | 6 | 1 | 5 | 1 | 0 +OUTPUTMC | 11 | 3 | 0 | 6 | 12 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 17 | 6 | 15 | 6 | 17 | 2 | 16 +INPUTS | 8 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 1 | 2 | 6 +INPUTP | 7 | 21 | 105 | 109 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 2 | 16 +EQ | 6 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = CLK_FSB; // GCK + ram/BACTr.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 0 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 2 | 14 | 2 | 3 +INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | RA_1_OBUF.EXP | IOBERR.EXP +INPUTMC | 12 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 1 | 4 | 17 INPUTP | 1 | 42 -IMPORTS | 1 | 1 | 0 -EQ | 32 | +IMPORTS | 2 | 4 | 1 | 4 | 17 +EQ | 33 | !IOACT.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr @@ -1115,13 +1310,7 @@ EQ | 32 | # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & iobm/BERRrf & iobm/BERRrr -;Imported pterms FB2_1 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr +;Imported pterms FB5_2 # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & iobm/BERRrf & iobm/BERRrr @@ -1130,107 +1319,74 @@ EQ | 32 | iobm/DTACKrf & iobm/DTACKrr # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr +;Imported pterms FB5_18 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & iobm/RESrf & iobm/RESrr; IOACT.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 7 | cnt/RefCnt<1> +MACROCELL | 6 | 13 | cnt/RefCnt<7> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 -INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 4 | 17 -EQ | 2 | - cnt/RefCnt<1>.T = cnt/RefCnt<0>; - cnt/RefCnt<1>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 6 | cnt/RefCnt<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 4 | 7 | 4 | 7 | 17 -INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 4 | 17 | 5 | 7 -EQ | 2 | - cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; - cnt/RefCnt<2>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 4 | cnt/RefCnt<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 7 | 4 | 7 | 17 -INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 4 | 17 | 5 | 7 | 5 | 6 -EQ | 2 | - cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; - cnt/RefCnt<3>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 4 | cnt/RefCnt<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 7 | 17 -INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> -INPUTMC | 4 | 4 | 17 | 5 | 7 | 5 | 6 | 5 | 4 -EQ | 3 | - cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & - cnt/RefCnt<3>; - cnt/RefCnt<4>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 17 | cnt/RefCnt<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 2 | 7 | 15 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 3 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 | 7 | 0 -INPUTS | 15 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<20> | TimeoutB | fsb/BERR0r | fsb/VPA | $OpTx$INV$223 | A_FSB<23> | A_FSB<22> | A_FSB<21> -INPUTMC | 11 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 13 | 0 | 9 | 7 | 0 | 0 | 13 -INPUTP | 4 | 147 | 123 | 153 | 134 -EXPORTS | 1 | 7 | 0 -EQ | 11 | +OUTPUTMC | 16 | 1 | 9 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 11 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 11 +INPUTS | 18 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<7> +INPUTMC | 14 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 2 | 6 | 7 | 6 | 4 | 2 | 6 | 1 | 9 | 6 | 12 | 6 | 13 +INPUTP | 4 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 6 | 12 +EQ | 12 | cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<7>.CLK = CLK_FSB; // GCK - cnt/RefCnt<7>.EXP = !A_FSB<20> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<23> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<22> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 - # !A_FSB<21> & !TimeoutB & !fsb/BERR0r & fsb/VPA & - !$OpTx$INV$223 + cnt/RefCnt<7>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 16 | iobm/Er +MACROCELL | 7 | 17 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 6 | 6 +OUTPUTMC | 6 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 5 | 0 INPUTS | 1 | E_IOB -INPUTP | 1 | 72 +INPUTP | 1 | 77 EQ | 2 | iobm/Er.D = E_IOB; !iobm/Er.CLK = CLK_IOB; // GCK GLOBALS | 1 | 2 | CLK_IOB -MACROCELL | 5 | 9 | RefAck +MACROCELL | 5 | 4 | RefAck ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 10 | 2 | 4 +OUTPUTMC | 2 | 1 | 9 | 2 | 4 INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 3 | 3 | 2 | 8 +INPUTMC | 2 | 6 | 12 | 6 | 7 EQ | 2 | RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; RefAck.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 6 | iobm/Er2 +MACROCELL | 5 | 0 | iobm/Er2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +OUTPUTMC | 5 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 4 | 16 +INPUTMC | 1 | 7 | 17 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd2 +MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 4 | 1 | 14 +OUTPUTMC | 2 | 7 | 16 | 1 | 13 INPUTS | 9 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 8 | 1 | 12 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 +INPUTMC | 8 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 INPUTP | 1 | 42 EQ | 8 | iobm/IOS_FSM_FFd2.D = iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK @@ -1243,58 +1399,31 @@ EQ | 8 | iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 0 | iobm/VPArf +MACROCELL | 1 | 1 | iobm/VPArf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 9 +OUTPUTMC | 1 | 2 | 14 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 44 +INPUTP | 1 | 96 EQ | 2 | iobm/VPArf.D = !nVPA_IOB; !iobm/VPArf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 15 | iobm/VPArr +MACROCELL | 1 | 0 | iobm/VPArr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 9 +OUTPUTMC | 1 | 2 | 14 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 44 +INPUTP | 1 | 96 EQ | 2 | iobm/VPArr.D = !nVPA_IOB; iobm/VPArr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 17 | iobs/Load1 +MACROCELL | 4 | 12 | ALE0M ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 5 | 12 -INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<20> | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP24_.EXP | EXP27_.EXP -INPUTMC | 7 | 0 | 8 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 | 2 | 0 | 2 | 16 -INPUTP | 3 | 147 | 123 | 117 -IMPORTS | 2 | 2 | 0 | 2 | 16 -EQ | 17 | - !iobs/Load1.D = iobs/Once - # !nADoutLE1 - # !A_FSB<20> & !A_FSB<23> - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_1 - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 -;Imported pterms FB3_17 - # !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB; - iobs/Load1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 8 | ALE0M -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 6 | 14 +OUTPUTMC | 1 | 7 | 8 INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 7 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 1 | 7 +INPUTMC | 7 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 EQ | 7 | !ALE0M.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & @@ -1305,132 +1434,127 @@ EQ | 7 | ALE0M.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 10 | ALE0S +MACROCELL | 2 | 7 | ALE0S ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 6 | 14 -INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 2 | 11 | 2 | 5 -EQ | 2 | +OUTPUTMC | 2 | 7 | 8 | 2 | 8 +INPUTS | 9 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 117 | 98 +EXPORTS | 1 | 2 | 8 +EQ | 10 | ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; ALE0S.CLK = CLK_FSB; // GCK + ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 16 | IOREQ +MACROCELL | 2 | 12 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 6 | 4 | 0 | 15 -INPUTS | 17 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | fsb/ASrf | A_FSB<21> | EXP23_.EXP -INPUTMC | 8 | 2 | 5 | 0 | 8 | 7 | 7 | 2 | 13 | 0 | 15 | 2 | 11 | 5 | 3 | 0 | 17 -INPUTP | 9 | 147 | 136 | 146 | 144 | 143 | 153 | 105 | 117 | 134 -EXPORTS | 1 | 0 | 15 -IMPORTS | 1 | 0 | 17 -EQ | 42 | - IOREQ.D = !iobs/PS_FSM_FFd1 & !nADoutLE1 -;Imported pterms FB1_18 - # iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd2 & !iobs/IOACTr - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & - fsb/ASrf - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd1 -;Imported pterms FB1_1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf; +OUTPUTMC | 1 | 1 | 4 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd1.EXP | iobm/ETACK.EXP +INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 11 | 2 | 13 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 2 | 11 | 2 | 13 +EQ | 28 | + !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd1 & iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB3_12 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_14 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; IOREQ.CLK = CLK_FSB; // GCK - IOREQ.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 3 | fsb/ASrf +MACROCELL | 2 | 6 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 45 | 7 | 7 | 2 | 13 | 2 | 9 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 7 | 13 | 0 | 5 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 2 | 6 | 3 | 17 | 0 | 0 | 3 | 5 | 3 | 2 | 2 | 8 | 7 | 14 | 2 | 11 | 5 | 0 | 2 | 17 | 0 | 14 | 3 | 9 | 3 | 13 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 12 | 3 | 14 | 3 | 16 | 7 | 1 +OUTPUTMC | 44 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 10 | 0 | 13 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 12 | 0 | 15 | 0 | 16 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 INPUTS | 1 | nAS_FSB -INPUTP | 1 | 117 +INPUTP | 1 | 21 EQ | 2 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 6 | iobm/BERRrf +MACROCELL | 4 | 8 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 0 | 1 | 14 +OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 92 +INPUTP | 1 | 28 EQ | 2 | iobm/BERRrf.D = !nBERR_IOB; !iobm/BERRrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 5 | iobm/BERRrr +MACROCELL | 4 | 6 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 0 | 1 | 14 +OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 92 +INPUTP | 1 | 28 EQ | 2 | iobm/BERRrr.D = !nBERR_IOB; iobm/BERRrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 9 | iobm/DTACKrf +MACROCELL | 5 | 3 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 14 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 77 +INPUTP | 1 | 88 EQ | 2 | iobm/DTACKrf.D = !nDTACK_IOB; !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 7 | iobm/DTACKrr +MACROCELL | 5 | 2 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 14 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 77 +INPUTP | 1 | 88 EQ | 2 | iobm/DTACKrr.D = !nDTACK_IOB; iobm/DTACKrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 4 | iobm/IOS_FSM_FFd1 +MACROCELL | 7 | 16 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 7 +OUTPUTMC | 1 | 4 | 10 INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 1 | 9 +INPUTMC | 1 | 4 | 13 EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 3 | iobm/RESrf +MACROCELL | 1 | 3 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 16 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1438,9 +1562,9 @@ EQ | 2 | !iobm/RESrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 2 | iobm/RESrr +MACROCELL | 1 | 2 | iobm/RESrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 16 | 1 | 9 | 1 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1448,13 +1572,23 @@ EQ | 2 | iobm/RESrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 3 | 9 | ram/RAMDIS1 +MACROCELL | 2 | 5 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 4 | 2 | 14 | 3 | 16 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP32_.EXP | EXP33_.EXP -INPUTMC | 8 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 10 | 5 | 0 | 5 | 3 | 3 | 8 | 3 | 10 -INPUTP | 2 | 123 | 117 -IMPORTS | 2 | 3 | 8 | 3 | 10 +OUTPUTMC | 1 | 2 | 10 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 +INPUTMC | 3 | 2 | 8 | 2 | 11 | 2 | 10 +EQ | 2 | + iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; + iobs/Clear1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 13 | ram/RAMDIS1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 4 | 5 | 5 | 5 | 8 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP30_.EXP | EXP31_.EXP +INPUTMC | 8 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 2 | 17 | 2 | 6 | 3 | 12 | 3 | 14 +INPUTP | 2 | 105 | 21 +IMPORTS | 2 | 3 | 12 | 3 | 14 EQ | 38 | ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1464,7 +1598,7 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_9 +;Imported pterms FB4_13 # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & @@ -1475,7 +1609,14 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_11 +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB4_15 # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & @@ -1485,24 +1626,17 @@ EQ | 38 | # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; ram/RAMDIS1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 13 | ram/RAMReady +MACROCELL | 6 | 16 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 12 | 0 | 1 | 0 | 13 | 0 | 3 -INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | EXP34_.EXP | EXP35_.EXP -INPUTMC | 8 | 7 | 7 | 7 | 10 | 2 | 8 | 5 | 0 | 2 | 9 | 5 | 3 | 3 | 12 | 3 | 14 -INPUTP | 4 | 134 | 117 | 123 | 153 -IMPORTS | 2 | 3 | 12 | 3 | 14 +OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | cnt/RefCnt<6>.EXP | EXP34_.EXP +INPUTMC | 8 | 3 | 2 | 1 | 9 | 6 | 7 | 2 | 17 | 6 | 9 | 2 | 6 | 6 | 15 | 6 | 17 +INPUTP | 4 | 109 | 21 | 105 | 15 +IMPORTS | 2 | 6 | 15 | 6 | 17 EQ | 33 | !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -1514,7 +1648,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 -;Imported pterms FB4_13 +;Imported pterms FB7_16 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -1525,7 +1659,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB4_15 +;Imported pterms FB7_18 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1533,7 +1667,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_16 +;Imported pterms FB7_1 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RAMReady.CLK = CLK_FSB; // GCK @@ -1541,60 +1675,48 @@ GLOBALS | 1 | 2 | CLK_FSB MACROCELL | 1 | 16 | nAS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 1 | 15 -INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | nBERR_IOB | IOBERR | CLK_IOB | iobm/RESrf | iobm/RESrr -INPUTMC | 8 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 1 | 15 | 6 | 3 | 6 | 2 -INPUTP | 2 | 92 | 42 -EXPORTS | 1 | 1 | 15 -EQ | 5 | +INPUTS | 5 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 5 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 +EQ | 3 | nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; !nAS_IOB.CLK = CLK2X_IOB; // GCK - nAS_IOB_OBUF.EXP = !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 8 | nCAS_OBUF +MACROCELL | 5 | 1 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 1 | ram/RASEL -INPUTMC | 1 | 3 | 5 +INPUTMC | 1 | 3 | 0 EQ | 2 | nCAS.D = !ram/RASEL; !nCAS.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 16 | nDinLE_OBUF +MACROCELL | 7 | 14 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 -INPUTMC | 2 | 1 | 12 | 1 | 3 +INPUTMC | 2 | 4 | 15 | 4 | 5 EQ | 2 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; !nDinLE.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 14 | nDoutOE_OBUF +MACROCELL | 1 | 13 | nDoutOE_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 1 | 15 -INPUTS | 14 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 | nBERR_IOB | IOBERR | CLK_IOB | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr -INPUTMC | 12 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 1 | 9 | 1 | 15 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 -INPUTP | 2 | 92 | 42 -EXPORTS | 1 | 1 | 15 -EQ | 9 | +INPUTS | 7 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 +INPUTMC | 7 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 13 +EQ | 5 | nDoutOE.D = !IORW0 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd2; nDoutOE.CLK = CLK2X_IOB; // GCK - nDoutOE_OBUF.EXP = !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 10 | nLDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 7 | IOL0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 17 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 +INPUTMC | 7 | 5 | 15 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 EQ | 6 | nLDS_IOB.D = !IOL0 # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & @@ -1607,7 +1729,7 @@ GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 11 | nUDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 7 | IOU0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 15 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 +INPUTMC | 7 | 5 | 13 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 EQ | 6 | nUDS_IOB.D = !IOU0 # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & @@ -1617,727 +1739,19 @@ EQ | 6 | !nUDS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 13 | RA_0_OBUF +MACROCELL | 3 | 1 | RA_0_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 109 | 26 -EQ | 2 | - RA<0> = A_FSB<10> & !ram/RASEL +OUTPUTMC | 1 | 3 | 0 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | cs/nOverlay1.EXP +INPUTMC | 11 | 3 | 2 | 1 | 9 | 6 | 12 | 6 | 7 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 4 | 3 | 2 +INPUTP | 2 | 15 | 21 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 2 +EQ | 15 | + RA<0> = ;Imported pterms FB4_3 + A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<1>; - -MACROCELL | 3 | 1 | RA_1_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 2 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP28_.EXP -INPUTMC | 10 | 7 | 7 | 2 | 8 | 7 | 15 | 5 | 3 | 7 | 10 | 3 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 3 | 0 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 3 | 2 -IMPORTS | 1 | 3 | 0 -EQ | 14 | - RA<1> = ;Imported pterms FB4_1 - A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 7 | 5 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 103 | 127 -EQ | 2 | - RA<2> = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3>; - -MACROCELL | 5 | 1 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 21 | 88 -EQ | 2 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - -MACROCELL | 5 | 5 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 22 | 37 -EQ | 2 | - RA<4> = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - -MACROCELL | 3 | 7 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 6 -INPUTS | 16 | A_FSB<15> | ram/RASEL | A_FSB<6> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 10 | 3 | 5 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 5 | 3 | 7 | 10 | 7 | 3 | 7 | 2 | 7 | 17 -INPUTP | 6 | 23 | 29 | 123 | 153 | 134 | 117 -EXPORTS | 1 | 3 | 6 -EQ | 11 | - RA<5> = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - RA_5_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 7 | 8 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 143 | 155 -EQ | 2 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - -MACROCELL | 6 | 1 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 144 | 82 -EQ | 2 | - RA<7> = A_FSB<17> & !ram/RASEL - # ram/RASEL & A_FSB<8>; - -MACROCELL | 6 | 5 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 146 | 96 -EQ | 2 | - RA<8> = A_FSB<9> & ram/RASEL - # A_FSB<18> & !ram/RASEL; - -MACROCELL | 6 | 8 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 136 | 147 -EQ | 2 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - -MACROCELL | 5 | 11 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 105 | 117 -EQ | 1 | - !nOE = nWE_FSB & !nAS_FSB; - -MACROCELL | 5 | 14 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 105 | 117 -EQ | 1 | - !nROMWE = !nWE_FSB & !nAS_FSB; - -MACROCELL | 5 | 16 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 7 | 0 -INPUTP | 1 | 117 -EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; - -MACROCELL | 6 | 14 | nADoutLE0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 1 | 8 | 5 | 10 -EQ | 1 | - nADoutLE0 = !ALE0M & !ALE0S; - -MACROCELL | 3 | 11 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 10 -INPUTS | 12 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<20> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 -INPUTMC | 6 | 7 | 10 | 2 | 8 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 -INPUTP | 6 | 123 | 105 | 117 | 147 | 153 | 134 -EXPORTS | 1 | 3 | 10 -EQ | 9 | - nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & nWE_FSB & - !nAS_FSB; - nDinOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 2 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 3 -INPUTS | 14 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 10 | 5 | 9 | 7 | 7 | 3 | 17 | 3 | 9 | 2 | 10 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 2 | 3 -EQ | 7 | - !nRAS = RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - nRAS_OBUF.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4> - -MACROCELL | 6 | 11 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 136 -EQ | 1 | - RA<11> = A_FSB<19>; - -MACROCELL | 7 | 11 | A_FSB_21_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<21> -INPUTP | 1 | 134 -EQ | 1 | - RA<10> = A_FSB<21>; - -MACROCELL | 0 | 8 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 17 | 2 | 12 | 2 | 7 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 15 | 2 | 2 | 2 | 6 | 0 | 2 | 2 | 11 | 2 | 17 | 0 | 16 | 0 | 8 | 0 | 12 | 0 | 7 | 0 | 9 | 0 | 14 -INPUTS | 10 | iobs/Once | nADoutLE1 | A_FSB<20> | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP19_.EXP | fsb/BERR0r.EXP -INPUTMC | 7 | 2 | 13 | 0 | 8 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 7 | 0 | 9 -INPUTP | 3 | 147 | 123 | 117 -IMPORTS | 2 | 0 | 7 | 0 | 9 -EQ | 18 | - nADoutLE1.D = iobs/Once & nADoutLE1 - # !A_FSB<20> & !A_FSB<23> & nADoutLE1 - # nAS_FSB & !fsb/ASrf & nADoutLE1 - # iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_8 - # !A_FSB<19> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & nADoutLE1 -;Imported pterms FB1_10 - # !A_FSB<18> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & nADoutLE1; - nADoutLE1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 1 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 13 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | fsb/BERR0r | fsb/VPA | $OpTx$INV$223 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf | TimeoutB | cnt/RefCnt<6>.EXP -INPUTMC | 8 | 0 | 9 | 7 | 0 | 0 | 13 | 2 | 7 | 7 | 6 | 5 | 3 | 7 | 13 | 7 | 2 -INPUTP | 5 | 147 | 123 | 153 | 134 | 117 -EXPORTS | 1 | 7 | 0 -IMPORTS | 1 | 7 | 2 -EQ | 16 | - nBERR_FSB = ;Imported pterms FB8_3 - nAS_FSB - # !BERR_IOBS & !fsb/BERR1r - # !TimeoutB & !fsb/BERR0r - # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r; - nBERR_FSB_OBUF.EXP = A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & - !fsb/BERR0r & fsb/VPA & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 - -MACROCELL | 2 | 14 | nRAMLWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 17 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf -INPUTMC | 7 | 3 | 17 | 3 | 9 | 7 | 7 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 -INPUTP | 10 | 105 | 114 | 117 | 147 | 136 | 146 | 144 | 143 | 153 | 134 -EXPORTS | 1 | 2 | 13 -EQ | 6 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMLWE_OBUF.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf - -MACROCELL | 3 | 16 | nRAMUWE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 18 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 12 | 3 | 17 | 3 | 9 | 7 | 7 | 2 | 9 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 3 | 7 | 2 | 7 | 17 | 5 | 3 -INPUTP | 6 | 105 | 30 | 117 | 123 | 153 | 134 -EXPORTS | 1 | 3 | 17 -EQ | 10 | - !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - nRAMUWE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - -MACROCELL | 4 | 1 | nROMCS_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 5 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 -INPUTMC | 1 | 7 | 7 -INPUTP | 4 | 147 | 123 | 153 | 134 -EQ | 3 | - !nROMCS = !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> - # !A_FSB<20> & !A_FSB<23> & !A_FSB<21> & - !cs/nOverlay1; - -MACROCELL | 7 | 14 | nAoutOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 15 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf -INPUTMC | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 7 | 5 | 3 -INPUTP | 3 | 153 | 134 | 117 -EXPORTS | 1 | 7 | 15 -EQ | 9 | - nAoutOE = Gnd; - nAoutOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - -MACROCELL | 0 | 13 | $OpTx$INV$223 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 3 | 7 | 0 | 7 | 1 | 7 | 17 -INPUTS | 15 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP -INPUTMC | 6 | 2 | 15 | 2 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 0 | 12 -INPUTP | 9 | 123 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 -IMPORTS | 1 | 0 | 12 -EQ | 30 | - $OpTx$INV$223 = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB1_13 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r; - -MACROCELL | 0 | 0 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 17 -INPUTS | 13 | A_FSB<20> | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | nAS_FSB -INPUTMC | 4 | 2 | 13 | 2 | 5 | 5 | 3 | 7 | 7 -INPUTP | 9 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 | 117 -EXPORTS | 1 | 0 | 17 -EQ | 14 | - EXP14_.EXP = A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 0 | 1 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 2 -INPUTS | 18 | A_FSB<23> | BERR_IOBS | TimeoutB | nDTACK_FSB | fsb/BERR1r | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady -INPUTMC | 9 | 2 | 7 | 7 | 13 | 0 | 2 | 7 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 2 | 15 | 2 | 6 -INPUTP | 9 | 123 | 153 | 134 | 147 | 136 | 146 | 144 | 143 | 105 -EXPORTS | 1 | 0 | 2 -EQ | 8 | - EXP15_.EXP = A_FSB<23> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - -MACROCELL | 0 | 3 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 2 -INPUTS | 14 | A_FSB<20> | TimeoutB | fsb/BERR1r | nDTACK_FSB | A_FSB<22> | BERR_IOBS | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP17_.EXP -INPUTMC | 10 | 7 | 13 | 7 | 6 | 0 | 2 | 2 | 7 | 2 | 15 | 2 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 0 | 4 -INPUTP | 4 | 147 | 153 | 134 | 123 -EXPORTS | 1 | 0 | 2 -IMPORTS | 1 | 0 | 4 -EQ | 24 | - EXP16_.EXP = !A_FSB<20> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # !A_FSB<22> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<21> & TimeoutB & fsb/BERR1r & nDTACK_FSB - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady -;Imported pterms FB1_5 - # BERR_IOBS & fsb/BERR0r & nDTACK_FSB - # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB - # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB -;Imported pterms FB1_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady - -MACROCELL | 0 | 4 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 3 -INPUTS | 11 | BERR_IOBS | fsb/BERR0r | nDTACK_FSB | fsb/BERR1r | A_FSB<20> | TimeoutB | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<21> | EXP18_.EXP -INPUTMC | 8 | 2 | 7 | 0 | 9 | 0 | 2 | 7 | 6 | 7 | 13 | 2 | 15 | 2 | 6 | 0 | 5 -INPUTP | 3 | 147 | 123 | 134 -EXPORTS | 1 | 0 | 3 -IMPORTS | 1 | 0 | 5 -EQ | 16 | - EXP17_.EXP = BERR_IOBS & fsb/BERR0r & nDTACK_FSB - # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB - # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB -;Imported pterms FB1_6 - # nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady - -MACROCELL | 0 | 5 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 4 -INPUTS | 12 | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | BERR_IOBS | fsb/BERR1r | fsb/Ready1r | iobs/IOReady | TimeoutB | fsb/BERR0r -INPUTMC | 7 | 5 | 3 | 2 | 7 | 7 | 6 | 2 | 15 | 2 | 6 | 7 | 13 | 0 | 9 -INPUTP | 5 | 117 | 147 | 123 | 153 | 134 -EXPORTS | 1 | 0 | 4 -EQ | 9 | - EXP18_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !BERR_IOBS & !fsb/BERR1r & iobs/IOReady - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & fsb/Ready1r - # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & - !TimeoutB & !fsb/BERR0r & iobs/IOReady - -MACROCELL | 0 | 7 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 8 -INPUTS | 8 | A_FSB<19> | A_FSB<23> | A_FSB<21> | nADoutLE1 | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nWE_FSB -INPUTMC | 2 | 0 | 8 | 7 | 7 -INPUTP | 6 | 136 | 123 | 134 | 143 | 153 | 105 -EXPORTS | 1 | 0 | 8 -EQ | 6 | - EXP19_.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & nADoutLE1 - -MACROCELL | 0 | 10 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 9 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 5 | 3 -INPUTP | 1 | 117 -EXPORTS | 1 | 0 | 9 -EQ | 1 | - EXP20_.EXP = nAS_FSB & !fsb/ASrf - -MACROCELL | 0 | 12 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 13 -INPUTS | 22 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | TimeoutA | fsb/Ready2r -INPUTMC | 6 | 7 | 7 | 2 | 15 | 2 | 6 | 0 | 8 | 7 | 16 | 0 | 11 -INPUTP | 16 | 147 | 136 | 146 | 144 | 143 | 153 | 134 | 105 | 96 | 23 | 21 | 123 | 22 | 103 | 18 | 109 -EXPORTS | 1 | 0 | 13 -EQ | 19 | - EXP21_.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & - A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & - !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & - !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r - -MACROCELL | 0 | 14 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 15 -INPUTS | 12 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<20> | A_FSB<22> | A_FSB<21> | nAS_FSB -INPUTMC | 6 | 2 | 13 | 0 | 15 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 8 -INPUTP | 6 | 123 | 105 | 147 | 153 | 134 | 117 -EXPORTS | 1 | 0 | 15 -EQ | 14 | - EXP22_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - -MACROCELL | 0 | 17 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 16 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<22> | A_FSB<21> | EXP14_.EXP -INPUTMC | 6 | 2 | 11 | 2 | 5 | 5 | 2 | 2 | 13 | 5 | 3 | 0 | 0 -INPUTP | 5 | 123 | 117 | 147 | 153 | 134 -EXPORTS | 1 | 0 | 16 -IMPORTS | 1 | 0 | 0 -EQ | 23 | - EXP23_.EXP = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd2 & !iobs/IOACTr - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & - fsb/ASrf - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !nAS_FSB & !iobs/PS_FSM_FFd1 -;Imported pterms FB1_1 - # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 2 | 0 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 6 | A_FSB<18> | A_FSB<23> | A_FSB<21> | A_FSB<17> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 7 | 7 -INPUTP | 5 | 146 | 123 | 134 | 144 | 153 -EXPORTS | 1 | 2 | 17 -EQ | 4 | - EXP24_.EXP = !A_FSB<18> & !A_FSB<23> & A_FSB<21> - # !A_FSB<17> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 2 | 1 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 8 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 -INPUTMC | 3 | 2 | 2 | 5 | 3 | 7 | 7 -INPUTP | 5 | 105 | 117 | 123 | 153 | 134 -EXPORTS | 1 | 2 | 2 -EQ | 5 | - EXP25_.EXP = nWE_FSB & iobs/IORW1 - # !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - -MACROCELL | 2 | 12 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 16 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | iobs/Once | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | A_FSB<21> | nADoutLE1 -INPUTMC | 6 | 7 | 7 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 8 -INPUTP | 10 | 147 | 136 | 146 | 144 | 143 | 153 | 105 | 117 | 123 | 134 -EXPORTS | 1 | 2 | 13 -EQ | 16 | - EXP26_.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once & !nWE_FSB & fsb/ASrf & nADoutLE1 - # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & - !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 - -MACROCELL | 2 | 16 | EXP27_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 7 | A_FSB<19> | A_FSB<23> | A_FSB<21> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nWE_FSB -INPUTMC | 1 | 7 | 7 -INPUTP | 6 | 136 | 123 | 134 | 143 | 153 | 105 -EXPORTS | 1 | 2 | 17 -EQ | 5 | - EXP27_.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> - # !A_FSB<16> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB - -MACROCELL | 3 | 0 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 3 | 5 -INPUTP | 2 | 18 | 28 -EXPORTS | 1 | 3 | 1 -EQ | 2 | - EXP28_.EXP = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2> - -MACROCELL | 3 | 2 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 3 -INPUTS | 9 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | cnt/RefCnt<7> | nAS_FSB | fsb/ASrf | cnt/RefCnt<6> | RA_1_OBUF.EXP -INPUTMC | 8 | 2 | 8 | 7 | 15 | 7 | 3 | 5 | 0 | 7 | 17 | 5 | 3 | 7 | 2 | 3 | 1 -INPUTP | 1 | 117 -EXPORTS | 1 | 3 | 3 -IMPORTS | 1 | 3 | 1 -EQ | 22 | - EXP29_.EXP = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & - !cnt/RefCnt<7> - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_2 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 3 | 4 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | ram/RS_FSM_FFd2.EXP -INPUTMC | 8 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 5 | 3 | 7 | 10 | 5 | 0 | 3 | 3 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 3 | 5 -IMPORTS | 1 | 3 | 3 -EQ | 17 | - EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_4 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 3 | 6 | EXP31_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | RA_5_OBUF.EXP -INPUTMC | 11 | 7 | 7 | 7 | 10 | 3 | 3 | 2 | 8 | 5 | 0 | 5 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 15 | 3 | 7 -INPUTP | 2 | 153 | 117 -EXPORTS | 1 | 3 | 5 -IMPORTS | 1 | 3 | 7 -EQ | 22 | - EXP31_.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + RA_0_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -2349,26 +1763,873 @@ EQ | 22 | # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_8 + +MACROCELL | 4 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 15 | A_FSB<11> | ram/RASEL | A_FSB<2> | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 12 | 3 | 0 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 10 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 +INPUTP | 3 | 140 | 24 | 42 +EXPORTS | 1 | 4 | 0 +EQ | 11 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/BERRrf & iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr + +MACROCELL | 3 | 7 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 8 +INPUTS | 10 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 3 | 3 | 0 | 3 | 9 | 3 | 2 +INPUTP | 7 | 146 | 127 | 147 | 136 | 105 | 109 | 15 +EXPORTS | 1 | 3 | 8 +EQ | 6 | + RA<2> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + RA_2_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 4 | 7 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 3 | 0 +INPUTP | 2 | 136 | 92 +EQ | 2 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + +MACROCELL | 3 | 11 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 12 +INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 +INPUTMC | 7 | 3 | 0 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 +INPUTP | 4 | 147 | 23 | 15 | 109 +EXPORTS | 1 | 3 | 12 +EQ | 8 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + RA_4_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 6 | 1 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 3 | 0 +INPUTP | 2 | 134 | 29 +EQ | 2 | + RA<5> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + +MACROCELL | 6 | 5 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 4 +INPUTS | 14 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 10 | 3 | 0 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 2 | 6 +INPUTP | 4 | 153 | 30 | 15 | 21 +EXPORTS | 1 | 6 | 4 +EQ | 10 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + +MACROCELL | 6 | 8 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 9 +INPUTS | 13 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB +INPUTMC | 7 | 3 | 0 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 +INPUTP | 6 | 155 | 103 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 6 | 9 +EQ | 10 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + RA_7_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf + +MACROCELL | 6 | 11 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 12 +INPUTS | 13 | A_FSB<18> | ram/RASEL | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2.EXP +INPUTMC | 9 | 3 | 0 | 6 | 7 | 6 | 4 | 6 | 0 | 2 | 6 | 6 | 15 | 6 | 13 | 3 | 2 | 6 | 10 +INPUTP | 4 | 123 | 21 | 105 | 15 +EXPORTS | 1 | 6 | 12 +IMPORTS | 1 | 6 | 10 +EQ | 11 | + RA<8> = A_FSB<18> & !ram/RASEL +;Imported pterms FB7_11 + # A_FSB<9> & ram/RASEL; + RA_8_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + +MACROCELL | 6 | 14 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 15 +INPUTS | 9 | A_FSB<19> | ram/RASEL | A_FSB<20> | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 7 | 3 | 0 | 4 | 9 | 6 | 0 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 +INPUTP | 2 | 117 | 114 +EXPORTS | 1 | 6 | 15 +EQ | 4 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + RA_9_OBUF.EXP = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & + cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4> + +MACROCELL | 0 | 5 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 6 +INPUTS | 20 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> +INPUTMC | 8 | 4 | 14 | 1 | 14 | 3 | 15 | 1 | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 2 | 10 +INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 +EXPORTS | 1 | 0 | 6 +EQ | 12 | + nBERR_FSB = nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 0 | 10 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 11 +INPUTS | 21 | nWE_FSB | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | TimeoutA | fsb/Ready2r +INPUTMC | 4 | 2 | 6 | 3 | 2 | 1 | 15 | 0 | 11 +INPUTP | 17 | 98 | 21 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 0 | 11 +EQ | 14 | + !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 5 | 11 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 98 | 21 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 5 | 14 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 0 | 14 +INPUTP | 1 | 21 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 7 | 8 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 4 | 12 | 2 | 7 +EQ | 1 | + nADoutLE0 = !ALE0M & !ALE0S; + +MACROCELL | 3 | 16 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 17 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/BERR0r.EXP +INPUTMC | 10 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 15 +INPUTP | 4 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 3 | 17 +IMPORTS | 1 | 3 | 15 +EQ | 19 | + nDinOE = ;Imported pterms FB4_16 + A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 8 | EXP32_ +MACROCELL | 2 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> +INPUTMC | 4 | 5 | 4 | 3 | 2 | 6 | 10 | 3 | 13 +INPUTP | 4 | 105 | 15 | 21 | 109 +EQ | 5 | + !nRAS = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 117 +EQ | 1 | + RA<11> = A_FSB<19>; + +MACROCELL | 7 | 5 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<21> +INPUTP | 1 | 109 +EQ | 1 | + RA<10> = A_FSB<21>; + +MACROCELL | 2 | 10 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 22 | 3 | 8 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 0 | 5 | 2 | 16 | 1 | 17 | 0 | 2 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 5 | 2 | 10 | 0 | 4 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 +INPUTS | 6 | iobs/Clear1 | nADoutLE1 | iobs/Load1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 6 | 2 | 5 | 2 | 10 | 3 | 5 | 2 | 8 | 2 | 11 | 2 | 3 +EXPORTS | 1 | 2 | 11 +EQ | 5 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = CLK_FSB; // GCK + nADoutLE1_OBUF.EXP = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 5 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 6 | 10 | 3 | 13 +INPUTP | 3 | 98 | 37 | 21 +EQ | 2 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 5 | 8 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 6 | 10 | 3 | 13 +INPUTP | 3 | 98 | 82 | 21 +EQ | 2 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 4 | 11 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 +INPUTMC | 1 | 3 | 2 +INPUTP | 4 | 105 | 15 | 109 | 114 +EQ | 3 | + !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1; + +MACROCELL | 7 | 11 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + nAoutOE = Gnd; + +MACROCELL | 0 | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 21 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<9> | EXP17_.EXP +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 8 +INPUTP | 17 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 19 +IMPORTS | 1 | 0 | 8 +EQ | 33 | + fsb/VPA__or00001/fsb/VPA__or00001_D2 = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB1_9 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; + +MACROCELL | 0 | 16 | $OpTx$FX_DC$602 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 7 | 0 | 14 | 0 | 1 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 0 | 15 +INPUTS | 25 | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | fsb/ASrf | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | $OpTx$FX_DC$606 +INPUTMC | 9 | 1 | 14 | 4 | 14 | 3 | 15 | 1 | 8 | 1 | 17 | 2 | 6 | 0 | 9 | 0 | 16 | 0 | 3 +INPUTP | 16 | 105 | 15 | 109 | 114 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 0 | 15 +EQ | 14 | + $OpTx$FX_DC$602 = !TimeoutB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20>; + $OpTx$FX_DC$602.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 3 | $OpTx$FX_DC$606 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 16 | 0 | 2 +INPUTS | 28 | A_FSB<9> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | $OpTx$FX_DC$602 | iobs/IOReady | EXP16_.EXP +INPUTMC | 11 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 16 | 1 | 17 | 0 | 4 +INPUTP | 17 | 19 | 15 | 109 | 114 | 136 | 117 | 123 | 155 | 153 | 98 | 134 | 147 | 146 | 140 | 143 | 105 | 103 +EXPORTS | 1 | 0 | 2 +IMPORTS | 1 | 0 | 4 +EQ | 27 | + $OpTx$FX_DC$606 = A_FSB<22> & !A_FSB<21> & A_FSB<20> + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB +;Imported pterms FB1_5 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1; + $OpTx$FX_DC$606.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602 + +MACROCELL | 0 | 0 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 17 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP +INPUTMC | 7 | 0 | 6 | 1 | 17 | 0 | 1 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 17 +INPUTP | 10 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 +EXPORTS | 1 | 0 | 1 +IMPORTS | 1 | 0 | 17 +EQ | 37 | + EXP14_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 0 | 2 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | $OpTx$FX_DC$606.EXP +INPUTMC | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 0 | 1 | 2 | 10 | 1 | 15 | 0 | 11 | 0 | 3 +INPUTP | 17 | 136 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 147 | 109 | 19 | 134 | 146 | 140 | 143 | 105 | 103 +EXPORTS | 1 | 0 | 1 +IMPORTS | 1 | 0 | 3 +EQ | 37 | + EXP15_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_4 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602 + +MACROCELL | 0 | 4 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 3 +INPUTS | 12 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<21> | nADoutLE1 | A_FSB<13> +INPUTMC | 2 | 3 | 2 | 2 | 10 +INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 109 | 136 +EXPORTS | 1 | 0 | 3 +EQ | 8 | + EXP16_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + +MACROCELL | 0 | 8 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 9 +INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> +INPUTMC | 3 | 3 | 2 | 1 | 15 | 0 | 11 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +EXPORTS | 1 | 0 | 9 +EQ | 12 | + EXP17_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 0 | 12 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 13 +INPUTS | 30 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | iobs/IOReady | $OpTx$FX_DC$606 +INPUTMC | 13 | 3 | 2 | 6 | 6 | 0 | 14 | 2 | 6 | 6 | 16 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 9 | 0 | 16 | 1 | 17 | 0 | 3 +INPUTP | 17 | 105 | 15 | 109 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 114 | 117 | 123 | 155 | 153 | 21 +EXPORTS | 1 | 0 | 13 +EQ | 26 | + EXP18_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 13 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 8 | BERR_IOBS | fsb/VPA | fsb/ASrf | fsb/BERR0r | fsb/BERR1r | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | EXP18_.EXP +INPUTMC | 8 | 4 | 14 | 0 | 14 | 2 | 6 | 3 | 15 | 1 | 8 | 0 | 9 | 0 | 16 | 0 | 12 +EXPORTS | 1 | 0 | 14 +IMPORTS | 1 | 0 | 12 +EQ | 33 | + EXP19_.EXP = BERR_IOBS & fsb/VPA & fsb/ASrf + # fsb/BERR0r & fsb/VPA & fsb/ASrf + # fsb/BERR1r & fsb/VPA & fsb/ASrf + # fsb/VPA & fsb/ASrf & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 +;Imported pterms FB1_13 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 15 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 13 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | $OpTx$FX_DC$606 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | $OpTx$FX_DC$602.EXP +INPUTMC | 9 | 0 | 6 | 0 | 14 | 1 | 17 | 0 | 3 | 2 | 6 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 16 +INPUTP | 4 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 0 | 14 +IMPORTS | 1 | 0 | 16 +EQ | 23 | + EXP20_.EXP = !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + $OpTx$FX_DC$606 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + $OpTx$FX_DC$606 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady +;Imported pterms FB1_17 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 17 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 0 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +EXPORTS | 1 | 0 | 0 +EQ | 25 | + EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 2 | 0 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> +INPUTMC | 7 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 +INPUTP | 11 | 147 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 +EXPORTS | 1 | 2 | 1 +EQ | 16 | + EXP22_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 1 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | EXP22_.EXP +INPUTMC | 7 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 6 | 2 | 10 | 2 | 0 +INPUTP | 6 | 105 | 98 | 15 | 109 | 114 | 21 +EXPORTS | 1 | 2 | 2 +IMPORTS | 1 | 2 | 0 +EQ | 31 | + EXP23_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB3_1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 9 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 +INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 +EXPORTS | 1 | 2 | 8 +EQ | 10 | + EXP24_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + +MACROCELL | 2 | 15 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nVMA_IOB_OBUF.EXP +INPUTMC | 6 | 2 | 16 | 2 | 6 | 2 | 8 | 2 | 11 | 3 | 2 | 2 | 14 +INPUTP | 5 | 98 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 14 +EQ | 9 | + EXP25_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 4 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 1 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 117 | 98 +EXPORTS | 1 | 3 | 5 +EQ | 4 | + EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + +MACROCELL | 3 | 6 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 3 | 2 +INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 +EXPORTS | 1 | 3 | 5 +EQ | 6 | + EXP27_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 8 | EXP28_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 9 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 -INPUTMC | 5 | 7 | 10 | 2 | 8 | 5 | 0 | 5 | 3 | 7 | 7 -INPUTP | 3 | 153 | 134 | 117 +INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | RA_2_OBUF.EXP +INPUTMC | 6 | 3 | 9 | 2 | 11 | 2 | 8 | 2 | 10 | 3 | 2 | 3 | 7 +INPUTP | 3 | 105 | 15 | 109 EXPORTS | 1 | 3 | 9 -EQ | 10 | - EXP32_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & +IMPORTS | 1 | 3 | 7 +EQ | 11 | + EXP28_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB4_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 3 | 10 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB +INPUTMC | 1 | 3 | 9 +INPUTP | 7 | 105 | 109 | 117 | 123 | 155 | 153 | 98 +EXPORTS | 1 | 3 | 9 +EQ | 5 | + EXP29_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB + +MACROCELL | 3 | 12 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 13 +INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | RA_4_OBUF.EXP +INPUTMC | 6 | 1 | 9 | 6 | 7 | 2 | 17 | 2 | 6 | 3 | 2 | 3 | 11 +INPUTP | 3 | 15 | 109 | 21 +EXPORTS | 1 | 3 | 13 +IMPORTS | 1 | 3 | 11 +EQ | 17 | + EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf @@ -2378,17 +2639,23 @@ EQ | 10 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 10 | EXP33_ +MACROCELL | 3 | 14 | EXP31_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 9 -INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | nDinOE_OBUF.EXP -INPUTMC | 12 | 7 | 10 | 2 | 8 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 | 5 | 0 | 5 | 3 | 2 | 9 | 3 | 3 | 7 | 15 | 3 | 11 -INPUTP | 3 | 123 | 153 | 117 -EXPORTS | 1 | 3 | 9 -IMPORTS | 1 | 3 | 11 -EQ | 17 | - EXP33_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & +OUTPUTMC | 1 | 3 | 13 +INPUTS | 14 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB +INPUTMC | 11 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 2 | 17 | 2 | 6 | 6 | 9 | 6 | 12 | 6 | 4 +INPUTP | 3 | 105 | 15 | 21 +EXPORTS | 1 | 3 | 13 +EQ | 10 | + EXP31_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf @@ -2398,127 +2665,146 @@ EQ | 17 | cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 12 | EXP34_ +MACROCELL | 3 | 17 | EXP32_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> -INPUTMC | 6 | 7 | 7 | 2 | 9 | 2 | 8 | 5 | 3 | 7 | 10 | 5 | 0 -INPUTP | 4 | 123 | 153 | 117 | 134 -EXPORTS | 1 | 3 | 13 -EQ | 10 | - EXP34_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd1 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | nDinOE_OBUF.EXP +INPUTMC | 8 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 3 | 16 +INPUTP | 4 | 105 | 15 | 21 | 109 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 16 +EQ | 26 | + EXP32_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd1 & fsb/ASrf + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_17 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> -MACROCELL | 3 | 14 | EXP35_ +MACROCELL | 4 | 16 | EXP33_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP36_.EXP -INPUTMC | 7 | 3 | 3 | 7 | 15 | 2 | 8 | 7 | 10 | 5 | 0 | 5 | 3 | 3 | 15 -INPUTP | 2 | 123 | 117 -EXPORTS | 1 | 3 | 13 -IMPORTS | 1 | 3 | 15 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 10 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr +INPUTMC | 8 | 4 | 17 | 4 | 15 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 8 | 4 | 6 +INPUTP | 2 | 28 | 42 +EXPORTS | 1 | 4 | 17 EQ | 10 | - EXP35_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + EXP33_.EXP = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + +MACROCELL | 6 | 17 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 16 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5>.EXP +INPUTMC | 7 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 +INPUTP | 2 | 105 | 21 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 0 +EQ | 10 | + EXP34_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 # A_FSB<23> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_16 +;Imported pterms FB7_1 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 3 | 15 | EXP36_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 14 -INPUTS | 4 | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 4 | 7 | 10 | 7 | 3 | 7 | 2 | 7 | 17 -EXPORTS | 1 | 3 | 14 -EQ | 2 | - EXP36_.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -PIN | A_FSB<9> | 64 | 0 | N/A | 96 | 4 | 0 | 11 | 0 | 2 | 6 | 5 | 0 | 12 -PIN | A_FSB<20> | 64 | 0 | N/A | 147 | 30 | 2 | 13 | 0 | 14 | 7 | 9 | 0 | 9 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 0 | 2 | 2 | 11 | 2 | 17 | 0 | 12 | 6 | 8 | 3 | 11 | 0 | 8 | 2 | 14 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 136 | 17 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 0 | 16 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 1 | 6 | 8 | 6 | 11 | 0 | 7 | 0 | 13 | 0 | 0 | 2 | 3 | 2 | 10 | 2 | 14 -PIN | A_FSB<18> | 64 | 0 | N/A | 146 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 2 | 0 | 0 | 2 | 2 | 11 | 2 | 14 | 0 | 9 | 6 | 5 | 0 | 1 | 0 | 13 | 0 | 0 | 0 | 16 | 2 | 3 | 2 | 10 -PIN | A_FSB<17> | 64 | 0 | N/A | 144 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 2 | 0 | 0 | 2 | 2 | 11 | 2 | 14 | 0 | 9 | 6 | 1 | 0 | 1 | 0 | 13 | 0 | 0 | 0 | 16 | 2 | 3 | 2 | 10 -PIN | A_FSB<16> | 64 | 0 | N/A | 143 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 0 | 16 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 1 | 7 | 8 | 0 | 7 | 0 | 13 | 0 | 0 | 2 | 3 | 2 | 10 | 2 | 14 -PIN | A_FSB<15> | 64 | 0 | N/A | 23 | 4 | 0 | 11 | 0 | 2 | 3 | 7 | 0 | 12 -PIN | A_FSB<13> | 64 | 0 | N/A | 21 | 4 | 0 | 11 | 0 | 2 | 5 | 1 | 0 | 12 -PIN | A_FSB<23> | 64 | 0 | N/A | 123 | 48 | 2 | 13 | 2 | 9 | 0 | 15 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 3 | 17 | 0 | 2 | 3 | 5 | 3 | 1 | 2 | 8 | 7 | 15 | 2 | 11 | 2 | 17 | 0 | 14 | 3 | 9 | 3 | 13 | 3 | 11 | 2 | 4 | 0 | 8 | 3 | 16 | 4 | 1 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 12 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 12 | 2 | 16 | 3 | 4 | 3 | 7 | 3 | 10 | 3 | 12 | 3 | 14 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 153 | 47 | 2 | 13 | 2 | 9 | 0 | 14 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 3 | 17 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 12 | 3 | 8 | 3 | 13 | 3 | 11 | 2 | 4 | 0 | 7 | 3 | 16 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 7 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 3 | 3 | 6 | 3 | 7 | 3 | 10 | 3 | 12 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 134 | 46 | 2 | 13 | 2 | 7 | 0 | 14 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 3 | 16 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 12 | 3 | 8 | 3 | 13 | 3 | 11 | 2 | 4 | 7 | 11 | 0 | 7 | 3 | 12 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 3 | 3 | 7 | 7 | 1 | 7 | 2 | 7 | 17 -PIN | A_FSB<14> | 64 | 0 | N/A | 22 | 4 | 0 | 11 | 0 | 2 | 5 | 5 | 0 | 12 -PIN | A_FSB<12> | 64 | 0 | N/A | 103 | 4 | 0 | 11 | 0 | 2 | 7 | 5 | 0 | 12 -PIN | A_FSB<11> | 64 | 0 | N/A | 18 | 4 | 0 | 11 | 0 | 2 | 3 | 0 | 0 | 12 -PIN | A_FSB<10> | 64 | 0 | N/A | 109 | 4 | 0 | 11 | 0 | 2 | 1 | 13 | 0 | 12 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 10 | 1 | 15 | 6 | 13 | 6 | 12 | 1 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 2 | 3 | 1 | 7 | 1 | 17 | 6 | 6 | 1 | 9 | 4 | 15 | 1 | 8 | 1 | 5 | 6 | 7 | 1 | 4 | 6 | 2 | 1 | 14 | 6 | 4 | 6 | 0 | 1 | 6 | 6 | 9 | 6 | 3 | 1 | 16 | 6 | 16 | 1 | 10 | 1 | 11 -PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 48 | 7 | 7 | 2 | 13 | 2 | 9 | 7 | 10 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 5 | 17 | 5 | 15 | 7 | 13 | 0 | 9 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 5 | 13 | 2 | 2 | 2 | 6 | 5 | 12 | 3 | 17 | 0 | 2 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 11 | 2 | 5 | 5 | 2 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 0 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 | 5 | 9 | 2 | 17 | 5 | 10 | 0 | 16 | 3 | 9 | 3 | 13 | 0 | 8 | 5 | 3 | 5 | 8 -PIN | nAS_FSB | 64 | 0 | N/A | 117 | 52 | 7 | 7 | 2 | 13 | 2 | 9 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 7 | 13 | 0 | 5 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 2 | 6 | 3 | 17 | 0 | 0 | 3 | 5 | 3 | 2 | 2 | 8 | 7 | 14 | 2 | 11 | 5 | 0 | 2 | 17 | 0 | 14 | 5 | 3 | 3 | 9 | 3 | 13 | 5 | 11 | 5 | 14 | 5 | 16 | 3 | 11 | 2 | 4 | 0 | 8 | 3 | 14 | 2 | 14 | 3 | 16 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 12 | 7 | 1 | 7 | 2 -PIN | nWE_FSB | 64 | 0 | N/A | 105 | 21 | 2 | 12 | 0 | 15 | 2 | 15 | 0 | 11 | 2 | 1 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 14 | 5 | 11 | 5 | 14 | 3 | 11 | 0 | 7 | 2 | 14 | 3 | 16 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 12 | 0 | 16 | 2 | 10 -PIN | nBERR_IOB | 64 | 0 | N/A | 92 | 5 | 1 | 15 | 1 | 6 | 1 | 5 | 1 | 14 | 1 | 16 -PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 10 | 1 | 15 | 1 | 12 | 1 | 9 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 | 1 | 14 | 1 | 16 | 4 | 16 -PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 6 | 3 | 6 | 2 | 7 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 114 | 3 | 5 | 17 | 5 | 13 | 2 | 14 -PIN | nUDS_FSB | 64 | 0 | N/A | 30 | 3 | 5 | 15 | 5 | 12 | 3 | 16 -PIN | E_IOB | 64 | 0 | N/A | 72 | 1 | 4 | 16 -PIN | nVPA_IOB | 64 | 0 | N/A | 44 | 2 | 6 | 0 | 4 | 15 -PIN | nDTACK_IOB | 64 | 0 | N/A | 77 | 2 | 6 | 9 | 6 | 7 -PIN | A_FSB<1> | 64 | 0 | N/A | 26 | 1 | 1 | 13 -PIN | A_FSB<2> | 64 | 0 | N/A | 28 | 1 | 3 | 0 -PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 7 | 5 -PIN | A_FSB<4> | 64 | 0 | N/A | 88 | 1 | 5 | 1 -PIN | A_FSB<5> | 64 | 0 | N/A | 37 | 1 | 5 | 5 -PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 3 | 7 -PIN | A_FSB<7> | 64 | 0 | N/A | 155 | 1 | 7 | 8 -PIN | A_FSB<8> | 64 | 0 | N/A | 82 | 1 | 6 | 1 -PIN | nVMA_IOB | 536871040 | 0 | N/A | 47 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 19 +PIN | A_FSB<9> | 64 | 0 | N/A | 19 | 9 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 10 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 16 | 0 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 103 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 8 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 134 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 147 | 21 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 0 | 2 | 3 | 2 | 13 | 2 | 9 | 3 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 +PIN | A_FSB<13> | 64 | 0 | N/A | 136 | 20 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 3 | 2 | 3 | 2 | 13 | 2 | 9 | 4 | 7 | 0 | 9 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 +PIN | A_FSB<12> | 64 | 0 | N/A | 146 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 3 | 7 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 140 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 4 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 0 | 17 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 3 | 2 +PIN | A_FSB<23> | 64 | 0 | N/A | 105 | 52 | 6 | 9 | 3 | 9 | 2 | 2 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 10 | 0 | 0 | 3 | 0 | 6 | 11 | 6 | 7 | 6 | 4 | 2 | 8 | 3 | 5 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 15 | 48 | 6 | 9 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 11 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 +PIN | A_FSB<21> | 64 | 0 | N/A | 109 | 45 | 6 | 8 | 3 | 8 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 9 | 0 | 0 | 2 | 17 | 3 | 16 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 11 | 2 | 4 | 7 | 5 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 9 | 2 | 13 | 2 | 14 | 2 | 15 | 3 | 6 | 3 | 7 | 3 | 10 | 6 | 13 | 6 | 15 +PIN | A_FSB<20> | 64 | 0 | N/A | 114 | 25 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 0 | 0 | 2 | 8 | 3 | 5 | 2 | 12 | 6 | 14 | 0 | 5 | 2 | 3 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 17 | 2 | 0 +PIN | A_FSB<19> | 64 | 0 | N/A | 117 | 22 | 2 | 17 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 3 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 6 | 14 | 7 | 1 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<18> | 64 | 0 | N/A | 123 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<17> | 64 | 0 | N/A | 155 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<16> | 64 | 0 | N/A | 153 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 9 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 11 | 6 | 5 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 14 | 4 | 17 | 5 | 12 | 5 | 10 | 4 | 15 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 2 | 13 | 4 | 10 | 4 | 0 | 5 | 0 | 4 | 13 | 1 | 0 | 4 | 12 | 4 | 6 | 5 | 2 | 7 | 16 | 1 | 2 | 1 | 13 | 1 | 4 | 1 | 1 | 4 | 8 | 5 | 3 | 1 | 3 | 1 | 16 | 7 | 14 | 1 | 10 | 1 | 11 +PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 3 | 2 | 6 | 9 | 1 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 5 | 15 | 5 | 13 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 14 | 5 | 7 | 2 | 16 | 1 | 17 | 5 | 6 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 8 | 2 | 11 | 4 | 9 | 6 | 0 | 6 | 15 | 2 | 3 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 5 | 2 | 17 | 6 | 13 | 5 | 4 | 2 | 7 | 2 | 12 | 2 | 5 | 3 | 13 | 6 | 16 | 2 | 10 | 2 | 6 | 5 | 1 +PIN | nAS_FSB | 64 | 0 | N/A | 21 | 50 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 5 | 8 | 0 | 14 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 2 | 6 | 3 | 13 | 6 | 16 | 0 | 5 | 0 | 10 | 5 | 11 | 5 | 14 | 3 | 14 | 2 | 4 | 5 | 5 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +PIN | nWE_FSB | 64 | 0 | N/A | 98 | 25 | 2 | 15 | 2 | 2 | 0 | 6 | 0 | 11 | 2 | 16 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 0 | 10 | 5 | 11 | 3 | 15 | 5 | 5 | 5 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 10 +PIN | nBERR_IOB | 64 | 0 | N/A | 28 | 4 | 4 | 17 | 4 | 8 | 4 | 6 | 4 | 16 +PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 9 | 4 | 17 | 4 | 15 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 | 7 | 17 +PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 3 | 1 | 2 | 6 | 3 +PIN | nLDS_FSB | 64 | 0 | N/A | 37 | 3 | 5 | 15 | 5 | 7 | 5 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 82 | 3 | 5 | 13 | 5 | 6 | 5 | 8 +PIN | E_IOB | 64 | 0 | N/A | 77 | 1 | 7 | 17 +PIN | nVPA_IOB | 64 | 0 | N/A | 96 | 2 | 1 | 1 | 1 | 0 +PIN | nDTACK_IOB | 64 | 0 | N/A | 88 | 2 | 5 | 3 | 5 | 2 +PIN | A_FSB<1> | 64 | 0 | N/A | 144 | 1 | 3 | 2 +PIN | A_FSB<2> | 64 | 0 | N/A | 24 | 1 | 4 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 3 | 7 +PIN | A_FSB<4> | 64 | 0 | N/A | 92 | 1 | 4 | 7 +PIN | A_FSB<5> | 64 | 0 | N/A | 23 | 1 | 3 | 11 +PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 6 | 1 +PIN | A_FSB<7> | 64 | 0 | N/A | 30 | 1 | 6 | 5 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 56 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 18 PIN | nAS_IOB | 536871040 | 0 | N/A | 17 -PIN | nCAS | 536871040 | 0 | N/A | 130 -PIN | nDinLE | 536871040 | 0 | N/A | 98 -PIN | nDoutOE | 536871040 | 0 | N/A | 15 +PIN | nCAS | 536871040 | 0 | N/A | 118 +PIN | nDinLE | 536871040 | 0 | N/A | 116 +PIN | nDoutOE | 536871040 | 0 | N/A | 13 PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 -PIN | RA<0> | 536871040 | 0 | N/A | 13 -PIN | RA<1> | 536871040 | 0 | N/A | 141 -PIN | RA<2> | 536871040 | 0 | N/A | 104 -PIN | RA<3> | 536871040 | 0 | N/A | 118 -PIN | RA<4> | 536871040 | 0 | N/A | 125 -PIN | RA<5> | 536871040 | 0 | N/A | 145 -PIN | RA<6> | 536871040 | 0 | N/A | 107 -PIN | RA<7> | 536871040 | 0 | N/A | 79 -PIN | RA<8> | 536871040 | 0 | N/A | 86 -PIN | RA<9> | 536871040 | 0 | N/A | 90 -PIN | nOE | 536871040 | 0 | N/A | 135 -PIN | nROMWE | 536871040 | 0 | N/A | 139 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 140 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 97 -PIN | nDinOE | 536871040 | 0 | N/A | 149 +PIN | RA<0> | 536871040 | 0 | N/A | 141 +PIN | RA<1> | 536871040 | 0 | N/A | 58 +PIN | RA<2> | 536871040 | 0 | N/A | 145 +PIN | RA<3> | 536871040 | 0 | N/A | 62 +PIN | RA<4> | 536871040 | 0 | N/A | 149 +PIN | RA<5> | 536871040 | 0 | N/A | 79 +PIN | RA<6> | 536871040 | 0 | N/A | 86 +PIN | RA<7> | 536871040 | 0 | N/A | 90 +PIN | RA<8> | 536871040 | 0 | N/A | 95 +PIN | RA<9> | 536871040 | 0 | N/A | 97 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 22 +PIN | nOE | 536871040 | 0 | N/A | 26 +PIN | nROMWE | 536871040 | 0 | N/A | 135 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 139 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 107 +PIN | nDinOE | 536871040 | 0 | N/A | 157 PIN | nRAS | 536871040 | 0 | N/A | 36 -PIN | RA<11> | 536871040 | 0 | N/A | 95 -PIN | RA<10> | 536871040 | 0 | N/A | 112 -PIN | nADoutLE1 | 536871040 | 0 | N/A | 24 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 102 -PIN | nRAMLWE | 536871040 | 0 | N/A | 56 -PIN | nRAMUWE | 536871040 | 0 | N/A | 157 -PIN | nROMCS | 536871040 | 0 | N/A | 58 -PIN | nAoutOE | 536871040 | 0 | N/A | 116 +PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<10> | 536871040 | 0 | N/A | 104 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 47 +PIN | nRAMLWE | 536871040 | 0 | N/A | 125 +PIN | nRAMUWE | 536871040 | 0 | N/A | 130 +PIN | nROMCS | 536871040 | 0 | N/A | 68 +PIN | nAoutOE | 536871040 | 0 | N/A | 112 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga index f338a87..d0fe8bb 100644 --- a/cpld/XC95144XL/MXSE.nga +++ b/cpld/XC95144XL/MXSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4f:c=#Gy^s(D@Y$300*A773-E{Xu*FNW&166(C98:0(B~[x%KMR!45;'N9=85+OqV{ LHQ,;88"Ij6*@pUz'MKP#:;9%]50(33?-77890:<=>51123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=81296=672;2;<$??;)1345<489:1?=>?)338,667839;<=4<012*$-5289089=>53423-46< :?;<7=:0180156.l2"?<=4;018745/682"?9=>54423>1378 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<4<:980>?<42237?75=AGZ^X7OPFR@?66<66;198?5=539137=50;195?5<339017=3>;1?5?5:039667=2;;1>8?5:539627=20;1>5<592:436>06:2<8>68;2:466>01:2<<>6871:51?2653>;97:<=;611?2253><97:9=;6:1?2?631804=<48008<74<0<804;<48608<<7<1;12;;128?56539:27=>?;12585NLD2N3>GKM9G%H55NLD2N*A7?3HFN<@ K2e9BH@6J&M8T\YQ?d:COA5K)L;U[XR?8;@NF4H(V12KGI=C!RDE6?DJB8Z=0MAK?S/F;?DJB8Z$O=55NLD2P*A403HFN<^ ^9:COA5U)ZLM?7LBJ129BJA?25;b>/RB@<=FWOYI0<<1d:C\BVD;9;4%\LJ6;@]EWG:6;7n0MRH\B=30:+VFL01JSK]M<06=`>GXNZH7=90!P@F:?DYA[K6:93j4A^DPF9726'ZJH45N_GQA8409l2KTJ^L317<-TDB>3HUM_O2>7?f8EZ@TJ5;<2#^ND89B[CUE4825h6OPFR@?5=8)XHN27LQISC>2=;b/RB@==FWOYI0<0l;@]EWG:66'ZJH45N_GQA8769l2KTJ^L321<-TDB>3HUM_O2=1?f8EZ@TJ58:2#^ND89B[CUE4;85h6OPFR@?678)XHNi7LQISC>17?7912KTJ^L322GXNZH7?3 _AE:8EZ@TJ5>5o6OPFR@?0;(WIM20MRH\B=7=g>GXNZH793 _AE:8EZ@TJ5<5o6OPFR@?2;(WIM20MRH\B=5=g>GXNZH7;3 _AE:8EZ@TJ525o6OPFR@?<;(WIM20MRH\B=;=g>GXNZH753 _AEf8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSA9;1JSK]M_0;\MGSA,K_M<<:4A^DPFZ7>W@H^J)LZF1,G50=FWOYIS<7PICWE GSA8'N:=85N_GQA[4?XAK_M(O[I0/F151=FWOYIS<7PICWE GSA8'[h7LQISC]2[LDRNm1JSK]M_32\MGSAl2KTJ^LP20]JFP@6:2KTJ^LP20]JFP@#J\L;=95N_GQA[77XAK_M(O[I0/F21>GXNZHT>GXNZHT>GXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\6LJRS]JKGU)MQZT\YQ?139AAWTXAFHX"HV__QV\54cDR[VCEJB<4CD18GIM>3JF@>UQFOCf8GIM5PVCDN#^ND69@HNYA[Kh0OAEPFR@-TDB03JF@SDAMb:AOOZOHJ'ZJHn5LLJ]JKGYNJ\L27NABMHVWAA1Bbdhsj7HV_15]-A]Va3LR[=9Q!EYR\TQY7n2OS\<:P.DZS[URX9o1NT]?;_/G[TZVSW;l0IU^>4^,F\UYW\V9m7HV_15]-A]VXX]U?=o5JXQ37[+C_XV~xe`|jn`of`Zhb|Vk0IU^>5^,F\U`5^,F\UYW\V>:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[Tc=BPY;=S#KWP^RW[5`6^,F\UYW\V?:n6KWP04\*@^WW}ybakaalgg[kcsWh1NT]?8_/G[Tc=BPY;7^,F\UYW\V?:n6KWP05\*@^WW}ybakaalgg[kcsWh1NT]?7_/G[Tc=BPY;3S#KWP^RW[5`C_X83T"HV__QV\4c=BPY;2S#KWP^RW[4`9^,F\UYs{`gyicobee]maqYf3LR[>=Q!EYR2f>C_X;:T"HV__uqjiwciidooSck{_`9F\U46W'OS\k5JXQ02[+C_XVZ_S=h4EYR15Z(BPYU[XR?i;DZS64Y)MQZT\YQ=f:G[T77X&LR[S]ZP3g9F\U46W'OS\R^[_53a?@^W:8U%IU^Ptrknv`hfelnTbhzPa:G[T74X&LR[j6KWP30\*@^WWY^T;Q!EYR\TQY7n2OS\?8P.DZS[URX9o1NT]<9_/G[TZVSW;l0IU^=6^,F\UYW\V9m7HV_27]-A]VXX]U?=o5JXQ05[+C_XV~xe`|jn`of`Zhb|Vk0IU^=7^,F\U`:Q!EYR\TQY6n2OS\?9P.DZS[URX:o1NT]<8_/G[TZVSW:l0IU^=7^,F\UYW\V>:n6KWP35\*@^WW}ybakaalgg[kcsWh1NT]<7_/G[Tc=BPY83S#KWP^RW[5`W'OS\R^[_1d8A]V51V$NT]Q_T^3e?@^W:0U%IU^PPU]1b>C_X;3T"HV__QV\7c=BPY82S#KWP^RW[1`C_X::T"HV__QV\7c=BPY9;S#KWP^RW[1`>P.DZS[qune{oem`kk_ogw[d=BPY9:S#KWPg9F\U56W'OS\R^[_1d8A]V49V$NT]Q_T^3e?@^W;8U%IU^PPU]1b>C_X:;T"HV__QV\7c=BPY9:S#KWP^RW[1`?P.DZS[qune{oem`kk_ogw[d=BPY99S#KWPg9F\U55W'OS\R^[_1d8A]V4:V$NT]Q_T^3e?@^W;;U%IU^PPU]1b>C_X:8T"HV__QV\7c=BPY99S#KWP^RW[17e3LR[??Q!EYR\pvojzldjahjPndv\e>C_X:9T"HV_f:G[T65X&LR[S]ZP0g9F\U54W'OS\R^[_0d8A]V4;V$NT]Q_T^0e?@^W;:U%IU^PPU]0b>C_X:9T"HV__QV\0c=BPY98S#KWP^RW[07e3LR[?>Q!EYR\pvojzldjahjPndv\e>C_X:>T"HV_f:G[T62X&LR[S]ZP0g9F\U53W'OS\R^[_0d8A]V4C_X:>T"HV__QV\04dC_X:?T"HV__QV\14db:G[T60X&LR[Sy}fmsgmehccWgoS85J_HMA=>CXAFH%\LJn;D]JKGYNJ\L<7HjheWco=>@DDB'BCR?6;GAOO(OHW:30JNBD-HM\0==AKEABCR>7;GAOOLIX:;1MJ55ISS/JKZ343Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M8n7DAMESP-@7YW\V:n7DAMESP-@7YW\V;n7DAMESP-@7YW\V8n7DAMESP-@7YW\V9n7DAMESP-@7YW\V>n7DAMESP-@7YW\V?n7DAMESP-@7YW\V<37DAMESP-Ud=NGKOY^#\JG058MJDBZ[UdclrdcwaaYg{U?7DAC069JKI6)KL<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>6:KLH5(V02CD@= ]EF78MJTBY>1BC_K^.E:8MJTBY'N:46G@RDS-@7bOHZL[%IU^j;HMQAT(BPYU[XR>j;HMQAT(BPYU[XR?j;HMQAT(BPYU[XRa:KLV@W)MQZTx~gbrdlbi`bXfl~T;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KT_N@VBg=UIV;TCO[I.Ea8VDY6WFH^J#J>c:PB[4YHJ\L%H?j4R@]2[JDRN'OS\<<4R@]2[JDRN'OS\R^[_131?WGX9VEIYK JXQ]SPZ76:2XJSTFW;UDNXHm;SC\6ZIE]O$Oo6\N_3]LFP@)L8i0^LQ=_N@VB+B5981YMRb:PB[7YHJ\L%]45]A^1\KGSAj2XJS>Q@BTD-@f=UIV9TCO[I.E3`?WGX;VEIYK K2038VDY4WFH^J#J=_QV\447;SC\0ZIE]O$O>R^[_0`8VDY3WFH^J#_6;SC\1ZIE]Oh0^LQ:_N@VB+Bd3[KT9RAMUG,G5f=UIV?TCO[I.E025>TFW>2:PB[0YHJ\L%IU^PPU]257=UIV?TCO[I.DZS[URX:8o0^LQ:_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ:_N@VB+W>3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3a?WGX>VEIYK ^9:PB[2YHJ\Li7_OP7^MAQC(Ck2XJS:Q@BTD-@4eTFW1UDNXH!Db9QEZ>XGK_M"I?l;SC\WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_SRnele~xLo4ThofkprF&Mh0XdcjotvB*A7e3]cfib{{A/F155=SadodyyO!D3]SPZ6682^bahazt@,G6ZVSW8;;7YgbenwwE+B5WY^T>n5[ilglqqG)MQZ:=6ZfmdmvpD(BPYU[XR>>1:Vji`ir|H$NT]Q_T^32`>Rnele~xL JXQ]wwlkumgkfiiQaeu]b?QojmfM#_l;UknajssI'XNK<74ThofkprFW}yban|jaugg[}iuW11_e`k`uu@b?QojmfN#Jm;UknajssJ'N:n6ZfmdmvpG(C:8:0XdcjotvA*A4XX]U;==5[ilglqqD)L;U[XR?>0:Vji`ir|K$O>R^[_3c8Plkbg|~I"\m4ThofkprE&[OL=45[ilglqqDX|zcfokntdf\|jtXk2_XI_QYIRKAH@?<^@O\SYW_E29Ugf3<_`nd?m4XB;6512_D$;;!Y_>01"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT13QniSDjm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd37Ujg_Uknaa=_laU_e`kLhdah`>^c`V^bahJjl`{24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf=1QI_Ak;blw+WcaKg~7<3h4cov,V``Df}6;2#J>0:amp*TbnJd0=0!D033?fhs'[omOcz30?,G6c=df}%YikMat=2=*T763jd#_kiCov?4;(UMN;n7n`{/SgeGkr;87UdclrdcwaaYg{Uo7n`{/SgeGkr;97l0ocz Rdd@jq:66'N:<6mat.PfbFhs484%H1:+B682iex"\jfBlw878)L8;;7n`{/SgeGkr;:7$O>k5lnu-QacEi|585"\?>;blw+WcaKg~7>3 ]EF3f?fhs'[omOcz32?]wwlkdzlkiiQwos]g?fhs'[omOcz33?d8gkr(ZllHby2<>/F24>ei|&XnjN`{<2<-@4773jd#_kiCov?7;(C:o1hby!]egAmp959&X;:7n`{/SgeGkr;;7$YIJ?j;blw+WcaKg~7?3Q{sho`v`gsmmUscQk;blw+WcaKg~783h4cov,V``Df}6?2#J>0:amp*TbnJd090!D033?fhs'[omOcz34?,G6c=df}%YikMat=6=*T763jd#_kiCov?0;(UMN;n7n`{/SgeGkr;<7UdclrdcwaaYg{Uo7n`{/SgeGkr;=7l0ocz Rdd@jq:26'N:<6mat.PfbFhs4<4%H5:+B682iex"\jfBlw838)L8;;7n`{/SgeGkr;>7$O>/G[TZVSW9;=7n`{/SgeGkr;>7$NT]Q_T^322>ei|&XnjN`{<7<-A]VXX]U9=;5lnu-QacEi|5<5"HV__QV\7745:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:16'[:=6mat.PfbFhs4?4%^HI>e:amp*TbnJd0;0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0:0i;blw+WcaKg~7;3 K119`jq)UmoIex191.E324>ei|&XnjN`{<6<-@7763jd#_kiCov?3;(BPY;=7n`{/SgeGkr;?7$NT]Q_T^222>ei|&XnjN`{<6<-A]VXX]U:=;5lnu-QacEi|5=5"HV__QV\6404:+C_XVZ_S><=;blw+WcaKg~7;3 JXQ]wwlkumgkfiiQaeu]e?fhs'[omOcz37?,R54=df}%YikMat=5=*WC@9l1hby!]egAmp919W}yban|jaugg[}iuWk1hby!]egFlj`bj;bq,jJpbzekr<#Ji;bq,jJpbzekr<#J>f:ap+kIqm{fju= K2068gv)iGoy`lw?.E0\TQY79=1h"`@vdpoe|6)L;U[XR?j;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F1a>et'gE}ibny0,R55=d{&dDzh|cax3-V@A33lnli55isc-BWw`f3oyi#L]}f/Fa?cue'HYyj#J>b:dpf*GTzo$O>l5isc-BWw`)Yj1mo!NSsd-V@Af3oyi#OK]R1p`?cue'KOY^=|!De9ewg)EM[X;~#J>d:dpf*DBZ[:y"I<>2:dpf*DBZ[:y"IR^[_0a8bvd(JLXY= ^e:dpf*DBZ[;y"_KHb:dpf*Tbims;~i5isc-Qadb~8{$Oi6h|b.Pfea7z'N:i6h|b.Pfea7z'N9=>5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY69:1mo!]e`fz4w(C:VZ_S?j4fr`,V`gcq9x%]k5isc-Qadb~8{$YIJl4fr`,V`gcq8xo7k}m/Sgb`|7u&Mo0j~l Rdcg}4t)L8o0j~l Rdcg}4t)L;;87k}m/Sgb`|7u&M8T\YQ?129ewg)Umhnr= K2^RW[4743oyi#_kndx3q*A4XX]U9=>5isc-Qadb~9{$O>R^[_230?cue'[ojht?}.E0\TQY3l2lxn"\jae{2v+Wa3oyi#_kndx3q*WC@j2lxn"\jae{1va=a{k%Yiljv2s,Ga>`tj&Xnmiw=r/F2a>`tj&Xnmiw=r/F156=a{k%Yiljv2s,G6ZVSW9;87k}m/Sgb`|4u&M8T\YQ>129ewg)Umhnr> K2^RW[7743oyi#_kndx0q*A4XX]U8=>5isc-Qadb~:{$O>R^[_5f8bvd(Zlkou?|!Qg9ewg)Umhnr> ]EF58bvd(^YK27k}m/WRB*Agi;gqa+SVF&M8T\YQ>f:dpf*PWI'N9S]ZP2g9ewg)QXH$O>R^[_2d8bvd(^YK%H?Q_T^6e?cue'_ZJ"I`tj&\[M#J=_QV\2<=a{k%]\L ^b:dpf*PWI'XNK<64fr`,RUGX|zcfokntdf\|jtXj2cdn`!MESPqba=ngkg$NH\]rg,Ga>ohjd%II_\}f/F2a>ohjd%II_\}f/F1`>ohjd%II_\}f/Se?liee&HN^_|i.SGDf>ohjd%II_\}re9jkgk(JLXY~ Ke:klfh)EM[Xy~#J>e:klfh)EM[Xy~#J=d:klfh)EM[Xy~#_i;hmai*DBZ[xy"_KHc:klfh)C\HI@~kk4in`n+ARFKBxm"Ih4in`n+ARFKBxm"I?i;hmai*BSIJAyj#J=e:klfh)C\HI@~k ^119jkgk(L]KHGh!RDE`?liee&N_MNE}rd9jkgk(L]KHG|!Dg9jkgk(L]KHG|!D0d8mjdj'M^JOF|}.E0f?liee&N_MNE}r/S24>ohjd%OXLMDrs,QABgohjd%N_1>1.E0\TQY69;1bcoc ER>3:+B5WY^T>n5foco,AV:76'[n7damm.GP858)ZLM:n6g`bl-FW969W}yban|jaugg[}iuWh1bcoc ER>2:f=ngkg$I^2>>/Fg?liee&OX0<0!D0f8mjdj'LY7=3 K2008mjdj'LY7=3 K2^RW[5753`eia"K\<0<-@7YW\V;:>6g`bl-FW979&M8T\YQ=c:klfh)B[5;5"\k4in`n+@U;97$YIJo4in`n+@U;:7i0eblb/DQ?6;(Cl2cdn`!JS=0=*A7c3`eia"K\<3<-@7753`eia"K\<3<-@7YW\V::>6g`bl-FW949&M8T\YQ>139jkgk(MZ692#J=_QV\6440:+Bc3`eia"K\<2<-@4b2:klfh)B[595"Iohjd%N_1=1.Pg8mjdj'LY7?3 ]EF3a?liee&OX0>0Ptrkngwcf|lnTtb|Pa:klfh)B[5>5o6g`bl-FW929&Mn0eblb/DQ?0;(C9m1bcoc ER>7:+B59;1bcoc ER>7:+B5WY^T<<<4in`n+@U;<7$O>R^[_031?liee&OX090!D3]SPZ46:2cdn`!JS=6=*A4XX]U8o6g`bl-FW929&Xo0eblb/DQ?0;(UMN;i7damm.GP818X|zcfokntdf\|jtXi2cdn`!JT@AHg>ohjd%NXLMD.Ef8mjdj'L^JOF K1e9jkgk(M]KHG#J=e:klfh)B\HI@"HV_129jkgk(M]KHG#KWP^RW[5743`eia"K[ABI-A]VXX]U:=>5foco,AQGDC'OS\R^[_330?liee&O_MNE!EYR\TQY49:1bcoc EUC@O+C_XVZ_S9?i;hmai*CSIJA%IU^Ptrknv`hfelnTbhzPc:klfh)B\HI@"\k4in`n+@RFKB$YIJ94in`n+@t>3`eia"K}.Ec8mjdj'Lx%H5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;>7damm.KLWZ@TEVLMh; JXQ05?liee&CD_RH\M^DE`3(BPYUdc}eocnaaYim}U:?6g`bl-JKVYA[DUMJi8!Q078mjdj'@EXSK]B_GDg2+TBO8;0eblb/HMP[CUJWOLo;<=4in`n+LITWOYFSKHk7/F20>ohjd%BC^QISL]EBa1)L8;?7damm.KLWZ@TEVLMh: K2078mjdj'@EXSK]B_GDg3+C_X8k0eblb/HMP[CUJWOLo;#KWP^RW[57f3`eia"G@S^DPIZ@Al>$NT]Q_T^32e>ohjd%BC^QISL]EBa1)MQZT\YQ=1`9jkgk(AFYTJ^CPFGf4*@^WWY^T?ohjd%BC^QISL]EBa1)Y8?0eblb/HMP[CUJWOLo;#\JG038mjdj'@EXSK]B_GDg<45n5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"G@ABVq`>ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BCX?!D0a8mjdt'@E^=#J=b:klfv)NG\;%]i5focq,MJS6&[OLm6g`br-Okdb6k2cdn~!Co`f2*Abohjz%Gclj>.E0\TQY49;1bco} Lncg5+B5WY^T8<<4in`p+Iifl8$O>R^[_431?lie{&Fdmi?!D3]SPZ0d3`ei"B`ae3-U`=ngky$@bok1/PFC<=ngky$Ccmjb:klfv)Hfjo%Hn5focq,Kkeb&M;h7dams.Mmg`(C:8;0ebl|/Nl`a+B5WY^T<5focq,TVYA[DUMJi?!D33;?lie{&ZXSK]B_GDg5+B5WY^T<<64in`p+UUXNZGTJKj>.E0\TQY69;1bco} PR]EWHYANm;%]<:4in`p+UUXNZGTJKj>.SGD55=ngky$\^QISL]EBa46:2cdn~!_S^DPIZ@Al;$O=>5focq,TVYA[DUMJiohjz%[_RH\M^DE`7(C:VZ_S8:klfv)W[VLXARHId3,G6ZVSW<;97dams.RP[CUJWOLo>#_>4:klfv)W[VLXARHId3,QAB463`ei"^\_GQN[C@c:V~xe`m}e`vf`Z~hzV30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98<0bLJ`uuNF5ZIE]O$O>R^[_335?kGCg|~GI_N@VB+B5WY^T9<84n@FlqqJB9VEIYK K2^RW[3773gKOcxzCE0]LFP@)Y880bLJ`uuNF5ZIE]O$YIJ94n@Q\BVDe3gKXSK]M.QCGg>hF[VLXNRGMUG58jDUXAFHi7cO\_HMA*UGCk2dJ_RG@B^MAQCc0:lBWZOHJVEIYK ]EF58jDir|FOi7cO`uuMF*UGCm2dJcxz@E^MAQC"Vm2dJcxz@E^MAQC(Cn2dJcxz@E^MAQC(C9o1eMb{{OD]LFP@)L;;;7cO`uuMF[JDRN'OS\<;4n@mvpJCXGK_M"HV__QV\443;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7753gHN^_QISC]LFP@)MQZ:;6`MESP\BVDXGK_M"HV__QV\4417:lAAWTXNZHTCO[I.DZS[URX:8=0bOK]R^DPFZIE]O$NT]Q_T^123>hEM[XTJ^LPOCWE*@^WWY^T8?=4nCGQVZ@TJVEIYK JXQ]wwlkumgkfiiQaeu]24>hEM[XTJ^LPOCWE*T?hDIZUDNXH!Qe9mGDUXGK_M"_KHa:lGPDELWOYIi6`KT@AH[CUE&YKOj6`KT@AH[CUEWFH^J7:lGPDELWOYISBLZF/F1[URX:8=0bIZNCJ]EWGYHJ\L%H?Q_T^123>hC\HI@SK]M_N@VB+B5WY^T8<94nEVBGNYA[KUDNXH!D3]SPZ36?2dOXLMD_GQA[JDRN'N9S]ZP6038jARFKBUM_OQ@BTD-U450bIgaOD]LFP@)MQZT\YQ=219m@lhHMVEIYK JXQ]wwlkumgkfiiQaeu]g?kBnfFOTCO[I.P58jAir|FOi7cJ`uuMF*UGCk2dOcxz@E^MAQCc>4:lGkprHMVEIYK K2^RW[4773gNdyyAJ_N@VB+C_X8?0bIaztNG\KGSA&LR[S]ZP0078jAir|FOTCO[I.DZS[URX9;;0bIaztNG\KGSA&LR[Sy}fmsgmehccWgoSh5aDnwwK@YHJ\L%]<>4nEmvpJCXGK_M"_KH8:lO@VYA[Ki0bAJ\_GQA*UGCl2dGH^QISC]JFP@?3gFO_RG@Bb9mHAUXAFH%\LJk;oNGWZOHJVEIYKh4nMFP[LIEWFH^J#J>0:lO@VYNGKUDNXH!D033?kJC[VCDNRAMUG,G643k5aLEQ\MJDXGK_M"\?>;oNGWZOHJVEIYK ]EF18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*Ad1e^LCZWD`8jWGJ]^O%\LJl;oPBIPQBWFH^Jh5aR@OVS@YHJ\L%Hk5aR@OVS@YHJ\L%H0:lQEHSPMVEIYK JXQ36?kTFE\]NSBLZF/G[TZVSW9;>7c\NMTUF[JDRN'OS\R^[_002?kTFE\]NSBLZF/G[TZrtadxnblcjd^lfpZc;oPBWZIE]O$O>R^[_032?kTF[VEIYK K2^RW[7b<;4nTFP[LIEWFH^J#J=_QV\443hQEHUBCO _AEf8jSKFW@EISBLZFg9mRHGXAFHTCO[I$Pd8jSKFW@EISBLZF/F24>hQEHUBCOQ@BTD-@4773g\FMRG@B^MAQC(C:8;0b[CN_HMA[JDRN'OS\<84nWOB[LIEWFH^J#KWP^RW[5713g\FMRG@B^MAQC(BPYU[XR?>6:lUIDYNGKUDNXH!EYR\TQY59?1eZ@OPIN@\KGSA&LR[S]ZP3048jSKFW@EISBLZF/G[TZVSW=897cXBA^KLFZIE]O$NT]Q{shoqakgjmmUeiyQi;oTNEZOHJVEIYK ^109mRHGXAFHTCO[I.SGD5`=i^DKTEBLPOCWE[qunejxnmykk_ymq[==i^YKTJ^Ll;oTSEZ@TJ'ZJHi5aVQC\BVDXGK_Mj6`YP@]EWGYHJ\L%H<>4nWRB[CUEWFH^J#J>119mRUGXNZHTCO[I.E0e?kPWIVLXNRAMUG,R<>hQXHUBCOm4nWRB[LIE&YKOh6`YP@]JKGYNJ\L<7cYJ_GQAf>hPMVLXN#^NDb9mS@YA[KUBNXH;;ocna2=imnookh94pnqf`bc>3{kf#OOLTs`8vdk(JHI_~#Jl;scn+GGD\{$O=n5}al-AEFRu&M8i7ob/CC@Pw(Vl2xja"LNCUp-V@A?3{kf#B`le`9qeh)Hfjo%Ho5}al-Ljfc)L8h0~lc Ooaf*A4682xja"Aacd,G6ZVSW9;;7ob/Nl`a+B5WY^T=<>4r`o,Kkeb&M8T\YQ=119qeh)Hfjo%H?Q_T^1`?wgj'Fdhi#KWP038vdk(Ggin"HV__QV\447tfe&EeohQ{sho`v`gsmmUscQm;scn+WGJL@Y:h6|nm.PBIAOT9'Nn7ob/SCN@LU6&M;n7ob/SCN@LU6&M8:?6|nm.PBIAOT9'N9S]ZP0018vdk(ZHGOE^?!D3]SPZ76;2xja"\NMEKP5+B5WY^T><=4r`o,VDKCAZ;%H?Q_T^127>tfe&XJAIG\1/F1[URX<890~lc R@OGMV7)L;U[XR;>3:pbi*TFEMCX=#J=_QV\2a=uid%YM@JFS0,Rb>tfe&XJAIG\1/PFCg=uid%YM@JFS3f8vdk(ZHGOE^tfe&XJAIG\2/F1[URX;890~lc R@OGMV4)L;U[XR:>3:pbi*TFEMCX>#J=_QV\1a=uid%YM@JFS3,Rb>tfe&XJAIG\2/PFC4etfe&XJA_kndxg8vdk(ZHGYiljv.Ed8vdk(ZHGYiljv.E3e?wgj'[KF^hoky/F151=uid%YM@\jae{-@7YW\V::86|nm.PBIWcflp$O>R^[_037?wgj'[KF^hoky/F1[URX:8>0~lc R@OQadb~&M8T\YQ<159qeh)UIDXnmiw!D3]SPZ26<2xja"\NMSgb`|(C:VZ_S8?;;scn+WGJZlkou#J=_QV\2`=uid%YM@\jae{-U46tfe&XXSK]B_GDg547.E023>tfe&XXSK]B_GDg5+B5WY^T<<94r`o,VVYA[DUMJi?!D3]SPZ76?2xja"\\_GQN[C@c9'N9S]ZP2058vdk(ZZUM_@QIFe3-@7YW\V9:?6|nm.PP[CUJWOLo=#KWP0:8vdk(ZZUM_@QIFe3-A]VXX]U;=55}al-QWZ@TEVLMh< JXQ]SPZ75<2xja"\\_GQN[C@c9'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj>.P30?wgj'[YTJ^CPFGf2*WC@:91ym`!]S^DPIZ@Al8UdclrdcwaaYg{Um7ob/SQ\BVKXNOn9=<5}al-QWZ@TEVLMh? K139qeh)U[VLXARHId3,G5441ym`!]S^DPIZ@Al;$O>R^[_230?wgj'[YTJ^CPFGf1*@^W911ym`!]S^DPIZ@Al;$NT]Q_T^22<>tfe&XXSK]B_GDg6+C_XVZ_S<<;;scn+WUXNZGTJKj=.DZS[qune{oem`kk_ogw[47tfe&XXSK]B_GDg7+B6:2xja"\\_GQN[C@c;'N:=?5}al-QWZ@TEVLMh> K2058vdk(ZZUM_@QIFe1-@7YW\V::;6|nm.PP[CUJWOLo?#J=_QV\5417:pbi*TTWOYFSKHk3/F1[URX;8=0~lc RR]EWHYANm9%H?Q_T^623>tfe&XXSK]B_GDg7+B5WY^T9<94r`o,VVYA[DUMJi=!D3]SPZ0692xja"\\_GQN[C@c;'[:?6|nm.PP[CUJWOLo?#\JG328vdk(ZZUM_@QIFe1\pvojk{ojxhjPxnp\1>pfd|ovLM~827`8DE~6n<0M6?4>{Rfg>7?42k0:?98:5182=7c?sg82h7?4n3;f>3=#:0<1>4:4}Rf`>7?42k0:?98:5182=7c?3m;m97>51;3xWab=:091n7?<47764?7>:l20zY9>:182>4<318qXhi4=928a>453>;t3e3?61d62=3:wE<7e:&130<6n=1Qh?4={3c95ch6no0n76g78;29 76721o0b7>5$323>=cfg85?>o0m3:1(?>?:9g8j4`a2>10e:m50;&145d=h6no0;76g7c;29 76721o0b5$323>=cfg8a?>o0<3:1(?>?:9g8j4`a2j10e:<50;&1455<5<h1<75f54c94?=n!47832n7c?if;35?>o>93:1(?>?:9g8j4`a28?07d7<:18'656=0l1e=kh51598m<3=83.9<=47e:l2bc<6;21b5:4?:%034?>b3g;mj7?=;:k:=?6=,;:;65k4n0de>47<3`3i6=4+2129<`=i9ol1==54i8f94?"58903i6`>fg8e?>i2<;0;66a;b483>>i30<0;66a;ae83>>i3k10;66a;dd83>>i3mo0;66a:3683>>i58:0;6)h6no0i76a=0`83>!47838;;6`>fg8b?>i58j0;6)h6no0276a=0d83>!47838;;6`>fg8;?>i5990;6)h6no0<76a=1383>!47838;;6`>fg85?>i59=0;6)h6no0>76a=1783>!47838;;6`>fg87?>i5910;6)h6no0876a=1`83>!47838;;6`>fg81?>i3nh0;66a:2e83>>i2=:0;66a;6b83>>i3l90;66a:1483>>i5810;6)h6no0;76a=0483>!47838;;6`>fg82?>i3?:0;66a;9b83>>i2880;66a:0`83>>i2:=0;66a;8g83>>df83:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>6d<,8ln6>l4;|``5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?13:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0d<,8ln68l4;|`a5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?=3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>04<,8ln68<4;|`be?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?;3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0g<,8ln68o4;|`b=?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?93:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0><,8ln6864;|`bN50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0n3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>00<,8ln6884;|`b3?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0l3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>74<,8ln6?<4;|`b2?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd013:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>76<,8ln6?>4;|`b0?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd>83:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>1d<,8ln69l4;|`a=?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?l3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0b<,8ln68j4;|`aN50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?j3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>06<,8ln68>4;|`a6?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0j3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>77<,8ln6??4;|`b1?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0?3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>16<,8ln69>4;|`b7?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0=3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>4b<,8ln6N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0;3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>12<,8ln69:4;|`b5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xdc>3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>7><,8ln6?64;|`2<6<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?773-?==7??;|~y>{e91:1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5119'137=991vqps4}cf;>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5289'5cc=:010qo?72;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:028 00628:0qpsr;|`23c<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7E<67:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:<6*:60824>{zut1vn96<:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81845+1gg90<=387>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96424<729q/>4651ga8L7>b3`;mo7>5$3;;>4`d3-;m>7:=;%3ea?2532wi98h50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>4:&624<6<2wvqp5rb5ca>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5389'5cc=;010qo:nc;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`ge?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`2<4<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7E<67:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:<6*:60824>{zut1vn8<=:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81:=5+1gg925=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96428483>7<729q/>465d09K6=c5$3;;>4`e3A82;6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6>2.>:<4>6:~yx=zj=oo6=4>:183!4>03;mo6F=8d9j5ce=83.9554>fb9'5c4=<>1/=kk54698yg2bm3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 00528>0(88>:068yx{z3th>?84?:083>5}#:021=km4H3:f?l7ak3:1(?77:0d`?!7a:38<7)?ie;04?>{e=:<1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:86*:60820>{zut1vn<9l:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81??5+1gg977=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96424<729q/>4651ga8L7>b3`;mo7>5$3;;>4`d3-;m>7i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>4:&624<6<2wvqp5rb5a5>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5329'5cc=;:10qo:l7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`;3?6=93:1=9oi0(07plm0;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`:6?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:0?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:2?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:e?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:g?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:a?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`606<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;0e?!7am38m76sm55094?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f1d1290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd30?0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj=kn6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th?o44?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:39n7)?ie;1f?>{e;0:>6*:60826>{zut1vn9ji:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o819:5+1gg912=oi7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7=l;%3ea?5d32wi8hh50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb41;>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5449'5cc=<<10qo;<7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`141<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;6e?!7am3>m76sm21194?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f76e290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd58m0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336;:a65e=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj;:m6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=

7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th9=<4?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:3>h7)?ie;6`?>{e:8:1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn??<:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o81945+1gg91<=7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7:<;%3ea?2432wi><:50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb334>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5359'5cc=;=10qo<>6;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`15<<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;15?!7am39=76sm20:94?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f77e290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd3nk0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336;:a0cg=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj<8n6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4==:1/=kk55298yg35l3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th>994?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:3??7)?ie;77?>{e=<91<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn98k:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o81?i5+1gg97a==o7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7;l;%3ea?3d32wi8i>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb435>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<52c9'5cc=:k10qo;>5;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`14<<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;1b?!7am39j76sm21:94?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f761290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd3?=0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj=3o6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=;o1/=kk53g98yg2>k3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:39;7)?ie;13?>{e=9;1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn8>m:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o819k5+1gg91c=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7=>;%3ea?5632wi9?:50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb5;3>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5499'5cc=<110qo:7f;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`600<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg33<3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<>26=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi98<50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?ei6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=51;294~"5110:j45G29g8k4`e290/>4651g`8?xd24?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e===1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f02?29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f02f29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<>i6=47:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`60f<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e==n1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8m4g1290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e2<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5199'137=911vqps4i0:b>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7?7;%755?7?3twvq6g>8c83>!4>03;3;6F=969U6{Wg3>7}#:981=5l4V05f>7}#=?81=<5+573954=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;f=#=?;1o6sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81o6*:608`?x{zu2c:4h4?:%0:{M0:g?7|^l:1>v*=0382<`=Q9>o1>v*:638`?!3193i0qpsr;h3;b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb46f>5<693:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>1:&624<692wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=981/9;?5109~yx{=:0c0?S70m38p(88=:038 00628;0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5f1`794?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=<519`8R41b2;q/9;<5109'137=981vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f02a290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9j;4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>4590o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<=31<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9;4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo::5;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi89o50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9:m:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=?h7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{?i7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{?j7>54;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi88>50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9;=:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=991/9;?5119~yx{<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5119'137=991vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi89m50;:94?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`634<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg30?3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5159'137=9=1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<==6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd2>h0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=?h1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f00c29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f00b290?6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627o0;644?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:058 00628=0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a126=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9;m50;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>;?4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?7;%755?7?3twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:m>4?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb450>5<693:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3g7>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6`d=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0f1?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:l<1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f7c?29086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1a<<7200;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5169'137=9>1vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7c029086=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{ekh0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63820>"2>80:86sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wiok4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zjj91<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zjj>1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~ff0=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:ag2<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~ff>=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thh57>58;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:0Z<9j:3y'134=991/9;?5119~yx{n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:9641i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?ef`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vnnl50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?7;%755?7?3twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&62447=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m;4?:%0:=:0c4?S70m38p(88=:b9'137=k2wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cag>5<4290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3a1?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f4e529086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>4:&624<6<2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9j;1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg7e>3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6<4?:1y'6<>=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gg=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gd=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2ff<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:=:0;7?S70m38p(88=:028 00628:0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=oj50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gc=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=oh50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5g>=8321<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9mk1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:i:4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?j6;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi=il50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81==5+573955=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2a6<7200;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96415Y16g96~"2>;0:<6*:60824>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964150z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570952=#=?;1=:5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528:0(88>:028yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5ab=8321<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:><1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9;i4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<73;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9=1/9;?5159~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3:1>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl=7683>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e47=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7m4$442>f=zutw0e<6m:18'6<>=91=0Z?7n:0yO64>e3_;f=#=?;1o6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:>i1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5f1`794?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l950;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k<7[?8e;0x 00528=0(88>:058yx{z3`;3m7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 0052j1/9;?5c:~yx=n91i1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519a8R41b2;q/9;<5c:&6248e83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60m1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7e:T23`<5s-?=>7m4$442>f=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>:750;694?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a62c=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:964>o6i<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:>l1<7750;2x 7??2>:0D?6j;h3;7d81!31:3;37);91;3;?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:6382<>"2>80:46sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:li1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9j84?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qof`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528:0(88>:028yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<682.>:<4>0:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;46<,<<:6<>4}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>k?50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:=:0c1?S70m38p(88=:058 00628=0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:ll1<7=50;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8i86=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=nl50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d9827>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=n850;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj8i36=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3`=?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:o:4?:283>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=n:50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f4c?29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9o:1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54>3:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd6mh0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9lh1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f4cc29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?je;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9l31<7=50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3:7>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6=b=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?;;%755?733twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f7>229086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e3:1=7>50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`1<=<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7>>290:=7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:058 00628=0qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>7:&624<6?2wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o60h0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+570952=#=?;1=:5r}|8m4>e290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<6?2.>:<4>7:~yx=n9h?1<7*=9982<2=O:0=0Z?7n:0yO64g23_;41<,<<:6<94}|~?l7f>3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e3=Q9>o1>v*:63823>"2>80:;6sr}|9j5d1=83.9554>869U6{Wg3>7}#:981=l94V05f>7}#=?81=:5+573952=zutw0e<6n:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:4o4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=?4;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb22a>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a747=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c131?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;9<1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f66?29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f66>29086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`04d<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb224>5<3290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::h6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::o6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::n6=49:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>>i:187>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f67529096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;831<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg56;3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?<:50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:;=6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c123?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb23b>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a774=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c12f?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;8i1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f67b29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=>f;292?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb203>5<0290;w)<68;53?M4?m2c:454?:%0:=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>?k:187>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f64429096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;;n1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg55<3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi??;50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:8<6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c11N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:826=49:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;46<,<<:6<>4}|~?l7f:3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7??;%755?773twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<682.>:<4>0:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:058 00628=0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>7:&624<6?2wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c11f?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<682.>:<4>0:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5119'137=991vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528:0(88>:028yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<682.>:<4>0:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5119'137=991vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<682.>:<4>0:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63823>"2>80:;6sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:058 00628=0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e3:1:7>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi??k50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`075<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm32094?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:986=4=1;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7??;%755?773twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<682.>:<4>0:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5119'137=991vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528:0(88>:028yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:<6*:60824>{zut1b=l850;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k=7[?8e;0x 00528:0(88>:028yx{z3`;j;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:<6*:60824>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+570955=#=?;1==5r}|8m4>d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<>4$442>46o60l0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4>0:&624<682wvqp5f19d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96<94$442>41;0:<6*:60824>{zut1b=4?50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283:7[?8e;0x 00528=0(88>:058yx{z3`;2>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61;1]=:k52z&627<6?2.>:<4>7:~yx=n9091<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51818R41b2;q/9;<5c:&6249483>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61<1]=:k52z&627>3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?66:T23`<5s-?=>7m4$442>f=zutw0e<78:18'6<>=91=0Z?7n:0yO64?03_;f=#=?;1o6sr}|9j5<>=83.9554>869U6{Wg3>7}#:981=464V05f>7}#=?81o6*:608`?x{zu2c:544?:%0:{M0:g?7|^l:1>v*=0382=<=Q9>o1>v*:638`?!3193i0qpsr;h3:e?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;a?S70m38p(88=:b9'137=k2wvqp5f18a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90i0Z<9j:3y'134=k2.>:<4l;|~y>o61m0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99e9U52c=:r.>:?4l;%755?em2\:;h4={%756?e<,<<:6n5r}|8m4g7290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l?50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d7<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8?94?:3394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528:0(88>:028yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:<6*:60824>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570955=#=?;1==5r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964$442>46;0:<6*:60824>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+570955=#=?;1==5r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1b=5m50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 00528:0(88>:028yx{z3`;3h7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60m1]=:k52z&627<682.>:<4>0:~yx=n91o1<7*=9982<2=O:0=0Z?7n:0yO64>b3_;46<,<<:6<>4}|~?l7?n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63823>"2>80:;6sr}|9j5<6=83.9554>869K6<1<^;3j6=:0;3?S70m38p(88=:028 00628:0qpsr;h3:5?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9909U52c=:r.>:?4>7:&624<6?2wvqp5f18094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7=;W34a?4|,<<96<94$442>41;2\:;h4={%756?e<,<<:6n5r}|8m4?2290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7:;W34a?4|,<<96n5+5739g>{zut1b=4850;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<0<^8=n6?u+5709g>"2>80h7psr}:k2=2<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5:5Y16g96~"2>;0h7);91;a8yx{z3`;247>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;:>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528327[?8e;0x 0052j1/9;?5c:~yx=n90k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518c8R41b2;q/9;<5c:&6249c83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61k1]=:k52z&627k3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6c:T23`<5s-?=>7m4$442>f=zutw0e<7k:18'6<>=91=0Z?7n:0yO64?c3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4k4V05f>7}#=?81o6*:608`?x{zu2c:m=4?:%0:{M0:g?7|^l:1>v*=0382e5=Q9>o1>v*:638`?!3193i0qpsr;h3b5?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb216>5<593:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=991/9;?5119~yx{=:0c0?S70m38p(88=:028 00628:0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m84?:%0:{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:028 00628:0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>0:&624<682wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=991/9;?5119~yx{=:0:a?S70m38p(88=:028 00628:0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63824>"2>80:<6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81==5+573955=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;41<,<<:6<94}|~?l7>83:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=5=Q9>o1>v*:63824>"2>80:<6sr}|9j5<7=83.9554>869K6<1<^;3j6=:0;2?S70m38p(88=:058 00628=0qpsr;h3:6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9939U52c=:r.>:?4>7:&624<6?2wvqp5f18194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9090Z<9j:3y'134=k2.>:<4l;|~y>o61<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9949U52c=:r.>:?4l;%755?e>2\:;h4={%756?e<,<<:6n5r}|8m4?0290/>4651958R7?f28qG>4m51zTf4?4|,;:96<78;W34a?4|,<<96n5+5739g>{zut1b=4650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<><^8=n6?u+5709g>"2>80h7psr}:k2=<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:545Y16g96~"2>;0h7);91;a8yx{z3`;2m7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283i7[?8e;0x 0052j1/9;?5c:~yx=n90i1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518a8R41b2;q/9;<5c:&6249e83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61m1]=:k52z&627m3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6e:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g73_;f=#=?;1o6sr}|9j5d7=83.9554>869U6{Wg3>7}#:981=l?4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`073<72;;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g23_;46<,<<:6<>4}|~?l7f>3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e3=Q9>o1>v*:63824>"2>80:<6sr}|9j5d1=83.9554>869K6<1<^;3j6=:0c4?S70m38p(88=:028 00628:0qpsr;h3;e?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4o4?:%0:o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+570955=#=?;1==5r}|8m4>b290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:;6*:60823>{zut1b=4>50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283;7[?8e;0x 00528:0(88>:028yx{z3`;2=7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6181]=:k52z&627<6?2.>:<4>7:~yx=n9081<7*=9982<2=O:0=0Z?7n:0yO64?53_;41<,<<:6<94}|~?l7>;3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?63:T23`<5s-?=>7m4$442>f=zutw0e<7::18'6<>=91=0Z?7n:0yO64?23_;f=#=?;1o6sr}|9j5<0=83.9554>869U6{Wg3>7}#:981=484V05f>7}#=?81o6*:608`?x{zu2c:5:4?:%0:{M0:g?7|^l:1>v*=0382=2=Q9>o1>v*:638`?!3193i0qpsr;h3:7d81!31:3i0(88>:b9~yx{=:0;:?S70m38p(88=:b9'137=k2wvqp5f18c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90k0Z<9j:3y'134=k2.>:<4l;|~y>o61k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99c9U52c=:r.>:?4l;%755?ek2\:;h4={%756?e<,<<:6n5r}|8m4?c290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7k;W34a?4|,<<96n5+5739g>{zut1b=4k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2e5<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m=5Y16g96~"2>;0h7);91;a8yx{z3`;j=7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:9:6=49:183!4>03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;e?S70m38p(88=:b9'137=k2wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c172?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=95+573951=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f622290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`07d<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a76d=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb21g>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb21f>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb21e>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`005<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964150z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:>96=49:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?9=50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5c:&6249g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g43_;f=#=?;1o6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`756<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg2613:1:7>50z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f17229086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e3:1=7>50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`752<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb537>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f17f29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<;=1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;37?!3193;?7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?>;4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=;i6=4<:183!4>038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi84H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=0;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=1;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=2;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8?=50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{987>54;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:594?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb53g>5N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052j1/9;?5c:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5c:&624a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f14?29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<::1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th??94?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:<3;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi8?750;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn95<7s-8247<6f:J1<`=h9oh1<7*=9982bg=9o7>54;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8?j50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=e;294>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<;l1<7650;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn95<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>?50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:6382<>"2>80:46sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>;50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf91347}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo:<7;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi8>750;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{8:7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;75;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb4;2>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1<6=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c7;2?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6<2<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm59;94?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c7;f?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?8;%755?703twvq6g>a783>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7m4$442>f=zutw0e<6m:18'6<>=91=0Z?7n:0yO64>e3_;f=#=?;1o6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=1i1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o6i<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>7:&624<6?2wvqp5f1`494?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h<0Z<9j:3y'134=9>1/9;?5169~yx{o60h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98`9U52c=:r.>:?4l;%755?ed290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<2o6=4>1;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<>4$442>46n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528=0(88>:058yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=<519a8R41b2;q/9;<5169'137=9>1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;7e;2954<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528=0(88>:058yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=<519a8R41b2;q/9;<5169'137=9>1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;78;293?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?n:4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:l4;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb5a0>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;b983>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9ll:180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9lk:1825?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:<6*:60824>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570955=#=?;1==5r}|8m4g4290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:<6*:60824>{zut1b=l;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+570952=#=?;1=:5r}|8m4g1290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e2<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7?8;%755?703twvq6g>8c83>!4>03;3;6F=969U6{Wg3>7}#:981=5l4V05f>7}#=?81=:5+573952=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;41<,<<:6<94}|~?l7?l3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7d:T23`<5s-?=>7m4$442>f=zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7f`<72;;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g23_;46<,<<:6<>4}|~?l7f>3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7??;%755?773twvq6g>a683>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i>1]=:k52z&627<682.>:<4>0:~yx=n91k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519c8R41b2;q/9;<5119'137=991vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 00528:0(88>:028yx{z3`;3o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:<6*:60824>{zut1b=5k50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 00528=0(88>:058yx{z3`;3j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627<682.>:<4>0:~yx=n90:1<7*=9982<2=O:0=0Z?7n:0yO64?73_;41<,<<:6<94}|~?l7>93:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=4=Q9>o1>v*:63823>"2>80:;6sr}|9j5<4=83.9554>869U6{Wg3>7}#:981=4<4V05f>7}#=?81=:5+573952=zutw0e<7<:18'6<>=91=0Z?7n:0yO64?43_;f=#=?;1o6sr}|9j5<3=83.9554>869U6{Wg3>7}#:981=4;4V05f>7}#=?81o6*:608`?x{zu2c:5;4?:%0:{M0:g?7|^l:1>v*=0382=3=Q9>o1>v*:638`?!3193i0qpsr;h3:3?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;;?S70m38p(88=:b9'137=k2wvqp5f18;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9030Z<9j:3y'134=k2.>:<4l;|~y>o61h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99`9U52c=:r.>:?4l;%755?ej2\:;h4={%756?e<,<<:6n5r}|8m4?d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7l;W34a?4|,<<96n5+5739g>{zut1b=4j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5h5Y16g96~"2>;0h7);91;a8yx{z3`;j<7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c2>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k:7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7??;%755?773twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<682.>:<4>0:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5119'137=991vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528:0(88>:028yx{z3`;j97>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:<6*:60824>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+570955=#=?;1==5r}|8m4>f290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=<519`8R41b2;q/9;<5119'137=991vqps4i0:`>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7c:T23`<5s-?=>7??;%755?773twvq6g>8e83>!4>03;3;6F=969U6{Wg3>7}#:981=5j4V05f>7}#=?81==5+573955=zutw0e<6j:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:4k4?:%0:82\:;h4={%756?703-?==7?8;|~y>o6180;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<7<^8=n6?u+570952=#=?;1=:5r}|8m4?5290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7=;W34a?4|,<<96<94$442>41;2\:;h4={%756?e<,<<:6n5r}|8m4?2290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7:;W34a?4|,<<96n5+5739g>{zut1b=4850;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<0<^8=n6?u+5709g>"2>80h7psr}:k2=2<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5:5Y16g96~"2>;0h7);91;a8yx{z3`;247>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;:>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528327[?8e;0x 0052j1/9;?5c:~yx=n90k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518c8R41b2;q/9;<5c:&6249c83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61k1]=:k52z&627k3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6c:T23`<5s-?=>7m4$442>f=zutw0e<7k:18'6<>=91=0Z?7n:0yO64?c3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4k4V05f>7}#=?81o6*:608`?x{zu2c:m=4?:%0:{M0:g?7|^l:1>v*=0382e5=Q9>o1>v*:638`?!3193i0qpsr;h3b5?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5a3>5<593:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=991/9;?5119~yx{=:0c0?S70m38p(88=:028 00628:0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m84?:%0:{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:028 00628:0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>0:&624<682wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=991/9;?5119~yx{=:0:a?S70m38p(88=:028 00628:0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63824>"2>80:<6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81==5+573955=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;41<,<<:6<94}|~?l7>83:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=5=Q9>o1>v*:63824>"2>80:<6sr}|9j5<7=83.9554>869K6<1<^;3j6=:0;2?S70m38p(88=:058 00628=0qpsr;h3:6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9939U52c=:r.>:?4>7:&624<6?2wvqp5f18194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9090Z<9j:3y'134=9>1/9;?5169~yx{6=4+28:95=1<^;3j6=:0;6?S70m38p(88=:b9'137=k2wvqp5f18494?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90<0Z<9j:3y'134=k2.>:<4l;|~y>o61>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9969U52c=:r.>:?4l;%755?e02\:;h4={%756?e<,<<:6n5r}|8m4?>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<76;W34a?4|,<<96n5+5739g>{zut1b=4o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=g<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5o5Y16g96~"2>;0h7);91;a8yx{z3`;2o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283o7[?8e;0x 0052j1/9;?5c:~yx=n90o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518g8R41b2;q/9;<5c:&624a183>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i91]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n1:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1e62909=7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g43_;46<,<<:6<>4}|~?l7f<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7??;%755?773twvq6g>a483>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<682.>:<4>0:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;46<,<<:6<>4}|~?l7f?3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:63824>"2>80:<6sr}|9j5=g=83.9554>869U6{Wg3>7}#:981=5o4V05f>7}#=?81==5+573955=zutw0e<6m:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4n4?:%0:o60l0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+570955=#=?;1==5r}|8m4>a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96<94$442>41;0:<6*:60824>{zut1b=4?50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283:7[?8e;0x 00528=0(88>:058yx{z3`;2>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61;1]=:k52z&627<6?2.>:<4>7:~yx=n9091<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51818R41b2;q/9;<5169'137=9>1vqps4i0;6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283>7[?8e;0x 0052j1/9;?5c:~yx=n90<1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51848R41b2;q/9;<5c:&6249683>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61>1]=:k52z&62703:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?68:T23`<5s-?=>7m4$442>f=zutw0e<76:18'6<>=91=0Z?7n:0yO64?>3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4o4V05f>7}#=?81o6*:608`?x{zu2c:5o4?:%0:{M0:g?7|^l:1>v*=0382=g=Q9>o1>v*:638`?!3193i0qpsr;h3:g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;g?S70m38p(88=:b9'137=k2wvqp5f18g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90o0Z<9j:3y'134=k2.>:<4l;|~y>o6i90;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a19U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9ln:18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4;e>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1dd=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c7b4?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6e4<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm5`194?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4c;>5<0290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8o6:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8o=:18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4`a>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1f5=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=ki1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;md;295?6=8r.9554=9g9K6=cnk4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>o=4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1f7=83>1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>o?4?:483>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e<<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1b=l;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8m::18:>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=911/9;?5199~yx{<2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>3:1?7>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8ln:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6<2.>:<4>4:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo;nd;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi9o>50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9o?50;;94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m84?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4`0>5<693:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:<6*:60824>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528:0(88>:028yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;41<,<<:6<94}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63823>"2>80:;6sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:058 00628=0qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>7:&624<6?2wvqp5f1`594?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h=0Z<9j:3y'134=k2.>:<4l;|~y>o60h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98`9U52c=:r.>:?4l;%755?ed290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=k?1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l850;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k=7[?8e;0x 0052820(88>:0:8yx{z3`;j;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 0052j1/9;?5c:~yx=n91h1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519`8R41b2;q/9;<5c:&6248b83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7d:T23`<5s-?=>7m4$442>f=zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6f2<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1fg=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=jh1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;lc;295?6=8r.9554=9g9K6=coh4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>ok4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>h=4?:483>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g53_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;k2;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4f0>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1a2=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9k4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:9b;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9=1/9;?5159~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb54b>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;6183>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn98;:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=<>6=49:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;46<,<<:6<>4}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8;850;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{=;7>51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=991/9;?5119~yx{=:0c1?S70m38p(88=:028 00628:0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>0:&624<682wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=991/9;?5119~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?703-?==7?8;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+570952=#=?;1=:5r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=<519c8R41b2;q/9;<5169'137=9>1vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 00528=0(88>:058yx{z3`;3o7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627<682.>:<4>0:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f10?290:=7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81==5+573955=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63824>"2>80:<6sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:058 00628=0qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>7:&624<6?2wvqp5f1`594?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>7:&624<6?2wvqp5f19a94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<>4$442>46b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?:?4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<<=1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9h4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=?36=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{>57>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3=k0;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9n4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`02=<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<:4$442>4250z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,7}Qll09w);90;f7?!4al3?=>6s+5e:95<=zut1b>:=50;&1==<5?:1]>4o52zN1=f<6s_;m=77143_;4><,<<:6<64}|O67}#=?:1>:=4$3dg>0053t.>h54>8:~y>o5>j0;6)<68;05g>P51h09wA<6c;3xR4`62;q/>=<527a8R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5>j1/>kj55708y!3c03;37psr;n3ef?6=,;336t$3;;>7?23A83i6g>8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd4>:0;694?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0c0?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{57;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;?31<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8;<4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj:038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi?;j50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=9f;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627j0;694?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1`f?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`0g0<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2a5>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`0g2<72<0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=l8;291?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:i26=4::183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7f2=83<1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>9l:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb257>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=86;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?:950;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`03<<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>9k:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;?7);91;37?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`0<0<72?0;6=u+28:95=2<@;2n7)?n8;3b?l7??3:1(?77:0:4?S4>i3;p@?7l:0yU5c7=:r.9869U52c=:r.>:?4l;%755?e=l:1]>4o51zN1=f<6s_;m=7a5<^8=n6?u+5709g>"2>80h7psr}:kg0?6=,;336i:4V3;b>7}K:0i1=vX>f081!47:3n?7[?8e;0x 0052l1/9;?5e:~yI4>j3>pZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:639~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55738y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=l:1/>kj55708y!3c03n0q[:=4k4:&1ba<2>;1v(8j7:0;8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;=87){#=m21=55r}|9j63e=83.9554=6b9U6{W3e5?4|,;:96?8l;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+572963e<,;lo688=;|&6`=<602wvq6a>fc83>!4>03;mn65rb25f>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c14b?6=93:1=9oh07pl<8083>1<729q/>465719K6=c=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2:1>5<2290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;41<,<<:6<94}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1;4?6=<3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>7>:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6<2.>:<4>4:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo=78;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi?5l50;694?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=7c;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>6k:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2:f>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{55;294~"5110:jl5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0h7);91;a8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:ih6=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?i?50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d98g?xP58809w[jj:3y'136=l=1/>kj55708y!3c03;27psr;h047?6=,;336?9<;W0:e?4|D;3h65Y16g96~"2>;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;047>"5nm0>:?5r$4f;>4>=:?i0Z?7n:3yO64?52zTga?4|,<<;6?8l;%0e`?31:2w/9i65199~yx=h9oh1<7*=9982bg=53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8oh4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e;jl1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?i<50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:j<:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=k4;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1gg?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6ba290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`0`<<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7ag=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb2fa>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6bc290?6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>kl:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?h<50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:o86=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:o>6=46:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?h850;;94?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e<<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1fN50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5199'137=911vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?7;%755?7?3twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6c>29026=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;lk1<7850;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:?d;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb531>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;0d83>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3c3>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6d0=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?;;%755?733twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f7g629086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`1e6<7280;6=u+28:95c?<@;2n7b?ib;29 7??28li76sm2b194?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1g<<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<:4$442>4250z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,7}Qll09w);90;f7?!4al3?=>6s+5e:95<=zut1b>:=50;&1==<5?:1]>4o52zN1=f<6s_;m=77143_;4><,<<:6<64}|O67}#=?:1>:=4$3dg>0053t.>h54>8:~y>o5>j0;6)<68;05g>P51h09wA<6c;3xR4`62;q/>=<527a8R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5>j1/>kj55708y!3c03;37psr;n3ef?6=,;336t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7e2290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c0`2?6=>3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3ab>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6fc=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e:jh1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;ih6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4dl3:1;7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c0g4?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052820(88>:0:8yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>i=50;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th85?4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=66;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb2;f>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl<9283>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247::180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>78:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7<>=83>1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8544?:583>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1:e?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:3i6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>l?:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?lj50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:kn6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>kk:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo=je;297?6=8r.9554=949K6=c>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7``=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb2d2>5<4290;w)<68;53?M4?m2c:454?:%0:1/9;?5169~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e1<729q/>465719K6=co6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>4150z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=?>1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;95;295?6=8r.9554=9g9K6=c:;4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5d?=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f4gf29086=4?{%0:=2B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:mo4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e9hn1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9ho1<7950;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?nf;293?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96415Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5g6=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?m1;29=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9k81<7750;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:mn4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:h=1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9mi4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi>l650;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?o6:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=:0da?S70m38p(88=:b9'137=k2wvqp5rb3`7>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6g5=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0bb?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1f5<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm2c394?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6g3=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e:k<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;h<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4e03:187>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1ff<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg4d:3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5159'137=9=1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;i:6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd5jm0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:ko1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f7da290>6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9h:4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=:0da?S70m38p(88=:b9'137=k2wvqp5rb3g0>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6`4=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0g=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1`<<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm2ec94?>=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:;6*:60823>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570952=#=?;1=:5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41o6i:0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3f`>5<1290;w)<68;53?M4?m2c:454?:%0:o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6ab=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?k?:186>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?4h50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:o?:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=n1;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c046?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb34e>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo<80;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e;h<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:k<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg5f03:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>m?:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?o750;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:hi6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>4150z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?oj50;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1aa?6==3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;kk1<7;50;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{;:7>56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e<981<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=:86=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg27<3:1?7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a051=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f16?29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`74d<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb51`>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a01>=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c60`?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<:o1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f12729086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=>:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f12229086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`703<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>h50;:94?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c4;>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl92;297?6=8r.9554=949K6=c>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a26<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm6483>2<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f30=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi:h4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj?k1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj?h1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f3e=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2`<<72?0;6=u+28:95=2<@;2n7)?n8;3b?l7??3:1(?77:0:4?S4>i3;p@?7l:0yU5c7=:r.9869U52c=:r.>:?4l;%755?e=l:1]>4o51zN1=f<6s_;m=7a5<^8=n6?u+5709g>"2>80h7psr}:kg0?6=,;336i:4V3;b>7}K:0i1=vX>f081!47:3n?7[?8e;0x 0052l1/9;?5e:~yI4>j3>pZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:639~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55738y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=l:1/>kj55708y!3c03n0q[:=4k4:&1ba<2>;1v(8j7:0;8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;=87){#=m21=55r}|9j63e=83.9554=6b9U6{W3e5?4|,;:96?8l;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+572963e<,;lo688=;|&6`=<602wvq6a>fc83>!4>03;mn65rb0ag>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3`a?6=93:1=9oh07pl>d183>6<729q/>465719K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?ed083>6<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?k3;2954<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m;4?:%0:o60h0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+57095==#=?;1=55r}|8m4>e290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2;0:46*:6082<>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+57095==#=?;1=55r}|8m4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:h94?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:=6*:60825>{zut1b=l:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052820(88>:0:8yx{z3`;j97>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<602.>:<4>8:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;4><,<<:6<64}|~?l7f?3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n7:T23`<5s-?=>7?7;%755?7?3twvq6g>8`83>!4>03;3;6F=969U6{Wg3>7}#:981=5o4V05f>7}#=?81=55+57395==zutw0e<6m:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4n4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81=55+57395==zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2`0<728;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:038 00628;0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;:7);91;32?x{zu2c:m>4?:%0:=:0c7?S70m38p(88=:038 00628;0qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:=6*:60825>{zut1b=5o50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 0052820(88>:0:8yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=<519a8R41b2;q/9;<5109'137=981vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052820(88>:0:8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3g2?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528;0(88>:038yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5109'137=981vqps4i0c6>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?7;%755?7?3twvq6g>a783>!4>03;3;6F=969U6{Wg3>7}#:981=l84V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4l4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869U6{Wg3>7}#:981=5l4V05f>7}#=?81=55+57395==zutw0e<6l:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81=55+57395==zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=i950;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9jh4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=?3;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi>kh50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>>?:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;==1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th88n4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj:>36=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd44?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f62c29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;<81<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg53m3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c167?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f63?290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`011<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a703=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb275>5<4290;w)<68;53?M4?m2c:454?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c16a?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb27a>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=:c;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?o?50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:l=:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=m3;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1ee?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2d4>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=i8;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?kl50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:hl:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=id;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<=36=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi95:50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d98g?xP58809w[jj:3y'136=l=1/>kj55708y!3c03;27psr;h047?6=,;336?9<;W0:e?4|D;3h65Y16g96~"2>;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;047>"5nm0>:?5r$4f;>4>=:?i0Z?7n:3yO64?52zTga?4|,<<;6?8l;%0e`?31:2w/9i65199~yx=h9oh1<7*=9982bg=53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>;l4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e=>i1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=>n1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=>o1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb45e>5<4290;w)<68;53?M4?m2c:454?:%0:1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{54;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4:2>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1=4=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c74f?6=03:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c1?S70m38p(88=:b9'137=k2wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=k2.>:<4l;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4l;%755?e:3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<3n6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd21:0;6>4?:1y'6<>=:0?0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn87;:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{57;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964113:1;7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi94o50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c7:f?6=?3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6=f<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m?4?:%0:1/9;?5169~yx{o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn87::18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5:4>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0=d=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f1>?29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`7=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?4n4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:46*:6082<>{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9oi:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo:m0;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi8o<50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{hm7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9mi:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5a`>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:ld;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8h>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6ff?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5g1>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:j4;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8h;50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6f7?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7a2<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:444?:%0:=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1c?290<6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=55+57395==zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;0h7);91;a8yx{z3th?j44?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=l96=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{m?7>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3n<0;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1`1290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{m;7>56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6e0?6=<3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8:>:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{?l4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9>l50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj<9o6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;41<,<<:6<94}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9>h50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a16e=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;d8 0062o1vqps4}c02`?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`15`<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm23294?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;8:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn??i:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<=3;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb304>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a67c=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:;?1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f74129086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f74?290<6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c01e?6=03:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<602.>:<4>8:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;4><,<<:6<64}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>?l50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb30`>5<>290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9j5d3=83.9554>869U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f74c290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9>k4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<<5;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:g9'137=n2wvqp5rb313>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c005?6=93:1=9oh07pl=3283>6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e::>1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>><50;194?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?=9:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638e?!3193l0qpsr;|`172<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a66>=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb31b>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<<9;297?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c077?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f75b29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`104<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>9<50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb367>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a61g=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th98;4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e:=21<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb36:>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f72029086=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<9647;0:46*:6082<>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<602.>:<4>8:~yx=n9h?1<7*=9982<2=O:0=0Z?7n:0yO64g23_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<;c;29=?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb36g>5<>290;w)<68;53?M4?m2c:454?:%0:o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a61c=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>8>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;d8 0062o1vqps4}c065?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`117<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm24694?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;?>6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?;<:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<:7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb37g>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:a60>=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f73>290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c06f?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th99n4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`121<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?`<,<<:6k5r}|8yg42n3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62790;6<4?:1y'6<>=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:?91<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb342>5<4290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c051?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f70e29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=n2.>:<4i;|~y>{e:?<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;<<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4113:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`12=<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ce=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{mh7>53;294~"51109585G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>467>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<986=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81j6*:608e?x{zu2wi9>>50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c705?6=93:1=9oh07pl:3383>6<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8;::181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638e?!3193l0qpsr;|`613<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:183!4>0382j6F=8d9l5cd=83.9554>fc98yg3203:1?7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c646?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f10a29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`734<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5f1>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0a>=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{o?7>53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?h94?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0;7?S70m38p(88=:028 00628:0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{o;7>56;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63824>"2>80:<6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7`0<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0a?=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ag=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:964>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<729q/>465719K6=c;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ae=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9<950;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c714?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f07?29086=4?{%0:=2B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>=44?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e=8h1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi94H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8?k:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570955=#=?;1==5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5169'137=9>1vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e2<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cce>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:aef<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:aea<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76smad83>6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`a7?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fg1=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<51o1C>5k4o0da>5<#:021=kl4;|`a2?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>467>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj?l1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?4<4?:783>5}#:021=5:4H3:f?!7f03;i7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj===6=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{<;7>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3?00;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?;n4?:583>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c64`?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj==n6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c64=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c1?S70m38p(88=:b9'137=k2wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=k2.>:<4l;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4l;%755?em3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=k96=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8l750;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4i;%755?`6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624:180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9o<:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570954=#=?;1=<5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6b0?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=5o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:n6;2954<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4l;%755?e7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<:<6=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9=750;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4i;%755?`6<729q/>4652878L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f062290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c732?6=>3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=981/9;?5109~yx{=:0c1?S70m38p(88=:038 00628;0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>1:&624<692wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=981/9;?5109~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2;0:46*:6082<>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+57095==#=?;1=55r}|8m4>d290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`655<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg36<3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052o1/9;?5f:~yx=zj<:o6=4<:183!4>038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi9=h50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a147=83;:6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=5o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+57095==#=?;1=55r}|8m4>e290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96<64$442>4>o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+57095==#=?;1=55r}|8m4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>=?4?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g43_;4><,<<:6<64}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:0:8 0062820qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>8:&624<602wvqp5f1`594?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>8:&624<602wvqp5f19a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91i0Z<9j:3y'134=911/9;?5199~yx{o60l0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8?<:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;=6;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb40`>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:a171=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd2:h0;684?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9?l50;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>4150z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{297>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn97m:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5f:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5;0>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:64;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:67;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi84650;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>8:&624<602wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?et$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62729096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=n2.>:<4i;|~y>{e83:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627n2B94h5`1g`94?"5110:jo54}c194?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f0<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb783>3<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c594?1=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528:0(88>:028yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi47>51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=991/9;?5119~yx{=:0c1?S70m38p(88=:028 00628:0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m94?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?773-?==7??;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+570952=#=?;1=:5r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2;0h7);91;a8yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7<72>0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+5709g>"2>80h7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0h7);91;a8yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cg1>5<0290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:5k4?:%0:o6i:0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{em:0;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:038 00628;0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<9647d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zjl>1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8m4g1290/>4651958R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=5o50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528;0(88>:038yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f`3=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528;0(88>:038yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5199'137=911vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{em?0;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g33_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:0:8 0062820qpsr;h3b3?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4l4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:038 00628;0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thn47>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vnh750;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cgb>5<2290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;4><,<<:6<64}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>8:&624<602wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbda94?>=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f`b=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570954=#=?;1=<5r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=55+57395==zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7b:T23`<5s-?=>7m4$442>f=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;f=#=?;1o6sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81o6*:608`?x{zu2c:4h4?:%0:{M0:g?7|^l:1>v*=0382<`=Q9>o1>v*:638`?!3193i0qpsr;h3;b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbdg94?0=83:p(?77:0db?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4l;%755?e8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{en90;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528?0(88>:078yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;36?!3193;>7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thm=7>55;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fc4=83?1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:ab1<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:=:0c0?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{6=48:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fc0=83=1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbg594?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`eN50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570950=#=?;1=85r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<;4$442>43290?6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cdb>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:6382<>"2>80:46sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qohm:186>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{enj0;684?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;4><,<<:6<64}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wijh4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{eno0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`244<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo??2;29=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:=6*:60825>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8:86=47:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a552=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:038 00628;0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e0483>3<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5c:&6249g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f46129096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e99=1<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9921<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9931<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<>n:186>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c33f?6==3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<>l:185>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`24a<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg77m3:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e0g83>7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c324?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528;0(88>:038yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5109'137=981vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<692.>:<4>1:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;4><,<<:6<64}|~?l7?i3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:0:8 0062820qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e<4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570954=#=?;1=<5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:9647;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>1:&624<692wvqp5f1`794?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h?0Z<9j:3y'134=981/9;?5109~yx{2\:;h4={%756?763-?==7?>;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>1:&624<692wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=981/9;?5109~yx{=:0:a?S70m38p(88=:038 00628;0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81=<5+573954=zutw0e<6i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5=4?:%0:92\:;h4={%756?7?3-?==7?7;|~y>o61;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<4<^8=n6?u+57095==#=?;1=55r}|8m4?4290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7<;W34a?4|,<<96n5+5739g>{zut1b=4;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<3<^8=n6?u+5709g>"2>80h7psr}:k2=3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5;5Y16g96~"2>;0h7);91;a8yx{z3`;2;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528337[?8e;0x 0052j1/9;?5c:~yx=n9031<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518;8R41b2;q/9;<5c:&6249`83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61h1]=:k52z&627j3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6b:T23`<5s-?=>7m4$442>f=zutw0e<7l:18'6<>=91=0Z?7n:0yO64?d3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4j4V05f>7}#=?81o6*:608`?x{zu2c:5h4?:%0:{M0:g?7|^l:1>v*=0382=`=Q9>o1>v*:638`?!3193i0qpsr;h3b4?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c2?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9881<7<>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{=:0c7?S70m38p(88=:038 00628;0qpsr;h3b1?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:m;4?:%0:=:0c4?S70m38p(88=:038 00628;0qpsr;h3;e?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4o4?:%0:;|~y>o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+570954=#=?;1=<5r}|8m4>b290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=<519d8R41b2;q/9;<5199'137=911vqps4i0;3>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?60:T23`<5s-?=>7?>;%755?763twvq6g>9083>!4>03;3;6F=969U6{Wg3>7}#:981=4?4V05f>7}#=?81=55+57395==zutw0e<7=:18'6<>=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:5>4?:%0:{M0:g?7|^l:1>v*=0382=6=Q9>o1>v*:638`?!3193i0qpsr;h3:1?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;5?S70m38p(88=:b9'137=k2wvqp5f18594?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90=0Z<9j:3y'134=k2.>:<4l;|~y>o6110;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9999U52c=:r.>:?4l;%755?e12\:;h4={%756?e<,<<:6n5r}|8m4?f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7n;W34a?4|,<<96n5+5739g>{zut1b=4l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=f<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5n5Y16g96~"2>;0h7);91;a8yx{z3`;2h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283n7[?8e;0x 0052j1/9;?5c:~yx=n9h:1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`28R41b2;q/9;<5c:&624a083>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i81]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?>3;2964<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6g>a583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<692.>:<4>1:~yx=n9h?1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?>;%755?763twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?7b:T23`<5s-?=>7?>;%755?763twvq6g>8b83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627<692.>:<4>1:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5109'137=981vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 00528;0(88>:038yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=5<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51828R41b2;q/9;<5109'137=981vqps4i0;2>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?61:T23`<5s-?=>7?7;%755?7?3twvq6g>9383>!4>03;3;6F=969U6{Wg3>7}#:981=4<4V05f>7}#=?81=55+57395==zutw0e<7<:18'6<>=91=0Z?7n:0yO64?43_;f=#=?;1o6sr}|9j5<3=83.9554>869U6{Wg3>7}#:981=4;4V05f>7}#=?81o6*:608`?x{zu2c:5;4?:%0:{M0:g?7|^l:1>v*=0382=3=Q9>o1>v*:638`?!3193i0qpsr;h3:3?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;;?S70m38p(88=:b9'137=k2wvqp5f18;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9030Z<9j:3y'134=k2.>:<4l;|~y>o61h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99`9U52c=:r.>:?4l;%755?ej2\:;h4={%756?e<,<<:6n5r}|8m4?d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7l;W34a?4|,<<96n5+5739g>{zut1b=4j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5h5Y16g96~"2>;0h7);91;a8yx{z3`;j<7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c2>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k:7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<692.>:<4>1:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528;0(88>:038yx{z3`;j97>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`48R41b2;q/9;<5109'137=981vqps4i0c4>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n7:T23`<5s-?=>7?>;%755?763twvq6g>8`83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60h1]=:k52z&627<692.>:<4>1:~yx=n91h1<7*=9982<2=O:0=0Z?7n:0yO64>e3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=b=83.9554>869K6<1<^;3j6=:0:g?S70m38p(88=:038 00628;0qpsr;h3;a?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4>1:&624<692wvqp5f19d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91l0Z<9j:3y'134=911/9;?5199~yx{82\:;h4={%756?763-?==7?>;|~y>o6180;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<7<^8=n6?u+57095==#=?;1=55r}|8m4?5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5>5Y16g96~"2>;0h7);91;a8yx{z3`;297>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;5>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283=7[?8e;0x 0052j1/9;?5c:~yx=n90=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51858R41b2;q/9;<5c:&6249983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6111]=:k52z&62713:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?69:T23`<5s-?=>7m4$442>f=zutw0e<7n:18'6<>=91=0Z?7n:0yO64?f3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4l4V05f>7}#=?81o6*:608`?x{zu2c:5n4?:%0:{M0:g?7|^l:1>v*=0382=f=Q9>o1>v*:638`?!3193i0qpsr;h3:`?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;f?S70m38p(88=:b9'137=k2wvqp5f1`294?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h:0Z<9j:3y'134=k2.>:<4l;|~y>o6i80;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a09U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=<850;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e1683><<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:9647o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:038 00628;0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5f1`794?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h?0Z<9j:3y'134=981/9;?5109~yx{=:0c5?S70m38p(88=:0:8 0062820qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c32=?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:;|~y>o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:96472\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;36?!3193;>7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:96*:60821>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a54`=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>?4?:483>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>>4?:683>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a573=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?=7;290?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f44?290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9;k1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>o4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a57e=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9;n1<7=50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c31b?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?<0;292?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a567=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:038 00628;0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96<64$442>4>;0:=6*:60825>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<692.>:<4>1:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?7;%755?7?3twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m:4?:%0:{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:6382<>"2>80:46sr}|9j5=g=83.9554>869K6<1<^;3j6=:0:b?S70m38p(88=:0:8 0062820qpsr;h3;f?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>1:&624<692wvqp5f19a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91i0Z<9j:3y'134=k2.>:<4l;|~y>o60m0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98e9U52c=:r.>:?4l;%755?ea290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a562=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528;0(88>:038yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<692.>:<4>1:~yx=n9h?1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81=55+57395==zutw0e<6k:18'6<>=91=0Z?7n:0yO64>c3_;f=#=?;1o6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81o6*:608`?x{zu2c:4k4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=981/9;?5109~yx{=:0c1?S70m38p(88=:038 00628;0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>1:&624<692wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9647;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958R7?f28qG>4m51zTf4?4|,;:964>o60k0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+570954=#=?;1=<5r}|8m4>d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<64$442>4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:?;4?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528;0(88>:038yx{z3`;j97>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<602.>:<4>8:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;4><,<<:6<64}|~?l7f?3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:63825>"2>80:=6sr}|9j5=g=83.9554>869K6<1<^;3j6=:0:b?S70m38p(88=:0:8 0062820qpsr;h3;f?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4n4?:%0:=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=>650;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3883>1<729q/>465719K6=c;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<964750z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<=m:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj89h6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f45c290?6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<=i:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8>:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<:=:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?;3;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb067>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63821>"2>80:96sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=85+573950=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f422290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=55+57395==zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0;e?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{55;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:0:8 0062820qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4683>3<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c373:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42>290=6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9=k1<7950;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42e29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9=i1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;>7);91;36?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63821>"2>80:96sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=9j50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=911/9;?5199~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+57095==#=?;1=55r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:8h4?:983>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42a29036=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a506=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?:1;29=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:0:8 0062820qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9<81<7950;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f43429096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9<>1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;>7);91;36?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63821>"2>80:96sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=8;50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb075>5;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f43029036=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a50>=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5199'137=911vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c36=?6=13:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:46*:6082<>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`21g<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg72k3:1;7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`21`<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e5g83>2<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;?50;494?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c356?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f40429086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6=2.>:<4>5:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5149'137=9<1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj803=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::84?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;850;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?7;%755?7?3twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g43_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?97;293?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5199'137=911vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::54?:983>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::44?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9?k1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::o4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;m50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9?n1<7950;2x 7??2>:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:6382<>"2>80:46sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6d83>2<729q/>465719K6=c;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f417290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;<4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?82;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5149'137=9<1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528?0(88>:078yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c347?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;94?:283>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a523=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<99:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647;0:46*:6082<>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?88;293?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;44?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?8a;291?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;4><,<<:6<64}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?7;%755?7?3twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=z{0l1<7=t=`295ce:0da?854;3;3463<3582<==:;:?1=564=215>4>?34>ii7?78:?7fc<601168n>519:891e6282370<92;3;<>;6980:455210095=><58;86<67;<320?7?02wx454?:2y><<<6nj1U4552b082<2=z{k;1<7:n{<`2>4`e34??n7?78:?60f<6011699j519:8902b282370::2;3;<>;3=:0:45522g295=><5;l:6<67;<13e?7?0278>44>899>77g=91201>4>>349?<7?78:?767<601168oj519:891db282270:mf;3;=>;3k90:44524b395=?<5=<<6<67;<65899>6ae=912019:;:0:;?87c;3;3463>d582<==:9m?1=564=0f5>4>?348=57?78:?7`2<601168:m519:891g4282370:n4;3;<>;3i<0:45524`495=><5=k<6<67;<73>l4>899>17d=9120184>899>7?78:?f7?7?027n87?78:?f1?7?027n:7?78:?f`?7?027m=7?78:?e0?7?027mn7?78:?244<60116==<519:89464282370??4;3;<>;6990:455210395=?<58;96<66;<327?7?127:=94>889>54>=912011c82<==:9;91=564=011>4>?34;8?7?78:?271<60116=>;519:8945128237p}74;297~;?=3;mo6P74:?be?7??2wxml4?:3`x9dg=9oh018:k:0::?833m3;3563<2`82<<=:;;h1=574=210>4?3349887?64:?070<61=16?>85186891dc282270:me;3:0>;3jo0:59524b295<2<5=i:6<7;;<653?7?127?:54>889>7<1=91201d482<<=:9m<1=574=34b>4>?34kn6<67;4>>34?;47?79:?;>4>>34o86<66;4>>34o>6<66;4>>34oo6<66;<333?7?027:==4>889>547=90>011582=1=:9:81=574=010>4>>34;887?79:?270<60016=>8519;8945>28237p}72;297~;?;3;mo6P72:?b=?7??2wxm44?:3;x9d?=9oh018:k:0;7?833m3;2863<0b82<==:;;k1=4:4=20a>4?33498?7?6f:?071<61o16?>;518d89651283m70:md;3:0>;3jl0:5k524cd95<`<5=i;6<7i;<6`5?7>n27?::4>959>03>=90>01>77:0:;?87c;3;2863>d582=1=:9m?1=4:4=0f5>4?3348=?7?78:?64=<61=1647?64:?f7?7><27n87?64:?f1?7><27n:7?64:?f`?7><27:==4>959>547=90l011582=c=:9;<1=564=011>4?334;8?7?64:?271<61=16=>;518689451283?7p}70;297~;?93;mo6P70:?b=9oh018:k:0;e?833m3;2j63<0e82<==:;;k1=4h4=20a>4?a3498?7?n2:?071<6i;16?>;51`08965128k970:md;3:b>;3jl0:m?524cd95d4<5=i;69g9>03>=90l01>76:0:;?87c;3;2j63>d582=c=:9m?1=4h4=0f5>4?a348>n7?78:?64=<61o1647?6f:?f7?7>n27n87?6f:?f1?7>n27n:7?6f:?f`?7>n27:==4>9g9>547=9h80163>1582e7=:9;=1=564=011>4?a34;8?7?6f:?271<61o16=>;518d89451283m7p}8e;297~;0n3;mo6P8e:?b3?7??2wxm:4?:3;x9d1=9oh018:k:0c1?833m3;j>63<2`82e7=:;;h1=l<4=210>4g4349887?n3:?070<6i:16?>851`1891dc28k970:me;3b7>;3jo0:m>524b295d5<5=i:6a39>763>d482e7=:9m<1=l<4=377>4>?34?;47?n2:?;>4g534o864g534o>64g534oo6a39>547=9h9011582e6=:9:81=l<4=010>4g534;887?n2:?270<6i;16=>851`08945f28237p}8c;297~;0l3;mo6P8c:?b2?7??2wxm;4?:dy>e3<6nk16?>=51`68965328k?70=<5;3b0>;4;?0:m9524cg95d2<5=hm6a59>61>=91201:0c7?876:3;j863>1282e1=:98>1=l:4}r5;>5<4s4=261=l;4=216>4g23498:7?n5:?7f`<6i<168oh51`7891e728k>70:l1;3b1>;5;h0:455210395d3<58;96a49~w=`=839p14>51ga8Z=`<5k31=594}r`:>5<0:r7i57?ib:?715<6011688?519:8900a282370;80;3;<>;dk3;3463>e382<==:9l91=564=0g7>4>?348m<7?79:?1b4<60016?=o519;8966d282270=?d;3;=>;48l0:455230d95=><5:8;6<67;<114>a79>762=9h<01>=::0c5?854>3;j:63<4082<==:<;81=574=507>4>?34?3h7?78:?6<`<601168n>51`4891e628k=70;n7;3;<>;2i10:45525`;95=><5n>4>899>1g3=912018l9:0:;?83c83;3463;6482<==:4>>3492;7?79:?0==<60016?47519;896?f282270=6b;3;<>;5lm0:455245695=?<5<2:6<67;<7;6?7?027>5n4>899>67>=91201?<6:0:;?845i3;3463=2c82<==::=h1=564=36`>4>?34>o:7?78:?65f<601169;3i=0:44524`795=?<5=k=6<66;<6b3?7?127>=<4>899>144=912018899>a<<60116il4>899>af<60116j84>899>bf<60116==?519;89465282270??3;3;=>;68=0:445211595=?<58:36<66;<33=?7?027:899>55d=91201:0c5?876:3;j:63>1282e3=:98>1=l84=034>4>?34;9=7?78:?267<60116=?8519;89440282270?=8;3;<>;6:l0:455213d95=><589?6889>56g=91301<=m:0:;?874k3;3463>3e82<==:9=n1=564=06f>4>?34;=87?78:?22g<60116=;m519:8940a282370?86;3;<>;6?>0:455rs9a94?5|51n1=km4^9a89g>=91=0q~l7:18;3~;e03;mn63:4c82<<=:==i1=574=46g>4g434??i7?n3:?717<6001688=519;8900a282270;80;3;=>;dk3;3563=f182=1=::o;1=4:4=22b>4?3349;i7?79:?05c<60016??>519;8964>282270==a;3b7>;4:k0:m>5232195d1<5:9?6a69>714=9120186k:0::?83?m3;3563;be82e6=:4g134>h<7?n7:?7g4<6i>169n:519:890e2282370;m1;3;=>;2j;0:44525c195=?<56<66;<7a2?7?127?::4>a29>03>=9h901?j=:0;7?85>j3;3563=dd82<==:9m91=l=4=0f7>4g434;o97?n3:?2`3<6i:16946519:890?>282370;6c;3;=>;5:10:445223;95=?<5;8j6<66;<01f?7?12798o4>889>61e=91301?:k:0:;?82c?3;3563;d882<==:4>?34?:o7?79:?65a<600168l=5186891g3283?70:n5;3:0>;3i?0:59524`595<2<5<:36=?4>889>17g=90>01979:0:;?82>?3;3463;9982<==:=3;35639:0::?81=9130154>a29>a7<60016i>4>a29>a1<6i:16i84>a29>a3<6i:16io4>899>af<60016ii4>a29>b7<60116j94>889>b0<60016==?518689465283?70??3;3:0>;68=0:595211;95=?<58:j6<66;<324?7f;27:=<4>a69>544=9h=011982<<=:9831=574=03b>4>>34;:n7?79:?266<60016=?6519;8944b282270?=f;3;=>;6;;0:m>5212195d5<589?6a29>56d=91301<=l:0::?873l3;3563>4d82<<=:9=l1=564=073>4>?34;>=7?78:?210<60116=88519:89430282370?:c;3;<>;6=m0:455214g95=><58?m6<67;<354?7?027::84>899>53d=91301<8l:0::?871l3;3463>6d82<==:9?l1=574}r:b>5<4s42i6h6<7;;<666?7><27?9>4>959>gf<61=16>k>518d897`6283m70=?a;3:b>;48j0:595231f95<2<5::n6<7;;<114?7><278>44>959>77d=9h>01>=<:0:b?854<3;3m63<34824>?34>987?79:?7fa<6i=168ok51`5891da28k<70:l0;3;e>;3k80:4l525b695=?<5<27>n;4>959>031=9h>01987:0c7?84c:3;2j63<9682=1=:;021=4:4=2;:>4?33492m7?64:?0=g<61=16>ih519:894b228k?70?k6;3b0>;21h0:455258`95=><5<3h6<7;;<01e?7><279>o4>959>61d=90>01?:l:0;7?82c?3;2863;d882<<=:=8n1=4:4=c495=><5k<1=574=5c0>4?a34>j87?6f:?7e0<61o168l8518d891g0283m70;?8;3b0>;2980:595250095<2<5<8j6<7i;<71f?7><27?5;4>889>1?7><27<6<7;;4?334o>64g334oh6<7;;4>?34l?6<7;;4>?34;;=7?6f:?247<61o16===518d89463283m70??7;3:0>;6810:595211;95<2<58:i6<66;<324?7f<27:=<4>8`9>544=91k011982=1=:9831=4:4=03b>4?334;:n7?64:?266<61=16=?8518689440283?70?=8;3:0>;6:l0:595212695d3<589>6959>56g=90>01<=m:0;7?874l3;3563>4g82<<=:9<:1=574=07`>4>>34;>h7?79:?22c<61=1v:o50;1x92d=9oi0R:o4=`795=16=4j{4`e3498?7?7b:?071<60k16?>;519`89651282i70:me;3;e>;3jo0:4l524b295=d<5=i:6<6m;<075?7?027:=<4>8c9>544=91h016}:?>0:jn5Q779>e6<60>1vl=50;gx9d5=9oh01>=<:0:`?854<3;3o63<34824>e34>ij7?7b:?7g5<60j168n?519a89754282370?>1;3;g>;69;0:4n5210195=e<58;?6<6l;|q40?6=;r7<97?ic:\40>;f:3;3;6s|a383>`}:i;0:jo5232195=b<5:9?6<6k;<101?7?l278?;4>8e9>0gc=91i019li:0:`?82d83;3h63;c0824>c34;:?7?7d:?251<60m16=9>519:8yv152908w09<:0d`?[1534k:6<68;|qb5?6=mr7j=7?ib:?076<60l16?>:519g89652282n70=<6;3;a>;3jl0:4i524cd95=b<5=i;6<6j;<6`5?7?m279>=4>899>547=91o011582<`=z{m?1<7:t=e495ces4;3?7?ib:?614>7j;;<16a?b3349i:7j;;<634?b334>nn7j;;|q2<5<72hq6=5>51g`896d72m>019>9:e68962d2m>01>;7:e6896`f2m>0196j:e6891ea2m>019h6:e6890262m>0q~j8:1878b?28lh7Sj8;<3;6?7??27:;k4>869~w4>52909jv3>8382bg=::oi1h9524479`1=:=><1h9522d`9`1=:ko0o863>e78g0>;50;0o863=f28g0>;6kk0o863>f18g0>;50j0o863<108g0>;4900o863<238g0>;4:m0o863<398g0>;4<<0o863;188g0>;3:?0o863;328g0>;3;k0o863:918g0>;3k:0o863:ac8g0>;2k10o863:b88g0>;2l?0o863;6`8g0>;3=l0o863;138g0>;5i<0o863=c98g0>;5l<0o863:698g0>;5ij0o863=b28g0>;5jh0o863=c08g0>;5m;0o863=738g0>;3<10o8639e;f7?87c13n?70;74;f7?83>m3n?70:71;f7?xu6?o0;6>u216d95cd<5::86i:4=5`7>a23>7>53z?7<6<6nj1U85<4=5:7>4>03ty?494?:4fx91>328li70;;b;3b7>;2<5=>m6<66;<664?7>n27?9?4>a29>13c=9120188i:0;7?8e0282370m6:0;7?87b:3;2j63>e282=c=::>k1=564=35a>4>?34856519:897>>282370=>6;3;<>;49l0:455233595=><5:996<67;<10b?7?027?>n4>899>07c=90>0186n:0:;?83?j3;3463:8e82=1=:=h=1=574=4`2>4?334?i?7?6f:?6f0<61o168;;518d8910028k<70;5ko0:445257495=><5=>=6<67;<3g7?7f>27:h84>a69>757=91201>>>:0::?83?93;3563:9982<<=:=031=574=4;b>4>>34?2o7?6f:?16=<61=16>?o518d8974d282370<;b;3:b>;3no0:455252095=><59g9>14e=90>018?k:0;e?820n3;3463;a582e7=:4>?34?;j7?78:?654<61o16847519:89`5=9h<01h;51`589467282370??e;3;<>;6910:m>5210;95d5<588:6<7i;<317?7f;27:>o4>899>564=9h<01<=;:0c4?874>3;j;63>4682<==:9=21=564=06:>4>?34;?h7?64:?20c<61=16=8?519;89431282270?:8;3;<>;6=00:455214a95<2<58?n6<66;<354?7?127::54>899>53d=90>01<99:0::?xu2=m0;6>u254g95ce{t=8i4>8c9>11c=91h01e582e6=:;;k1=l;4=20a>4g13498?7?60:?071<61916?>;518289651283;70:md;3b2>;3jl0:4k524cd95=`<5=i;6<7?;<6`5?7>827?::4>8b9>03>=91i01d4824>>34?8>7?79:?7`3<61=168i951`089061282270;?8;3;g>;28o0:44528;3b1>;b;3;3m63j4;3;e>;b=3;3n63j6;3;f>;bl3;j963>0c82=1=:98:1=l84=032>4?734;:>7?60:?256<61916=<:51828947028k870?>8;3b1>;6900:m85210c95d3<58;i6i4>899>564=91h01<=<:0:a?874<3;3n63>34824?33ty?ml4?:2y>0dd=9oi0R9on;<6bg?7??2wx8lm50;fx91gd28li70?mb;3;=>;6jj0:44521cf95=?<58hn6<66;<3ab?7?12788l4>899>71g=91301>;?:0:;?85283;3563;8b82<<=:4>>3tyo57>54z?ge?7ak2To563kb;3;3>;6080:4:5rse`94?7es4ni6<27:nn4>959>5gb=90>014>?349h57?78:?0`a<60116?h;519:896c1282370=j7;3;<>;4m10:45523d;95=><5:l96<67;<3a4?7?027:n<4>899>5g4=91201>lm:0:;?85ek3;34634?334>n;7?64:?7a=<61=1v<6>:18187?93;mn63{t=;;1<7:t=401>4`d3W?9=63:2282<2=:91?1=594}r717?6==r7>>>4>fc9>7c>=91201>h7:0::?85am3;34636=4={<3;1?7aj279j94>899~w1cd2908w0:jd;3eg>X3mj168hk51958yv2bm3:19v3;ed82bg=:9j21=574=534>4>?34>:;7?79:?643<61=1v8=;:180834=3;mo6P:359>160=91=0q~;<6;291~;2;?0:jo521df95=?<5=926<67;<60=?7?127>959~w41e2908w0?8c;3eg>X6?k16=:j51958yv70l3:1?v3>7e82bg=:;h81=564=2c1>4>>3ty>9l4?:2y>10d=9oi0R8;n;<76g?7??2wx98m50;7x903d28li70:?4;3;<>;38=0:445241c95=><5=:j6<66;|q7g0<72:q68n851ga8Z1e234>h;7?77:p0f1=83?p19m8:0da?852>3;3463<5782<<=:;4>>3ty3:7>53z?;3?7ak2T3:63m0;3;3>{tj90;6?u2b182bg=::;;1=574}r;2>5<4s43964082<<=z{091<7=t=8695ce6}:1?0:jn5Q949>ff<60>1vom50;0x9ge=9oh01?=m:0::?xu>?3:1?v368;3eg>X>?27ih7?77:pfa<72;q6ni4>fc9>614=9130q~76:1808?f28lh7S76;<`f>4>03tyii7>52z?aa?7aj279844>889~w5<5s4hm6=`<6nj1U5i52c182<2=z{j:1<77?ib:p113=838p18:=:0:4?833=3;mn6s|4c794?5|5=h=6;3j<0:jo5rs5`4>5<5s4>i97?77:?7f2<6nk1v96::18082?>3;mo6P;849>0=3=9oh0q~:77;296~;30<0:4:5249595cdjh7>53z?7e`<6nj1U8lj4=5cg>4`e3ty?mk4?:3y>0db=91=019oi:0da?xu3k10;6>u24b;95ce{t4>034>hm7?ib:p0ac=839p19ji:0d`?[2cm27?hh4>fc9~w1c72909w0:ke;3;3>;3m90:jo5rs5ge>5<4s4>m<7?ic:\7ac=:869>0c7=9oh0q~;<7;297~;2;10:jn5Q5258905028li7p}:3883>7}:=:=1=594=41:>4`e3ty9<>4?:2y>652=9oi0R?><;<037?7aj2wx>c;3ef>{t:9k1<7=t=32a>4`d3W8;m63=0`82bg=z{;886=4={<03e?7??279>>4>fc9~w76d2908w0X58j16>=m51g`8yv45n3:1>v3=0b82<2=::;l1=kl4}r03a?6=;r79fb9]65c<5;:n6=k51958975128li7p}=1183>6}::8;1=km4^333?84683;mn6s|22f94?4|5;;;6<68;<00`?7aj2wx><<50;1x977428lh7S<>2:?157<6nk1v?:;:181846:3;3;63=4582bg=z{;;?6=4<{<021?7ak2T9=95220695cd<7>52z?151<60>16>8>51g`8yv46>3:1?v3=1682bf=Y:8<01??9:0da?xu5=>0;6?u220495=1<5;?<6<751ga8Z77?348:47?ib:p60c=838p1??7:0:4?842m3;mn6s|20c94?5|5;;i6;59h0:jo5rs346>5<5s48:m7?77:?120<6nk1v9hn:18082aj3;mo6P;f`9>0cg=9oh0q~:ic;296~;3nh0:4:524ga95cd53z?66`<6nj1U9?j4=40g>4`e3ty>>k4?:3y>17b=91=018u254695ce{t=4>034?>97?ib:p03e=839p198k:0d`?[21k27?:n4>fc9~w10b2909w0:9c;3;3>;3>l0:jo5rs5f3>5<4s4>o=7?ic:\7`5=:869>0a4=9oh0q~;>5;297~;29?0:jn5Q5078907228li7p}:1683>7}:=8?1=594=434>4`e3ty9<54?:2y>65?=9oi0R?>7;<0365>=91=01ll51g`8yv47=3:1?v3=0782bf=Y:9?01?>::0da?xue;3:1>v3=0482<2=:j:0:jo5rs550>5<4s4><87?ic:\736=:<>91=kl4}r641?6=:r7?;>4>869>023=9oh0q~:6c;297~;31m0:jn5Q48a891?d28li7p};9d83>7}:<0i1=594=5;f>4`e3ty><<4?:2y>154=9oi0R8>>;<735?7aj2wx9==50;0x9066282<70;?3;3ef>{t=9k1<7=t=42a>4`d3W?;m63:0`82bg=z{<:h6=4={<73e?7??27>fc9~w0432908w0;=5;3eg>X2:=169?:51g`8yv35>3:1>v3:2582<2=:=;<1=kl4}r6;b?6=;r7?5=4>fb9]0=`<5=2m61}:==?1=594=467>4>034?>>7?79:?614<6nk1v8:;:187833<3;mn63:7382<==:=>91=564=43e>4>?3ty>8k4?:3y>11?=91=018:i:0da?xu2<00;6>u255;95cd<5=<86<67;<657?7?12wx99850;0x9035282370;;6;3ef>{t=<81<74`e34?>=7?77:p5=0=83k>w0?76;3ef>;2=809;>52543963e<5;lh6?9<;<0eg?41k27?984=729>003=:?i01899:350?830>38=o63=ec8136=::lh1>;m4=bd9625<5jl1>;m4=0a2>71434;h=7<9c:?2a3<5?:16=h8527a897>52;=870<72;05g>;5n=0:44522g19625<58ii6?9<;<3`f?41k27:j=4=729>5c6=:?i01?6l:350?84?k38=o63<108136=:;8;1>;m4=23:>714349:57<9c:?067<5?:16??<527a8964c2;=870==d;05g>;4;109;>5232:963e<5:>>6?9<;<171?41k27?=44=729>04?=:?i019<9:350?825>38=o63;328136=:<:91>;m4=51a>71434>8n7<9c:?6=5<5?:1694>527a891e42;=870:l3;05g>;2ik09;>525``963e<5n44=729>1g?=:?i018j9:350?83c>38=o63;6`8136=:;m4=57f>71434>>i7<9c:?022<5?:16?;9527a896162;=870=81;05g>;4kk09;>523b`963e<5:=h6?9<;<14g?41k278484=729>7=3=:?i01>7?:350?85>838=o63;m4=2f4>714349o;7<9c:?0`c<5?:16?ih527a896cd2;=870=jc;05g>;39;09;>52400963e<5;k>6?9<;<0b1?41k279o54=729>6f>=:?i01?j::350?84c=38=o63<9d8136=:;0o1>;m4=2`3>714349i<7<9c:?0b1<5?:16?k:527a8900?2;=870;98;05g>;6j=09;>521c6963e<5;kh6?9<;<0bg?41k279n>4=729>6g5=:?i01?ln:350?84ei38=o63=c08136=::j;1>;m4=3g1>714348n>7<9c:?0e1<5?:16?l:527a897152;=870<82;05g>;4ih09;>523`c963e<5:i;6?9<;<1`4?41k27?<;4=729>050=:?i019>l:350?827k38=o63;498136=:<=21>;m4=7:9625<5?21>;m4=7g9625<5?o1>;m4=0f:>71434;o57<9c:?046<5?:16?==527a8962d2;=870=;c;05g>;4=;09;>52340963e<5:?36?9<;<1670c=:?i01>l9:350?85e>38=o63;m4=523>71434>;<7<9c:?6<1<5?:1695:527a890?b2;=870;6e;05g>;30l09;>5249g963e<5=h?6?9<;<6a0?41k27?ok4=729>0f`=:?i019km:350?82bj38=o63;f88136=:;m4=462>71434??=7<9c:?7<4<5?:1685?527a8yv4>83:1=n?t=3;3>4`e34?>=7j<;<77`?7?k27>8i4>8e9>11b=91o018:k:0:e?833m3;3o63:4d824>a34>>97j<;<742?b434?;2?:0:4h5256195=`<5;oi6i=4=bd9`6=:kj0:m:52cb82522909`6=::>h1=5o4=35a>4>e348:l519g8971e282m70<8c;3;e>;5?j0:4o5226a95=e<5;=h6<6k;<04g?7?m279;n4>8g9>6c5=l:16>5m5d29>6=?=91h01?66:0:`?84?13;3h63=8882<`=::131=5h4=3:b>4>e3483m7?7c:?15o519g897>f282m70=>1;f0?85613n870==2;f0?855l3n870==a;3;e>;4:h0:4o5233c95=e<5:8j6<6k;<11e?7?m278>l4>8g9>77d=91i01>5232195<5<5:986<7:;<107?7>>278?>4>969>765=90201>=<:0;:?854;3;2m63<3282=g=:;:91=4m4=210>4?c3498?7?6e:?076<6i916?>=51`389653283870=<4;3:1>;4;=0:5;5232695<1<5:9?6<77;<100?7>1278?94>9`9>762=90h01>=;:0;`?854<3;2h63<3582=`=:;:>1=l>4=217>4g6349897?63:?070<61<16?>;518489652283<70=<5;3:<>;4;<0:5452327956<7m;<101?7>k278?84>9e9>763=90o01>=::0c3?854=3;j=63<3782=6=:;:<1=4;4=215>4?13498:7?67:?073<61116?>8518;89651283j70=<6;3:f>;4;?0:5n5232495a09>713=l:168?85d29>065=l:1694>5d29>1=d=91k0186m:0:a?83?j3;3o63:8c824>a34?3o7?7a:?6d282o70;7c;3;a>;20j0:4k5259f95=b<5<2o6<6j;<7;`?7?n27>4h4>8e9>1=c=91o0186j:0:e?82d;3n870:md;3;`>;3jm0:4h524cf95=`<5=hn6<7<;<6aa?7>=27?nh4>979>0gc=90=019lj:0;;?82em3;2563;bd82=d=:4?d34>ii7?6d:?7f`<61l168ok51`2891db28k:70:mf;3:7>;3jo0:58524cd95<0<5=hm6<78;<6ab?7>027?nk4>989>0g`=90k019li:0;a?82en3;2o63;bg82=a=:4g734>ij7?n1:?7g5<61<168n>5184891e7283<70:l0;3:<>;3k90:54524b295k27?o=4>9e9>0f6=90o019m?:0c3?82d83;j=63;c082=0=:4?034>h=7?68:?7g4<610168n?518c891e6283i70:l1;3:g>;3k80:5i524b395mo4k3:?6g=n44k3:?6f6<6i>169o=519c890d4282i70;m3;3;g>;2j:0:4i525c195=c<5n84>8`9>1g3=91h018l::0:`?83e=3;3h63:b482<`=:=k?1=5h4=4`5>4g034?i:7?7a:?6f3<60k169o8519a890d1282o70;m6;3;a>;2j?0:4k525e49`6=:5247595=b<5=<<6<6j;<653?7?n27?:54>8e9>03>=91o01987:0:e?822m3n870=97;f0?85093n870=lb;f0?850k3n870=75;f0?85>83n870=k1;f0?85c?3n870=kf;f0?85bk3n870:>2;f0?84f=3n8705a?=l:16=i=519g894b4282m70?k4;3;a>;6l=0:4k521e795=`<58n=6<6i;<137?b4349?o7j<;<166?b4349>47j<;<16a?b4349i:7j<;<1ee?b434>;<7j<;<7;0?b434?2i7j<;<6;a?b434>i87j<;<6`b?b434>nn7j<;<6e=?b434??=7j<;<6;5?b434>j87?n7:?7e1<60h168l:519`891g3282h70:n4;3;`>;3i=0:4h524`695=`<5=k>68c9>0d3=91i019o::0:g?82f=3;3i63;a4824>f34>j:7?7b:?7e3<60j168l8519f891g1282n70:n6;3;b>;3i>0:m:524`595=g<5=k<6<6m;<6b3?7?k27?m:4>8e9>0d1=91o019o8:0:e?83703;3h63:0982<`=:=921=5h4=432>4>b34?:=7?7f:?657<60l169<<519d89=<60h1647?7b:?;>4>d3421=5j4=982<`=:03;3j63j3;3;f>;b;3;3o63j3;3;`>;b;3;3i63j3;3;b>;b<3;3n63j4;3;g>;b<3;3h63j4;3;a>;b<3;3j63j5;3;g>;b=3;3h63j5;3;a>;b=3;3j63j6;3;g>;b>3;3h63j6;3;a>;b>3;3j63jd;3;f>;bl3;3o63jd;3;`>;bl3;3i63jd;3;b>;6990:4n5210295=b<58;;6<6j;<324?7?n27:=<4>929>547=90?01:0;5?87693;2;63>1082===:98;1=474=032>4?f34;:=7?6b:?254<61j16=1;3b4>;6980:m<5210095<5<58;96<7:;<326?7>>27:=?4>969>544=902011382=g=:9881=4m4=031>4?c34;:>7?6e:?257<6i916=<<51`389474283870?>3;3:1>;69:0:5;5210195<1<58;86<77;<327?7>127:=>4>9`9>545=90h011282=`=:9891=l>4=030>4g634;:87?63:?251<61<16=<:518489473283<70?>4;3:<>;69=0:545210695k27:=94>9e9>542=90o0119824>d34;:47?7d:?25=<60l16=<6519d8947>282j70?>9;3;f>;6900:4n5210;95=b<58;26<6j;<32=?7?n27:=l4>8`9>54g=91h011`82<`=:98k1=5h4=03a>4>f34;:n7?7b:?25g<60j16=b;3;b>;6;;0:4n5212095=b<58996<6j;<306?7?n27:?>4>8b9>565=91n01<=<:0:f?874;3;3j63>35821=5k4=017>4>a34;897?7d:?270<60l16=>;519d89451282o70?<6;3;a>;6;?0:4k5rs464>5<5s4??:7?78:?602<6nk1v8:7:181833>3;3563:4982bg=z{<=:6=4<{<77854>889>127=9oh0q~::7;2976}:==k1=564=46a>4?a34??o7?6f:?60a<6i=1699k51`689137282270::1;3;=>;3=;0:5k5244195<`<58o;6<67;<3f5?7?027:i?4>889>5`5=91301e882<==:<;91=564=547>4>?34>=97?79:?723<600168;951`78910?28k>70::7;3ef>;3=j0:455245795=><5?i1=564=0f2>4>?34;o?7?n4:?2`1<6i=16=i;51`7894b128k>70:8d;3;<>;3?l0:455251:95d3<5l81=4h4=d195d2<5l>1=l:4=d795d3<5l<1=l;4=034>4>>34;:47?6f:?25<<61o16=f;3;<>;6:80:445213095=?<58886<7i;<306?7f<27:?>4>a59>560=9h?0q~:?d;29e~;2<5=8n6<67;<61b?7?027??<4>899>00e=913019>k:0da?87583;346s|55c94?4|5<>j663:4b82e7=:==n1=l;4=46f>4g234>?57?ib:?70c<6011688>518689136283?70::2;3b6>;3=:0:m?52c982<<=:k00:44521d095<2<58o86<7;;<3f0?7><27?=h4>899>04c=9130194?334>=:7?64:?722<6i?168;651`489127282370:;0;3;=>;6l:0:m8521e695d3<58n>627?;o4>899>15>=9h<01h<51`089`5=9h?01h:51`789`3=9h<01h851`489470283?70?>8;3b6>;6900:m?5210c95d4<58;i6<27:>?4>959>575=9h801<==:0c6?874;3;j963>3582e3=:9:?1=l84=015>4g13ty?9k4?:23x902e28k?70;;c;3b7>;2n27?9?4>a59>005=9h901n6518689f?=90l01e382e7=:9l91=l<4=0g7>4?a34;h87?79:?2a<<600168?=519;8914c283?70:=e;3:b>;3:o0:595242395<2<5=?m6a39>030=90l01988:0:b?82103;j;63;5c82<==:<4>>34a79>5a3=91k014?a34;:47?n4:?25<<6i=16=f;3;=>;6:90:445212095d1<58986{t:oo1<7;?{<77g?7f<27>8h4>a69>6c1=913019:i:0;7?82293;j>63;5282e1=:=?o1=574=453>4?334i<6<66;4?a34;n87?n2:?13d<60016>:m519:8971a282370;5010:445229c95=><5:;=6<66;<12a?7?1278>:4>889>764=91301>=i:0::?825k3;3563;2g82=c=:<:;1=4h4=4:b>4>>34?3o7?78:?6<`<61=169l6519;890d5283?70;m6;3:b>;3>?0:m?5247:95=g<5<<=6<66;<672?7?127:h94>a69>5a0=91k01?hj:0da?83?:3;3563:9c82<<=::;31=4:4=30a>4?a3489o7?79:?10f<61o168:h519;891g128k970:n7;3b6>;2810:4l5250095<`<5=326<66;4g434o?64g034;;<7?79:?24`<60016=<951`08947f28k?70?>b;3b0>;6:;0:5k5213`95=?<58986889>51>=91301<:6:0::?873m3;2863>5182=1=:94>>34;>47?79:?21<<60016=8j51868943a282270?95;3;=>;6>10:445217a95<2<589g9>521=9130q~;;c;296~;2561y>11b=91k018:j:0:b?84a>3;mn63:6g82=c=:=>:1=4h4=ba95d4<5::n6<7i;<12b?7><278>=4>9g9>77g=9h>01>4>a34?3h7?6f:?6<`<61o168oj51`7891db282n70:mf;3;a>;3k90:4k524b395=`<56<66;<7a5?7>n27>n?4>9g9>1g5=9h8018l::0c1?83e>3;j>63;66824?a34;o?7?7a:?2`1<60h16=i;519`894b1282i70;68;3:0>;2100:595258c95<2<5<3i6<7;;<7:g?7f:279>54>9g9>67?=90l01?63=4c82e7=::=i1=l<4=36g>4>>34>om7?79:?7`g<600169;2980:m?5250095d4<5<8i6<7i;<6:3?7?127?554>889>2?7><27<6<7i;<:95d2<5l91=l94=d695d1<5l?1=5o4=d495=g<5li1=4h4=df95d2<5o?1=4:4=02b>4?334;:<7?n5:?254<60o16=<<519d89474282m70?>4;3;b>;6:10:5k5213d95<2<58996<6n;<307?7?i27:?94>8`9>563=91k01<=9:0:a?874k3;2863>4e82=c=:9=o1=4h4=072>4?334;>97?64:?213<61=16=8951868943b283?70?:f;3:0>;6>90:595217795<2<58n27::i4>959>53c=90>01<8i:0c1?xu2m6<7i;|q60`<72;q699k51g`8902a28k97p}=fc83>7}::o<1=594=3d`>4`e3ty9j54?:3y>6ce=91=01?h7:0da?xu5n>0;6?u22ga9`6=::o=1=kl4}r0e=?6=:r79j54>899>6c?=9oh0q~55z?1bd<60116>ko519;897cd28li70;5n80:m>5rs577>5<4s4>?57?77:?713<6001688;51g`8yv23i3:1>v3;5782<==:<=k1=kl4}r662?6=:r7?9;4>fc9>003=91=0q~:;b;296~;3?o7>52z?70d<6001689m51g`8yv7493:1?v3;4e82<==:<=n1=574=012>4`e3ty?8i4?:3y>01b=9oh019:l:0:;?xu28>0;6>u245g95=><5=>n6<66;<733?7aj2wx89k50;0x912b28li70:;c;3;=>{t<=l1<74`e34>?o7?64:p006=838p19;?:0da?823k3;2j6s|44394?4|5=?:6{t<<91<74`e34>?o7?n4:p123=839p1886:0:4?830?3;3563:7782bg=z{<<26=4>dz?62<<6nk169;k51868900a28k970;80;3b6>;dk3;j?63:8c82<<=:=1i1=574=4:g>4g534?3i7?n2:?6f4<6i;169o<51`0890d428k870;m5;3b7>;2j?0:m>525e395=><5h94>899>14g534;?i7?n2:?223<60116=;l51`08940d28k970?9f;3b7>{t=>>1<74>034?<87?ib:p13g=838p1898:0:;?831i3;mn6s|56594?4|5<=<6{t=?i1<74>>34?=o7?ib:p1g2=839p188k:0:;?831l3;3563:b582bg=z{<:n4>899~w00b2909w0;9e;3ef>;2>j0:445rs4`a>5<4>r7>:k4>a29>126=9h901nl519:89fe=9h>01?9j:0::?840n3;3563:8c82=1=:=1i1=4:4=4:g>4g434?3i7?n3:?6e0<601169l8519:890g0283?70;n8;3:0>;2i00:44525c`95cd<5<27>o84>959>1g7=9h9018l=:0c0?83e;3;j863:b482e1=:=k<1=l:4=4f3>4>>34?o=7?79:?6`7<600169i=519;890b3282270<80;3;<>;2?l0:455259295=><5;8h6<7;;<07f?7f<2798n4>a59>61b=90>018?>:0c7?836:3;j863;9782=1=:<0=1=4:4=5;;>4?334>257?64:?20a<6i:16=9k51`18942a283m70?:0;3:b>;6=80:5k5214795<`<58?=6<7i;<363?7>n27:954>959>531=91201<9<:0:;?870<3;346s|5`a94?3>s4?=j7?n4:?635<6i=16on4>a49>62c=90>01?9i:0;7?83?j3;2j63:8b82=c=:=1n1=l:4=4:f>4g334?j:7?79:?6e2<61o169l6518d890g>283?70;l1;3;<>;2k;0:45525b695<`<56<7i;<7bg?7aj27>n<4>a59>1g4=9h>018l<:0c6?83e=3;j963:b782e0=:=m:1=4:4=4f2>4?334?o>7?64:?6`6<61=169i:518689717282270;8e;3;=>;2?o0:455259295=?<5<2:6<7;;<7;6?7><27>554>9g9>1j3;2j63:9b82e1=::;21=l<4=30:>4g53489m7?n3:?16g<6i:16>?m518d8972e28k>70<;c;3b1>;5<27>=<4>a49>144=9h?01979:0;e?82>?3;2j63;9982=c=:<031=4h4=066>4>?34;?:7?78:?202<61=16=9651868942>283?70?;d;3b0>;6a39>500=9h801<;8:0c1?87203;2j63>5882=1=:94?a34;>i7?6f:?21c<61o16=;>518d89403282270?95;3:b>;6>?0:445217:95<2<589g9>53c=90l01<8i:0c7?870<3;3563>7482<==:9><1=4:4=054>4?33ty>ol4?:3dx900a28k>70;80;3b1>;dj3;3563lc;3b2>;5?l0:5k5226d95<`<5<2i64i4>a49>1=c=9h?018o::0::?83f>3;2863:c182<<=:=j;1=574=4a1>4>>34?i=7?n5:?6f7<6i<169o=51`4890d228k=70;m6;3b2>;2kh0:jo525e295<`<5n27>h>4>9g9>1a2=90l0189i:0::?83?83;2863=2982e6=::;31=l=4=30b>4g33489n7?n4:?654<6i?169<<51`4891?128k970:67;3b6>;3110:m?5248;95d4<58>>6<66;<372?7?127:8:4>9g9>51>=90l01<:6:0;e?87213;2j63>6682<<=:9>91=574=056>4>>3ty>:k4?:3y>13`=9oh0188l:0;7?xu2?90;6?u256295cd<5<4>?349=97?78:?02a<60116?;k519:89611282370=89;3;<>;40;0:455239195=><5:2h6<67;<1;`?7?02784h4>899>14`=9130q~=99;29b~;2?;0:595256195<2<5:fc9>73b=91301>8j:0::?850?3;3463<7882<<=:;181=574=2:0>4>>3493o7?79:?0c}:=>81=4h4=450>4?a349=87?64:?020<61=16?:<51g`89611282270=87;3;=>;4?00:595236c95=><5:296<7;;<1;7?7><2784n4>959>7=b=90>01>6j:0;7?836n3;2j6s|36f94?d|5<=969g9>733=90l01>9n:0::?850l3;mn63<8082<==:;1i1=4h4=2:g>4?a3493i7?6f:?65c<6i;1v>68:18:830:3;j?63:7282e6=:;?>1=l<4=246>4g5349b28k970;>f;3b7>{t=>81<74`e34?<87?78:p5d?=83>p189<:0c7?82683;3463;1182<<=:9h31=kl4}r635?6=:r7>;>4>a49>057=9oh0q~:?7;296~;2?:0:m;5241595cd52z?636<6nk169::519;8yv4bi3:1>v3=e582<2=::lh1=kl4}r0f0?6=;:q6>h:51g`897c?282370<8e;3b6>;5?o0:m?5259`95d5<5<2h627>4h4>a79>1d1=9h8018o7:0c1?83f13;2j63:c082=1=:=j>1=l<4=4a6>4g534?o=7?n2:?6<4<61o1695<518d890??28k970;69;3b6>;21h0:m?5258`95d4<5;8h69g9>0ag=90l019jm:0;e?83693;j;63:1382e2=:9=l1=l=4=073>4g434;>=7?n3:?210<6i:16=8851`18943028k870?:8;3b6>;6=00:m?5214a95d4<58?o6a39>536=9h801<8;:0;7?871=3;j>63>6782=1=:9?=1=4:4=04;>4?a34;=h7?n2:?22`<6i;16=:8518d89410283m70?8a;3;<>{t:l?1<74>0348n97?ib:p6`0=838p1?k::0:;?84b>3;mn6s|2d594?4|5;o>6<66;<0f3?7aj2wx>;j50;6x97c?282270<9d;3ef>;29k0:455250`95=?52z?1a=<6nk16>h9519:8yv4f83:1iv3=e882<==::>h1=574=35`>4>>348357?79:?1l>51g`897e1282370;5ih0:45522`c95=?<5;h:6<67;<0a899>6ag=9120q~4?3348:h51`1897>>283?70<7a;3:0>;20k0:m95259a95d2<5<2o6m44>a39>1f2=9h9018m::0c0?83c:3;j>63=c282bg=::jn1=574=3fb>4>>3489o7?n3:?10a<6i:168i751`0891bf28k970:kb;3b6>;2980:4l5250095=g<58>>6<7;;<373?7f:27:954>a29>50?=9h901<8;:0;e?871>3;2j63>6682=c=:9?21=l<4=05b>4>>3ty9ol4?:33x97c>283?70<8b;3:b>;5?j0:5k5226g95d2<5;=m6n2794l4>9g9>1=d=9h?0186l:0c6?83?l3;3m63:8d824?334?h87?n4:?6g0<6i=169i=51`0897ef28li70;5:j0:m95225f95d2<5=n26a29>147=91h018?=:0:a?87303;j>63>5982e1=:9<31=l:4=047>4g534;=:7?n2:?222<6i;16=;651`18941f283?7p}=a683>f}::l31=4h4=35a>4g53485o51`0897e1282270;5i>0:jo522c395=?<5;h36<66;<0ab?7?1279hl4>9g9~w7gb290iw0;5?k0:m>5226a95d5<5;226959>6fb=90l01?oj:0da?84e03;2863=bg82=1=::mk1=l<4}r0a1?6=ir79i44>a29>62d=9h>01?9l:0c7?84?13;j863=8`82e1=::j<1=4h4=3ag>4g5348i97?ib:?1fc<61o16>io51`18yv4ek3:15v3=e882e1=::>h1=l;4=35`>4g2348357?n5:?1n851`0897ec28k870;5lh0:m95rs3f4>5<58r79i44>a49>62d=9h<01?9l:0c5?840m3;j963=7g82e0=::131=l84=3:b>4g134?3n7?n6:?6b282i70;n9;3b0>;2k=0:m8525b795d3<5n4>a49>61b=9h?019j6:0c7?82ci3;j863;dc82e1=:=8;1=5m4=431>4>d34;?:7?64:?20<<6i;16=8651`78943>28k>70?94;3b7>;6>?0:m>5217595d5<58<36n2wx>h750;0x97c>28li70{tkl0;6>u2c382<2=:l90:4452cg82bg=z{j81<7?;{4`e34i<6<7;;4?a34i26889>717=91301>:=:0::?853;3;3563;3082e7=::m:1=564=5c7>4g434>j:7?n3:?f=?7?127m>7?79:?e=?7?027mn7?79:?e`?7?127:<<4>a39>554=9h80q~mk:1818ef282<70mk:0da?xudi3:1?v3la;3ef>;2j90:45525c295=?4>?34i86g6<60116o94>fc9~wf3=838p1n=519;89f3=9oh0q~:<0;297~;d>3;3463l6;3;=>;3;90:jo5rsb494?4|5j<1=kl4=b795=>4`e34i>6<66;|q2f0<72oq6o54>a39>g<<6i:16=o;51g`894de282370?mc;3;<>;6jm0:45521cg95=><58hm6<67;<61`?7>n27?>h4>a39>07`=9h8019=>:0c0?82?k3;3463;e682<==:5<49r7h47?n3:?`=?7f<27:i=4>959>5`7=90>01e582e1=:9j21=564=0a:>4>?34;nh7?78:?2a`<60116?=m518d8966c283m70=?e;3b6>;4:k0:m:5240d95=><5=;m6<66;<61`?7f:27?>h4>a29>07`=9h9019=>:0c7?82el3;j;63;6582=1=:<=;1=564=562>4>>34;o=7?79:?2`6<60j16=i:519a894b2282o70?k6;3;`>;1n3;mn63;7c82<<=:<>i1=4:4=55g>4?334>;68h0:5k5211`95<`<58;;6a79>54?=9h<0135825<5s4i364?33tyh57>52z?`=?7aj27h97?6f:pgg<72;q6oo4>fc9>ga<6011vnm50;0x9fe=9oh01nj519;8yv7d83:1?v3>b482<2=:9j81=574=0a2>4`e3ty:n;4?:3y>5f4=91201b983>7}:9k<1=574=0`;>4`e3ty?i;4?:2y>5g?=912013;mn6s|1c;94?4|58h26;30k0:jo5rs0`b>5<5s4;im7?ib:?2f=<6001v>m>:182=~;6jk0:5k521ca95<`<58ho6<7i;<3aa?7>n27:nk4>9g9>7f7=9oh01>m::0:;?87fm3;3463>ag82<==:;kh1=574=2``>4>>349ih7?79:?0f`<60016:84>899>23<6011685o519:891>d283m70:ld;3;<>;3m<0:45524d595<`<5=o36<7i;<6e2?7?027?j:4>899>16c=912018=i:0:;?xu41;0;6:u21c`95d4<58hh6fc9>5g6=91301:0::?85ej3;286s|1c`94?4|58hi6<2wx=om50;0x94dd28li70?m8;3:b>{t;==1<79t=0`g>4g5349h;7?79:?0a0<60016=o<519;896dd283?70=;7;3ef>;3m>0:m?5rs26g>5<0s4;ih7?n3:?0g2<61=16?h;5186894d5283?70=mc;3:b>;452z?2fa<6nk16=o651`08yv52;3:1;v3>bd82e7=:;j21=574=2g5>4>>349n;7?79:?0fa<61=16?8=51g`891c?28k97p}<5883>2}:9ko1=l=4=2a;>4?3349n:7?64:?0a2<61=16?oj518d8963>28li70:j8;3b7>{t9ko1<74`e34;i47?n3:p7c3=83=p14?3349m97?ib:?7hm:18487en3;j?634?3349ii7?6f:?0bg<6nk1685m51`18yv7en3:1>v3>bg82bg=:9k21=l:4}r3f1?6=;r7:hl4>869>5`1=913014`e34;n<7?6f:?2a4<61o16=h<51`7894c428k>70?j4;3b1>;6l:0:4i521e695=b<58n>6<6j;<3g2?7?m27?i94>899>0`2=913019h9:0::?834m3;3563>1682e0=:9821=l94=03:>4g034;:m7?n7:?25g<6i>1vdc82bg=z{8o<6=4={<3f3?7aj27:i;4>869~w4bd2909w0?kb;3;<>;6lj0:jo5rs0fg>5<5s4;on7?79:?2`a<6nk1vdd82<<=:98<1=kl4}r3ga?6=:r7:hh4>fc9>5ab=9120q~?k2;297~;6lo0:45521ed95=?<58n963}:9l:1=l<4=0g2>4g534>:m7?ib:?761<61=16=?m519;8944c28227p}>e183>7}:9l:1=kl4=0fg>4?33ty:i<4?:3y>5`7=9oh01e583>7}:9l>1=kl4=0fg>4g33ty94<4?:2y>620=91=01?6<:0::?84?:3;mn6s|26494?75s48<:7?ib:?13d<61=16>:l51`58971d28k<70<79;3b3>;50h0:m:5232195<7<5:9?6<7>;<101?7>9278?;4>909>0gc=90:019li:0;3?82d83;2=63;c082=4=:98;1=4?4=031>4?634;:?7?61:?251<6181v?6?:181840l3;3;63=8182bg=z{;=o6=4<{<04`?7aj27>oh4>899>1fc=9130q~<87;296~;50:0:455226595cd52z?1<6<6nk16>5<51958yv4003:1>v3=7682<==::>21=kl4}r04=?6=:r79;:4>889>62?=9oh0q~<8a;296~;5?h0:jo5226;95=>52z?13g<6nk16>:7519;8yv40k3:1>v3=7b82bg=::>31=4:4}r04a?6=:r79;h4>fc9>6=6=9120q~<8f;296~;5?o0:jo5229295=?7>53z?1af<60>16>k;519;897`428li7p}=ee83>7}::o?1=564=3gg>4`e3ty9j84?:3y>6c3=9oh01?h<:0:4?xu5n=0;6?u22g695cd<5;l86?8l;|q1a`<72;q6>hj519:897cb28li7p}=eg83>7}::ln1=574=3ge>4`e3ty9j=4?:3y>6c6=9oh01?ki:0:;?xu5n80;6?u22g395cd<5;om6<66;|q2gd<72;q6=n=5195894ee28li7p}>c283>6}:9j91=kl4=5d6>4>?34>m97?79:p5f3=838p14`e3ty:o;4?:3y>5f3=912010;6?u21b795=?<58i<67}:9j31=574=530>4`e3ty:o44?:3y>5f?=9oh01{t9lk1<74>034;nm7?ib:p5`?=838p128li7p}>ec83>7}:9lk1=564=0ga>4`e3ty:in4?:3y>5`g=91301ed83>7}:9lo1=kl4=0g`>4>>3ty94o4?:2y>6=2=91=01?6k:0::?84?k3;mn6s|29694?71s48387?ib:?1<=<61=16>57519c897>f282j70=?9;3;<>;5l80:45522ea95=?<5;no6<66;<0ga?7?1279hk4>889>0d3=9h9019o8:0c0?8c>283?70kn:0::?8ce282270h>:0::?8`5283?70h<:0::?8`e283?70hk:0;7?877;3;j>63>0582e7=z{;2>6=4={<0;`?7?0279484>fc9~w7>c2909w0<7d;3ef>;50j0:4:5rs3:5>5<5s48397?78:?1<3<6nk1v?68:18184?=3;3563=8682bg=z{;236=4={<0;899~w7>>2909w0<79;3ef>;50>0:445rs3:b>5<5s483m7?ib:?1<2<61=1v>??:181857<3;3;63<1082bg=z{::?6=4>0z?041<6nk16?=7519;8966f28k970;5l;0:m?522ea95<2<5;no6<7;;<0ga?7><279hk4>959>0d5=9h8019o::0c7?82f?3;j863i9;3;=>;ai3;3463>0282e6=:99>1=l=4}r13b?6=:r78869>75`=9oh0q~=?b;297~;48k0:jo5246;95=><5==26<66;|q040<72;q6?7}:;9?1=564=225>4`e3ty8<:4?:3y>753=91301>>8:0da?xu68m0;6>u231:95=><5::36<66;<33`?7aj2wx?=650;0x966?28li70=?7;3;<>{t;931<74`e349;;7?79:p75g=838p1>>n:0da?857?3;286s|31a94?4|5::h6{t;9o1<74`e349;j7?64:p74>=838p1>?=:0:4?85613;mn6s|30094?77s49:>7?ib:?052<60016?9>518689626283?70=;2;3:0>;4<:0:59522e295=?<5=k?6<27m=7?64:?e7?7><27mm7?79:?244<6i:16==<51`18yv56;3:1>v3<1882<2=:;891=kl4}r120?6=:r78=>4>899>742=9oh0q~=>5;296~;49:0:445230795cd52z?053<6nk16?<;519:8yv56?3:1>v3<1682bg=:;8?1=574}r115?6=:r78=l4>869>774=9oh0q~=>a;293~;49h0:jo5230d95<`<5:8;60:m?52eb82e7=:n<0:5k5rs23a>5<5s499>7?77:?05g<6nk1v>?l:181856j3;3463<1b82bg=z{:;o6=4={<12f?7?1278=i4>fc9~w67b2909w0=>e;3ef>;49m0:455rs4;1>5<0s49:j7?n2:?065<6i:1694<51g`893<6i;16;7?n3:?fg?7f;27m97?n2:p74`=838p1>?i:0da?856l3;356s|33294?4|5:8;6<2wx??m50;0x9644282<70==d;3ef>{t;;91<7ht=200>4`e349947?79:?06<<61o16??o51`48964e282j70:md;3;e>;3282270;518d89=<6i?16ii4>a79>b1<61o16jn4>889>557=9h>01<><:0c7?87683;3m6s|33694?4|5:8o6<68;<110?7aj2wx??;50;0x9643282370==5;3ef>{t;;<1<74>>3499:7?ib:p771=838p1><8:0da?855>3;346s|43:94?74s49947?64:?06<<6i;16??o51`58964e282i70:=8;3ef>;3:j0:595243f95d5<5=8n68c9>0?7><27>64g334;;87?n4:?255<60k1v><7:18185503;mn63<2782<<=z{:826=4={<11=?7aj278>;4>959~w64f2909w0==a;3ef>;4:?0:5k5rs20a>5<5s499n7?ib:?063<6i;1v>=8:181855m3;3;63<3982bg=z{:8n6=4k{<11a?7aj278?>4>939>762=90801>=::0;1?854>3;2>63;bd82=4=:4?534>h=7?62:?254<61;16=<<518089474283970?>4;3:6>{t;;l1<74>03499j7?ib:p766=838p1><50;0x965528li70=<1;3;<>{t;:91<74`e3498=7?79:p762=838p1>=;:0da?85493;286s|32794?4|5:9>6n2wx?>850;0x965128li70=<1;3b6>{t;=>1<7=t=21:>4>0349?:7?79:?000<6nk1v>=6:1824~;4;00:jo5232d95<2<5:>;6<7i;<175?7>n2788?4>9g9>715=90l01?jl:0;e?84cl3;2j63=dd82=c=::ml1=4h4=47;>4>>34>j?7?n3:?7e1<6i<168l;51`7891g128k>70:n7;3b1>{t;:k1<74>?3498m7?ib:p710=838p1>:9:0da?853=3;3;6s|32`94?4|5:9j6<67;<10f?7aj2wx?>m50;0x965f282270={t4>?3498h7?79:?7e7<6nk1v>=k:181854l3;mn63<3b82<==z{;ni6=4<{<10a?7?0278?h4>889>6ad=9oh0q~=52z?07c<6nk16?>m51868yvg=83op1>:?:0c1?85393;j>63<4382e7=:;=91=l<4=3f`>4g5348oh7?n2:?1``<6i;16>ih51`0891g428k?70:n4;3b2>;3i<0:m;524`495d0<5=k<652z?005<6nk16?>m518d8yv5393:1>v3<4082bg=:;:i1=l<4}r176?6=:r788?4>fc9>76e=9h90q~=;3;296~;4<:0:jo5232a95d2:47>52z?756<60>168<751g`8yv26=3:1>v3;1882<2=:<8?1=kl4}r620?6=:r7?=44k3:?751<6nk1v9?9:181826=3;3463;1782bg=z{=;<6=4={<621?7?127?=:4>fc9~w15d290>w0:>4;3;<>;39=0:445242495=><5=9=6<66;<60g?7aj2wx8?;50;1x917f282<70:=7;3;=>;3:?0:jo5rs53a>5<5s4>9;7?78:?75g<6nk1v9<8:181825?3;mn63;2782<2=z{=;h6=4={<62f?7?027?=n4>fc9~w17c2909w0:>b;3;=>;39m0:jo5rs53f>5<5s4>:i7?ib:?75a<6011v9?i:181826n3;mn63;1e82<<=z{88j6=4<{<614?7?027?>=4>889>57g=9oh0q~:=0;296~;3:90:jo5240f95<253z?764<601168??519;896?128li7p};2083>7}:<;;1=kl4=53g>4?a3ty?>?4?:3y>074=9oh019?k:0c1?xu3::0;6?u243195cd<5=;o66}:<;21=594=517>4>>34>8?7?ib:p067=838p19=?:0:4?82493;mn6s|43;94?4|5=9?6<67;<61=?7aj2wx8>:50;0x915328li70:<3;3;3>{t<;k1<74>?34>9m7?ib:p07d=838p19<6:0::?825j3;mn6s|43a94?4|5=8h6{t<;o1<74`e34>9n7?64:p07`=838p196<68;<60f?7aj2wx8>950;0x915e282<70:<7;3ef>{t<:<1<7a5<5=9=69519:8915?28li7p};3883>7}:<:=1=574=51:>4`e3ty>4k4?:2y>1=3=91=0187>:0::?83>83;mn6s|59794?e|5<2>6<27>4o4>a69>1=e=9h=0186k:0:`?83?m3;3o63:1b82e7=:=8n1=l=4=425>4?a34?;j7?6f:?654<60m169<<519f8yv3?>3:1>v3:9082<==:=1<1=kl4}r7:5?6=:r7>5<4>fc9>1<6=91=0q~;77;296~;20?0:455259595cd52z?6<3<6001695651g`8yv3683:1?v3:8882<==:=131=574=433>4`e3ty>444?:3y>1=?=9oh01867:0:;?xu20h0;6?u259c95cd<5<236<66;|q6?283?7p}:8b83>7}:=1i1=kl4=4:;>4?a3ty>4i4?:3y>1=b=9oh01867:0c1?xu20l0;6?u259g95cd<5<236{t1<7?:{<6`0?7aj27?ni4>8b9>0gc=908019li:0;1?82d83;2?63;c082=6=:m00:5k52e`82=c=:mk0:5k52eb82e1=:mm0:4l52f082=c=:n;0:5k52f282=c=:n=0:m>52f482e6=:n00:5952f`82=1=:nk0:5k52fb82=c=:nm0:5k5rs5`;>5<5s4>h?7?77:?7f=<6nk1v9l6:18182e03;3463;b882bg=z{=hj6=4={<6afc9~w`>=839p19lm:0:;?82ej3;3563j8;3ef>{t4`e34>im7?78:pac<72:q68om519:891dd282270ki:0da?xu3jj0;6?u24ca95cd<5=hj6<66;|q7fa<72;q68oj51g`891df283?7p};bd83>7}:4?a3ty?nk4?:3y>0g`=9oh019ln:0c1?xu3k90;6?u24b295cd<5=hj67}:=0l1=594=4ca>4`e3ty>5k4?:05x90?a28li70:m2;3;<>;3j;0:445223295=?<5;8:6<67;<007?7?1279?94>899>66g=91301?=m:0:;?84393;3563=4382<==::=21=574=36:>4>?348>87?79:?110<60116>8l519;8973d282370<92;3;=>;5>:0:445227;95=?<5;899~w0g72909w0;nb;3;3>;2i90:jo5rs4c2>5<5s4?j<7?78:?6e4<6nk1v8o=:18183f83;3563:a382bg=z{8>i6=4<{<7b7?7?027>m>4>889>51d=9oh0q~;n3;296~;2i:0:jo525`095=>?7>53z?6e1<601169l:519;8943428li7p}:a583>7}:=h>1=kl4=4c1>4>>3ty>m84?:3y>1d3=9oh018o=:0;7?xu2i?0;6?u25`495cd<54g534?3>7?n2:?6==<6i:1694751`1890?f28k870;6b;3b7>;6<<0:5k5215495<`<58>m6a59>503=9h>01<;9:0c7?872?3;j863>5b82e6=:94g434;>j7?n3:?225<6i:16=;;51`18940c28k870?9e;3b7>;6??0:m?5216595d452z?6e2<6nk169l<51`08yv3f03:1>v3:a982bg=:=h81=l=4}r7b=?6=:r7>m44>fc9>1d4=9h>0q~;l7;297~;2jk0:4:525b;95=?<56}:=j91=kl4=06`>4>?34;?o7?79:p1ge=838p18m6:0:;?83ek3;mn6s|5b;94?4|5{t=ko1<74>>34?ii7?ib:p515=839p18li:0:;?83en3;3563>4282bg=z{nh4>899~w0e72909w0;l0;3ef>;2jl0:445rs4a2>5<5s4?h=7?ib:?6f`<61=1v8m=:18183d:3;mn63:bd82=c=z{o;4>899~w0e22909w0;l5;3ef>;2k?0:445rs4`;>5<4s4?jo7?77:?6fd<600169o751g`8yv3e?3:1>v3:b582<2=:=k=1=kl4}r7b`?6=:r7>nl4>899>1db=9oh0q~;ma;296~;2jh0:jo525c;95=152z?6ea<601169lk51g`8yv3fn3:1>v3:ae82<<=:=hl1=kl4}r7a4?6=:r7>n=4>fc9>1d`=9120q~;m1;296~;2j80:jo525`d95=?7>52z?6f7<6nk169lh51868yv3e;3:1>v3:b282bg=:=hl1=4h4}r7a1?6=:r7>n84>fc9>1g1=9120q~;m6;296~;2j?0:jo525c595=?53z?6gd<60>169i9519;890b128li7p}:cc83>7}:=m=1=564=4aa>4`e3ty>h:4?:3y>1a1=9oh018j9:0:4?xu2kj0;6?u25b`95=><57}:=jo1=kl4=4ag>4>?3ty?584?:2y>1f`=912018mi:0::?82>=3;mn6s|5bd94?4|550;0x90b728li70;ld;3:0>{t=m;1<74`e34?hh7?6f:p1a4=838p18j=:0da?83dl3;j>6s|5e194?4|5{t4>034>=n7?79:?72d<6nk1v98?:181821j3;3463;6182bg=z{=869~w1062909w0:90;3;<>;3>80:jo5rs541>5<5s4>=<7?79:?727<6nk1v98<:181821;3;mn63;6382<==z{=889~w1022909w0:95;3ef>;3>;0:595rs545>5<5s4>=:7?ib:?727<61o1v988:181821?3;mn63;6382e7=z{=<36=4={<65a29~w13c2909w0::7;3;3>;3=l0:jo5rs57;>5<5s4>>i7?77:?71=<6nk1v9;6:18182203;3463;5882bg=z{=?j6=4={<66fc9~w13e2909w0::b;3ef>;3=h0:455rs57`>5<5s4>>o7?ib:?71d<6001v>89:180852n3;3;63<6982<<=:;?=1=kl4}r154?6=:r78:54>899>736=9oh0q~=98;296~;4>10:jo5237595=152z?025<60116?;?51g`8yv51:3:1>v3<6182<<=:;?81=kl4}r1:b?6=jr78:>4>889>732=9h901>8i:0:;?85003;3463<8082<<=:;181=4h4=2:a>4>>3493o7?n2:?0=c<6nk16?l6519:896g?28227p}<}:;?91=4:4=246>4g4349=j7?79:?03=<60016?5?5186896>4283m70=7b;3:0>;40m0:m?523`795cd52z?026<6nk16?;<519:8yv51<3:1>v3<6582bg=:;?81=574}r151?6=:r78:84>fc9>734=90>0q~=80;296~;4>00:4:5236395cd52z?034<60>16?;o51g`8yv51j3:1>v3<6`82<==:;?h1=kl4}r15g?6=:r78:l4>889>73e=9oh0q~=9d;296~;4>m0:jo5237a95=>52z?02`<6nk16?;m519;8yv51n3:1>v3<6g82bg=:;?i1=4:4}r1`e?6=:r78o<4>869>7fd=9oh0q~=l2;296~;4kk0:4:523b095cd52z?0g7<60116?n=51g`8yv5d<3:1>v31=kl4}r1`g?6=90q6?n;519;896e1283?70=l7;3:b>;4k10:5k523b;95<`<5:ih6n278i;4>9g9>7`1=90l01>k7:0;e?85b13;2j63>ad82<<=:9hl1=574=0`3>4?334;i=7?64:?2f7<61o16:84>889>23<6001685o519;891ec282270:j5;3;=>;3n?0:59524g595=?<5<9n6<7;;<70b?7?12wx?n;50;0x96e228li70=l4;3;<>{t;j<1<74`e349h87?79:p7f1=838p1>m8:0da?85d<3;286s|3b:94?4|5:i36n2wx?n750;0x96e>28li70=l4;3b6>{t;>h1<74>03499l:0:4?850;3;mn6s|36694?4|5:=86<67;<140?7aj2wx?:;50;0x9614282270=85;3ef>{t;><1<74`e349<97?78:p721=838p1>98:0da?850=3;356s|36:94?4|5:=36<2wx?:750;0x961>28li70=85;3:b>{t;>k1<74`e349<97?n2:p7=2=839p1>9k:0:4?85?>3;3563<8482bg=z{:=n6=4={<1;2?7?0278;h4>fc9~w6>12909w0=76;3ef>;40<0:4:5rs25e>5<5s496?:181850m3;3563<8182bg=z{:2:6=4={<1;5?7aj2784=4>899~w6>52909w0=72;3ef>;4090:445rs2:0>5<5s493?7?ib:?0<5<61=1v>6i:18085??3;3;63<9082<<=:;0:1=kl4}r1;899>7=>=9oh0q~=61;296~;4180:jo5238295=152z?0<=<60116?5751g`8yv5?i3:1>v3<8982<<=:;1k1=kl4}r1;f?6=:r784o4>fc9>7=g=9120q~=7c;296~;40j0:jo5239c95=?52z?0v3<8d82bg=:;1k1=4h4}r1g4?6=:r78on4>869>7a7=9oh0q~=ld;296~;4l80:4:523bf95cd52z?0ga<60116?nk51g`8yv5dn3:1>v3;4m<0:m?523d495d4<5:o<6a39>5dc=90>01b082=c=:9k81=l<4=7795<2<5?<1=4:4=5:b>4?334>n97?64:?7b3<61o168k951868905b283m70;{t;m<1<74>0349o;7?ib:p7a5=838p1>j8:0:4?85c;3;mn6s|3e694?4|5:n86<67;<1g0?7aj2wx?i;50;0x96b4282270=k5;3ef>{t;m21<7?<{<1g1?7?0278h84>889>7a>=9oh01>k::0c0?85b>3;j?634g434;ji7?6f:?2ec<61o16=o>51`0894d628k970?m2;3b7>;1=3;2j6396;3:b>;30h0:5k524d795<`<5=l<6<7i;<70b?7>n2wx?ik50;0x96b?282<70=kf;3ef>{t;mn1<74>0349oh7?ib:p7ae=839p1>jl:0da?85b;3;3463fc9~w6bf2909w0=k9;3;<>;4lh0:jo5rs2fa>5<5s49o57?79:?0`g<6nk1v>k?:1827~;4lk0:45523e`95=?<5:o;6a59>7`1=9h>01>k7:0c7?85b13;j863>ad82e7=:9hl1=l<4=0`3>4g434;i=7?n3:?2f7<6i=16:84>a39>23<6i;1685o51`0891c228k970:i7;3b6>;2;o0:m?5rs2ca>5<>s49oh7?79:?0a3<6i<16?h651`7896ge28li70=i2;3;=>;6il0:m>521c295d2<58h964g43ty8ii4?:8y>7ab=90>01>k::0c6?85b?3;j9634>?34;jj7?n3:?2f4<6i=16:;4>a29~w6ce2909w0=j0;3;3>;4mj0:jo5rs2gb>5<5s49n87?77:?0ad<6nk1v>k;:18085b<3;mn63>ae82<==:9hn1=574}r1f5?6=:r78in4>869>7`7=9oh0q~=j2;296~;4m80:45523d095cd52z?0a4<60016?h=51g`8yv5b=3:1>v3fc9>7`g=9130q~=j7;296~;4m>0:jo523dc95<252z?0a=<6nk16?ho518d8yv5b13:1>v3869>044=9oh0q~:?e;296~;39;0:4:5241g95cd;j7>52z?74`<601168=h51g`8yv2683:1>v3;0d82<<=:<8:1=kl4}r0b0?6=;r79m=4>869>6d0=91301?o::0da?xu5i80;6?u22`495=><5;k:6l851g`897g2282<7p}=a383>7}::h;1=564=3c1>4`e3ty9m>4?:3y>6d7=91301?o<:0da?xu5k>0;6>u22b195=1<5;i26<66;<0`n:50;0x97e>282370{t:j31<74`e348h47?77:p6f3=838p1?m;:0:;?84d=3;mn6s|2b494?4|5;i?6<66;<0`2?7aj2wx>i:50;1x97ef282<70;5l<0:jo5rs3f0>5<5s48hi7?77:?1`6<6nk1v?mj:18084dm3;mn63;a082<==:899>6fd=9oh0q~52z?1gg<60116>nm51g`8yv4dl3:1>v3=cc82<<=::jn1=kl4}r0`b?6=:r79ok4>fc9>6a5=9120q~52z?1`4<6nk16>i=51868yv4c:3:1>v3=d382bg=::m91=4h4}r1:`?6=:r785?4>869>752z?0=`<60>16?4=51g`8yv5><3:1>v3<9282<==:;0>1=kl4}r1:1?6=:r785>4>889>7<3=9oh0q~;>e;297~;41<0:455238795=?<5<;n67}:;021=kl4=2;`>4>>3ty8544?:3y>77l:0;7?xu41h0;6?u238c95cd<5:3h6<7i;|q0=g<72;q6?4l51g`896?d28k97p}7}:;hh1=594=2`3>4`e3ty8mn4?:3y>7g6=91=01>ol:0da?xu4im0;6?u23`a95=><5:ko6cb83>6}:;ho1=564=2cf>4>>34;ho7?ib:p7c5=838p1>kk:0:4?85a<3;mn6s|3dg94?4|5:l?6<68;<1fa?7aj2wx?hh50;0x96cb282370=jf;3ef>{t;o:1<74>>349m<7?ib:p7g7=839p1>h>:0::?85a:3;2863899~w6`52909w0=i2;3ef>;4n90:445rs444>5<5s4?=?7?77:?62=<6nk1v88;:18183103;3;63:6582bg=z{<<>6=4={<750?7?027>:84>fc9~w0012909w0;94;3;=>;2>?0:jo5rs0`0>5<5s4;j57?77:?2f1<6nk1va`82bg=z{8ki6=4={<3be?7?027:mo4>fc9~w4gd2909w0?na;3;=>;6ij0:jo5rs0cg>5<5s4;jh7?ib:?2ef<6011vab82<<=z{8km6=4={<3bb?7aj27:mn4>959~w4d72909w0?m0;3ef>;6ij0:5k5rs0`2>5<5s4;i=7?ib:?2ef<6i;1vab82e6=z{;ki6=4<{<0b3?7??279mi4>889>6de=9oh0q~52z?1ea<6nk16>lm51958yv4f13:1>v3=a982<==::h31=kl4}r0be?6=:r79m54>889>6dg=9oh0q~o:519:897ga28li7p}=b583>7}::k>1=kl4=3`0>4>03ty9n=4?:3y>6d`=91201?l?:0da?xu5j80;6?u22`d95=?<5;h:6o;5195897de282270{t:k<1<74>?348i:7?ib:p6gd=838p1?lm:0da?84ei3;3;6s|2c594?4|5;h=6<67;<0a3?7aj2wx>o650;0x97d1282270{t:j:1<7=t=3``>4>0348h>7?79:?1g4<6nk1v?lk:18184d:3;3463=be82bg=z{;i96=4={<0`6?7aj279o<4>869~w7db2909w0;5jl0:jo5rs3`e>5<5s48ih7?79:?1fc<6nk1v?k>:18084c?3;3;63=e282<<=::l81=kl4}r0f4?6=:r79ho4>869>6`6=9oh0q~52z?1a6<6nk16>h<51958yv4c13:1>v3=d982<==::m31=kl4}r0ge?6=:r79h54>889>6ag=9oh0q~52z?1`a<6nk16>h>519;8yv4cm3:1>v3=dd82bg=::l:1=4:4}r0gb?6=:r79hk4>fc9>6`6=90l0q~=n3;296~;41o0:4:523`695cd52z?0e1<60>16?l>51g`8yv5f93:1>v3889>7d4=9oh0q~<81;296~;5>m0:4:5226095cd52z?137<60>16>;k51g`8yv41n3:1>v3=6d82<==::?l1=kl4}r044?6=:r79:h4>889>626=9oh0q~=n9;296~;4i<0:4:523`c95cd52z?0ed<60>16?l851g`8yv5f?3:1>v3889>7d>=9oh0q~=mf;296~;4j>0:4:523b295cd54z?0f2<6nk16?o:519:896d3282270:j5;3b7>{t;k21<74>0349i47?ib:p7g?=838p1>l7:0:;?85e13;mn6s|3cc94?4|5:h36<66;<1ae?7aj2wx?ol50;0x96de28li70=ma;3;<>{t;ki1<74`e349im7?79:p7gb=838p1>lk:0da?85ei3;286s|3cg94?4|5:hn6n2wx8=;50;0x9166282<70:?6;3ef>{t<981<74>034>;>7?ib:p055=838p19>=:0:;?827;3;mn6s|41694?4|5=:96<66;<630?7aj2wx8=l50;0x9160282<70:?c;3ef>{t<921<74>034>;47?ib:p05?=838p19>7:0:;?82713;mn6s|41c94?4|5=:36<66;<63e?7aj2wx89950;0x915d282<70:;8;3ef>{t<:n1<74>034>8h7?ib:p06c=838p19=k:0:;?824m3;mn6s|42d94?4|5=9o6<66;<60b?7aj2wx89>50;0x912728li70:{t<=;1<74`e34>8j7?79:p573=839p19:=:0:;?823:3;3563>2482bg=z{=>96=4={<676?7aj27??k4>959~w45?2908w0:;3;3;<>;3<:0:445212:95cd??7>52z?706<6nk168>h518d8yv23<3:1>v3;4582bg=:<:l1=l<4}r671?6=:r7?884>fc9>06`=9h90q~:;6;296~;34>034<36=91=01;<51g`8yv042909w08=:0:;?80428li7p}94;296~;1:3;356394;3ef>{t><0;6?u26482bg=:>=0:455rs7494?4|5?<1=kl4=7695=?4>034{t9m21<74>034;o57?ib:p5a1=838p1{t9jl1<74>>34;hj7?ib:p54b=839p11e82bg=z{8n;6=4={<3g4?7aj27:ok4>899~w4b62909w0?k1;3ef>;6ko0:445rs0f0>5<5s4;o?7?ib:?2`2<6011vd682<<=z{8n>6=4={<3g1?7aj27:h:4>959~w4b12909w0?k6;3ef>;6l>0:5k5rs221>5<5s48mi7?77:?046<6nk1v?hi:181857;3;3;63=fg82bg=z{::;6=4={<0eb?7?0278<=4>fc9~w6662909w0;4880:jo5rs26a>5<5s49?;7?77:?00f<6nk1v>:7:181853k3;3;63<4982bg=z{:>26=4={<17fc9~w62f2909w0=;8;3;=>;45<5s49?h7?77:?017<6nk1v>:j:181852:3;3;63<4d82bg=z{:>m6=4={<17a?7?02788k4>fc9~w6372909w0=;e;3;=>;4=90:jo5rs274>5<5s49>?7?77:?01=<6nk1v>;;:18185203;3;63<5582bg=z{:?>6=4={<160?7?0278984>fc9~w6312909w0=:4;3;=>;4=?0:jo5rs27g>5<5s49>57?77:?01`<6nk1v>;n:181852m3;3;63<5`82bg=z{:?i6=4={<16e?7?02789o4>fc9~w63d2909w0=:a;3;=>;4=j0:jo5rs2`6>5<5s49i=7?77:?0f3<6nk1v>l=:18185e>3;3;634>fc9~w6d32909w0=m2;3;=>;4j=0:jo5rs2d:>5<5s49m97?77:?0bd<6nk1v>h9:18185ai3;3;63fc9~w6`?2909w0=i6;3;=>;4n10:jo5rs2de>5<5s49mn7?77:?745<6nk1v>hl:18182783;3;63fc9~w6`b2909w0=ic;3;=>;4nl0:jo5rs4:0>5<5s4?<47?77:?6<1<6nk1v897:18683003;mn63:1b82e6=:=8n1=l:4=425>4g534?;j7?n2:p12?=838p186;:0:4?83013;mn6s|56c94?4|5<=26<67;<74e?7aj2wx9:l50;0x901>282270;8b;3ef>{t94>?34?;i4>889>534=9oh0q~;8d;296~;2?m0:jo5256`95=?52z?63`<6nk169:l51868yv30n3:1>v3:7g82bg=:=>h1=4h4}r7;4?6=:r7>4=4>fc9>12d=9h80q~;71;296~;2080:jo5256`95d57>52z?6<7<6nk169:l51`68yv3>l3:1>v3:9382<2=:=0o1=kl4}r7:7?6=:r7>5h4>869>1<5=9oh0q~;64;296~;21:0:455258695cd52z?6=6<6001694;51g`8yv71i3:1?v3:9782<==:=0<1=574=04b>4`e3ty>5;4?:3y>1<0=9oh0187::0:;?xu6?80;6>u258595=><5<3<6<66;<345?7aj2wx94950;0x90?028li70;65;3;=>{t=021<74`e34?297?64:p1=3;2j6s|58c94?4|5<3j6{t=0i1<74`e34?297?n4:p0=b=838p1968:0:4?82?m3;mn6s|49a94?4|5=2i6<68;<6;g?7aj2wx85650;0x91>b282<70:78;3ef>{t<131<74>?34>357?ib:p0=g=838p1967:0::?82?i3;mn6s|4c194?4|5=km6<68;<6a0?7aj2wx8o>50;0x91d3282<70:m0;3ef>{t4>?34>i=7?ib:p0g4=838p19l?:0::?82e:3;mn6s|4bg94?4|5=ij6<68;<6`b?7aj2wx8nl50;0x91ea282<70:lb;3ef>{t4>?34>ho7?ib:p0fb=838p19mm:0::?82dl3;mn6s|4dc94?4|5=o;6<68;<6ff?7aj2wx8h750;0x91c1282<70:j9;3ef>{t4>034>n=7?ib:p0`4=838p19k>:0:;?82b:3;mn6s|4d194?4|5=o:6<66;<6f7?7aj2wx8h:50;0x91c328li70:j3;3;<>{t4`e34>n?7?79:p0`1=838p19k8:0da?82b13;346s|4d:94?4|5=o36{t4>034>m>7?ib:p0c5=838p19h=:0:;?82a;3;mn6s|4g694?4|5=l96<66;<6e0?7aj2wx8k;50;0x91`228li70:i4;3;<>{t4`e34>m87?79:p0c1=838p19h8:0da?82a<3;286s|55294?4|5<926<68;<775?7aj2wx9>o50;0x9026282<70;{t=:h1<74>?34?8n7?ib:p16e=838p18=n:0::?834k3;mn6s|52f94?4|5<9o6k50;0x905b28li70;{t=:l1<74`e34?8o7?64:p674=838p1??l:0:4?845:3;mn6s|20f94?4|5;896<68;<02`?7aj2wx>e;3ef>{t:8l1<74>>348:j7?ib:p676=838p1??k50;0x9744282<70<=e;3ef>{t:;n1<74>03489h7?ib:p671=839p1?<8:0da?873<3;3463>4582<<=z{;8?6=4={<01a?7??279>94>fc9~w7422909w0<=4;3;<>;5:<0:jo5rs305>5<5s48987?79:?163<6nk1v<=i:180845>3;3463=2782<<=:9:l1=kl4}r0154>fc9>67b=9120q~<=9;296~;5:00:jo5223f95=?52z?16d<6nk16>?j51868yv45j3:1>v3=2c82bg=::;n1=4h4}r01g?6=:r79>n4>fc9>67b=9h80q~<<5;296~;5:o0:4:5222795cd52z?170<60>16>>>51g`8yv4493:1>v3=3182<==:::;1=kl4}r006?6=:r79?=4>889>664=9oh0q~<<3;296~;5;:0:jo5222095=>52z?171<6nk16>><519;8yv44k3:1>v3=3782<2=:::i1=kl4}r003?6=:r79?n4>869>661=9oh0q~<<8;296~;5;>0:455222:95cd52z?172<60016>>751g`8yv44i3:1>v3=3`82bg=:::31=564}r00f?6=:r79?o4>fc9>66?=9130q~<;3;296~;5;m0:4:5225195cd52z?106<60>16>>k51g`8yv44n3:1>v3=3d82<==:::l1=kl4}r074?6=:r79?h4>889>616=9oh0q~<;1;296~;5<80:jo5225295=>7>52z?107<6nk16>9>519;8yv43n3:1>v3=4582<2=::=l1=kl4}r07a?6=:r798l4>869>61c=9oh0q~<;a;297~;5<58??6<66;|q100<72;q6>9h51958972228li7p}=4783>7}::=?1=564=365>4`e3ty98:4?:3y>613=91301?:8:0da?xu5<10;6?u225:95cd<5;><6<67;|q10<<72;q6>9751g`8972028227p}=4c83>7}::=h1=kl4=36f>4>?3ty98n4?:3y>61e=9oh01?:j:0::?xu5n6<7;;|q113<72;q6>8>51958973128li7p}=5083>7}::<<1=594=372>4`e3ty99?4?:3y>607=91201?;=:0da?xu5=:0;6?u224395=?<5;?868:51g`8973428237p}=5483>7}::4>>3ty99i4?:3y>601=91=01?;k:0da?xu5=10;6?u224f95=1<5;?3686519:8973>28li7p}=5`83>7}::<21=574=37b>4`e3ty99o4?:3y>60d=9oh01?;n:0:;?xu5=j0;6?u224a95cd<5;?j6<66;|q121<72;q6>8k51958970328li7p}=5g83>7}::?>1=594=37e>4`e3ty9:=4?:3y>60`=91201?8?:0da?xu5>80;6?u224d95=?<5;<:6;<51g`8970628237p}=6283>7}::?91=kl4=342>4>>3ty9:o4?:3y>633=91=01?8m:0da?xu5>?0;6?u227`95=1<5;<=6;8519:8970028li7p}=6983>7}::?<1=574=34;>4`e3ty9:44?:3y>63?=9oh01?87:0:;?xu5>h0;6?u227c95cd<5;<36<66;|q645<72;q68km51958906728li7p};fe83>7}:=9:1=594=5dg>4`e3ty?jh4?:3y>0cb=912019hj:0da?xu3no0;6?u24gf95=?<5=lm67}:=:91=594=413>4`e3ty>?<4?:3y>166=912018=>:0da?xu2;;0;6?u252295=?<5<99628li7p}:5783>7}:=<31=594=475>4`e3ty>9:4?:3y>100=912018;8:0da?xu2=10;6?u254495=?<57}:<>81=594=54e>4`e3ty?;=4?:3y>03`=9120199?:0da?xu3?80;6?u247d95=?<5==:67}:4`e3ty?h54?:2y>0a>=9oh01<8<:0:;?871;3;356s|4e194?4|5=no6<68;<6g7?7aj2wx8i:50;0x91b4282370:k4;3ef>{t4>>34>o97?ib:p0a0=838p19j9:0da?82c=3;346s|4e594?4|5=n<628li70:kc;3;<>{t4`e34>oo7?79:p0ad=838p19jm:0da?82ck3;286s|53294?4|5<;<6<68;<714?7aj2wx9f;3ef>{t=821<74>034?:47?ib:p14?=838p18?7:0:;?83613;mn6s|50c94?4|5<;36<66;<72e?7aj2wx9a;3;<>{t=8i1<74`e34?:m7?79:p14b=838p18?k:0da?836i3;286s|ag83>7}:ik0:4:52ag82bg=z{hi1<75<5s4kh6<67;4`e3tyji7>52z?bg?7?127ji7?ib:pf2<72;q6n>4>869>f2<6nk1vo:50;0x9g1=91=01o:51g`8yvd22909w0l;:0:;?8d228li7p}m6;296~;e<3;3563m6;3ef>{t<1:1<7=t=556>4>0346282<70:86;3ef>{t<>=1<74>?34><;7?ib:p02>=838p1999:0::?82003;mn6s|46;94?4|5==26;68?0:jo5rs55b>5<5s4>9g9~w11c2909w0:8d;3ef>;3?10:m?5rs55f>5<5s4>fc9~w1g?2909w0:n2;3;3>;3i10:jo5rs5;e>5<5s4>j57?77:?7=c<6nk1v9o?:18182>n3;3463;a182bg=z{=k:6=4={<6:b?7?127?m<4>fc9~w1g42909w0:n3;3ef>;3i10:455rs5c7>5<5s4>j87?ib:?7e=<6001v9o::18182f=3;mn63;a982=1=z{=k=6=4={<6b2?7aj27?m54>9g9~w1g02909w0:n7;3ef>;3i10:m?5rs42:>5<5s4?;?7?77:?64<<6nk1v8>7:181837?3;3;63:0982bg=z{<:?6=4={<73=?7??27><94>fc9~w0622909w0;?4;3;<>;28<0:jo5rs425>5<5s4?;87?79:?643<6nk1v8?;:181837k3;3;63:1582bg=z{<;86=4={<724?7??27>=>4>fc9~w06c2909w0;>4;3;3>;28m0:jo5rs42f>5<5s4?;h7?78:?64`<6nk1v8>i:181837l3;3563:0g82bg=z{<;:6=4={<725?7aj27>=>4>899~w0752909w0;>2;3ef>;29:0:445rs40`>5<5s4?9:7?77:?66f<6nk1v8<8:181835k3;3;63:2682bg=z{<836=4={<713?7?027>>54>fc9~w04>2909w0;=7;3;=>;2:00:jo5rs40b>5<5s4?9m7?ib:?66<<6011v8fc9~w1?f2909w0:65;3;3>;31h0:jo5rs5;1>5<5s4>2n7?77:?7=7<6nk1v97<:18182>:3;3463;9282bg=z{=3?6=4={<6:6?7?127?594>fc9~w1?12909w0:66;3ef>;31h0:455rs5;4>5<5s4>2;7?ib:?7=d<6001v977:18182>03;mn63;9`82=1=z{=326=4={<6:=?7aj27?5l4>9g9~w<<72;q6m7?77:?:>4`e3ty;6=4={<;95=1<590:jo5rs083>7}:83;3463>:0da?xu52909w0>519;897<6nk1v<>i:18085=91201>4>889>55`=9oh0q~=50;0x96<6nk16>7?78:p0?6=:r7?6fc9>6?7f:2wx47>52z?;>4`e3481=l=4}rg4>5<5s4o:6<68;4`e3tyn=7>53z?f5?7aj27:=h4>899>54c=9130q~k=:1818c528li70k8:0:;?xub;3:1>v3j3;3ef>;b?3;356s|e583>7}:m=0:jo52e682=1=z{l?1<75<5s4o=64g53tyni7>52z?ffc9>a`<6011vho50;0x9`g=9oh01hk519;8yvce2909w0km:0da?8cb283?7p}jc;296~;bk3;mn63je;3:b>{tmm0;6?u2ee82bg=:ml0:m?5rsg494?4|5ll1=594=g495cd4>?34l;6<66;4`e3tym<7>52z?e4?7aj27m:7?78:pb4<72;q6j<4>fc9>b3<6001vk<50;0x9c4=9oh01k851868yv`42909w0h<:0da?8`1283m7p}i4;296~;a<3;mn63i6;3b6>{tn<0;6?u2f482bg=:n?0:m>5rsgg94?4|5o=1=594=gg95cd4>?34l36<66;4`e3tym47>52z?efc9>b`<6001vko50;0x9cg=9oh01kk51868yv`e2909w0hm:0da?8`b283m7p}ic;296~;ak3;mn63ie;3b6>{tnm0;6?u2fe82bg=:nl0:m>5rs026>5<5s4lm6<68;<331?7aj2wx==>50;0x946728li70??5;3;<>{t99;1<74`e34;;97?79:p554=838p1<>=:0da?877=3;286s|11194?4|58:86n2wx==:50;0x946328li70??5;3b6>{t99i1<74>034;;o7?ib:p551=838p1<>8:0da?877k3;346s|11:94?4|58:3628li70??c;3:0>{t99k1<74`e34;;o7?6f:p55d=838p1<>m:0da?877k3;j>6s|11g94?4|58:o6<68;<33a?7aj2wx=<;50;0x946a282<70?>5;3ef>{t98:1<74`e34;:97?78:p547=838p1:0da?876=3;356s|10094?4|58;96<2wx=<=50;0x947428li70?>5;3:b>{t98>1<74`e34;:97?n2:p54e=838p1c;3;=>{t9831<74`e34;:o7?64:p54g=838p1{t98o1<74`e34;987?78:p54`=838p1<2wx=??50;0x944628li70?=4;3:b>{t9;81<74`e34;987?n2:p575=838p1<<<:0da?875<3;j?6s|13;94?4|588>6<68;<31=?7aj2wx=?850;0x944128li70?=9;3;<>{t9;=1<74`e34;957?79:p57>=838p1<<7:0da?87513;286s|12294?4|588j6<68;<304?7aj2wx=?l50;0x944e28li70?<0;3;<>{t9;i1<74`e34;8<7?79:p57b=838p1<n2wx=?h50;0x944a28li70?<0;3b6>{t9:=1<74>034;8;7?ib:p564=838p1<==:0da?874?3;346s|12194?4|58986:50;0x945328li70?<7;3:0>{t9:?1<74`e34;8;7?6f:p560=838p1<=9:0da?874?3;j>6s|12g94?4|58936<68;<30a?7aj2wx=>750;0x945>28li70?{t9:k1<74`e34;8i7?79:p56d=838p1<=m:0da?874m3;286s|12a94?4|589h6n2wx=>j50;0x945c28li70?{t9=81<74>034;?>7?ib:p516=838p1<:?:0da?873:3;346s|15394?4|58>:6{t9=>1<74`e34;?m7?78:p513=838p1<:::0da?873i3;356s|15494?4|58>=6<2wx=9950;0x942028li70?;a;3:b>{t9=21<74`e34;?m7?n2:p51?=838p1<:6:0da?873i3;j?6s|14094?4|58>i6<68;<366?7aj2wx=9m50;0x942d28li70?:2;3;<>{t9=n1<74`e34;>>7?79:p51c=838p1<:j:0da?872:3;286s|15d94?4|58>m6n2wx=8>50;0x943728li70?:2;3b6>{t9<;1<74`e34;>>7?n3:p50g=838p1<;<:0:4?872i3;mn6s|14694?4|58??6{t9<<1<74`e34;>m7?64:p501=838p1<;8:0da?872i3;2j6s|14:94?4|58?3628li70?:a;3b7>{t9?;1<74>034;==7?ib:p50e=838p1<;l:0da?87193;346s|14f94?4|58?o6{t94`e34;==7?6f:p536=838p1<8?:0da?87193;j>6s|17;94?4|58<96<68;<35=?7aj2wx=;=50;0x940428li70?99;3;<>{t9?>1<74`e34;=57?79:p533=838p1<8::0da?87113;286s|17494?4|58<=6n2wx=;950;0x940028li70?99;3b6>{t9?21<74`e34;=57?n3:p526=838p1<8n:0:4?87083;mn6s|17`94?4|58{t9?n1<74`e34;<<7?64:p53c=838p1<8j:0da?87083;2j6s|17d94?4|58{t9>31<7=t=051>4>?34;<>7?79:?23<<6nk1v<9=:181870:3;mn63>7982<==z{8=86=4={<347?7aj27:;54>889~w4132909w0?84;3ef>;6?10:595rs056>5<5s4;<97?ib:?23=<61o1v<99:181870>3;mn63>7982e7=z{8=<6=4={<343?7aj27:;54>a29~w41f2909w0?89;3;3>;6?h0:jo5r}o4:0<<728qvb;7;a;295~{i>0>i6=4>{|l5=1e=83;pqc864e83>4}zf?3?i7>51zm2<2a290:wp`994294?7|ug<29<4?:0y~j3?2:3:1=vsa6870>5<6std=58:50;3xyk0>=<0;60?i6=4>{|l5=0e=83;pqc865e83>4}zf?3>i7>51zm2<3a290:wp`997294?7|ug<2:<4?:0y~j3?1:3:1=vsa6840>5<6std=5;:50;3xyk0>><0;60{|l5=3e=83;pqc866e83>4}zf?3=i7>51zm2<0a290:wp`996294?7|ug<2;<4?:0y~j3?0:3:1=vsa6850>5<6std=5::50;3xyk0>?<0;621<7?t}o4:3<<728qvb;78a;295~{i>0=i6=4>{|l5=2e=83;pqc867e83>4}zf?351zm2<1a290:wp`999294?7|ug<24<4?:0y~j3??:3:1=vsa68:0>5<6std=55:50;3xyk0>0<0;602i6=4>{|l5==e=83;pqc868e83>4}zf?33i7>51zm2<>a290:wp`998294?7|ug<25<4?:0y~j3?>:3:1=vsa68;0>5<6std=54:50;3xyk0>1<0;603i6=4>{|l5=4}zf?32i7>51zm25<6std=5l:50;3xyk0>i<0;60ki6=4>{|l5=de=83;pqc86ae83>4}zf?3ji7>51zm25<6std=5o:50;3xyk0>j<0;60hi6=4>{|l5=ge=83;pqc86be83>4}zf?3ii7>51zm25<6std=5n:50;3xyk0>k<0;60ii6=4>{|l5=fe=83;pqc86ce83>4}zf?3hi7>51zm25<6std=5i:50;3xyk0>l<0;60ni6=4>{|l5=ae=83;pqc86de83>4}zf?3oi7>51zm25<6std=5h:50;3xyk0>m<0;60oi6=4>{|l5=`e=83;pqc86ee83>4}zf?3ni7>51zm25<6std=5k:50;3xyk0>n<0;60li6=4>{|l5=ce=83;pqc86fe83>4}zf?3mi7>51zm2<`a290:wp`9a1294?7|ug5<6std=m=:50;3xyk0f8<0;68:182xh1i921<7?t}o4b4<<728qvb;o?a;295~{i>h:i6=4>{|l5e5e=83;pqc8n0e83>4}zf?k;i7>51zm2d6a290:wp`9a0294?7|ug5<6std=m<:50;3xyk0f9<0;6a;295~{i>h;i6=4>{|l5e4e=83;pqc8n1e83>4}zf?k:i7>51zm2d7a290:wp`9a3294?7|ug<4?:0y~j3g5:3:1=vsa6`00>5<6std=m?:50;3xyk0f:<0;6h8i6=4>{|l5e7e=83;pqc8n2e83>4}zf?k9i7>51zm2d4a290:wp`9a2294?7|ug5<6std=m>:50;3xyk0f;<0;6h9i6=4>{|l5e6e=83;pqc8n3e83>4}zf?k8i7>51zm2d5a290:wp`9a5294?7|ug5<6std=m9:50;3xyk0f<<0;6h>i6=4>{|l5e1e=83;pqc8n4e83>4}zf?k?i7>51zm2d2a290:wp`9a4294?7|ug5<6std=m8:50;3xyk0f=<0;6h?i6=4>{|l5e0e=83;pqc8n5e83>4}zf?k>i7>51zm2d3a290:wp`9a7294?7|ug5<6std=m;:50;3xyk0f><0;6h{|l5e3e=83;pqc8n6e83>4}zf?k=i7>51zm2d0a290:wp`9a6294?7|ug5<6std=m::50;3xyk0f?<0;621<7?t}o4b3<<728qvb;o8a;295~{i>h=i6=4>{|l5e2e=83;pqc8n7e83>4}zf?k51zm2d1a290:wp`9a9294?7|ug5<6std=m5:50;3xyk0f0<0;6h2i6=4>{|l5e=e=83;pqc8n8e83>4}zf?k3i7>51zm2d>a290:wp`9a8294?7|ug:3:1=vsa6`;0>5<6std=m4:50;3xyk0f1<0;6h3i6=4>{|l5e4}zf?k2i7>51zm2d?a290:wp`9a`294?7|ug5<6std=ml:50;3xyk0fi<0;6hki6=4>{|l5ede=83;pqc8nae83>4}zf?kji7>51zm2dga290:wp`9ac294?7|ug5<6std=mo:50;3xyk0fj<0;6hhi6=4>{|l5ege=83;pqc8nbe83>4}zf?kii7>51zm2dda290:wp`9ab294?7|ug5<6std=mn:50;3xyk0fk<0;6hii6=4>{|l5efe=83;pqc8nce83>4}zf?khi7>51zm2dea290:wp`9ae294?7|ug5<6std=mi:50;3xyk0fl<0;6hni6=4>{|l5eae=83;pqc8nde83>4}zf?koi7>51zm2dba290:wp`9ad294?7|ug5<6std=mh:50;3xyk0fm<0;6hoi6=4>{|l5e`e=83;pqc8nee83>4}zf?kni7>51zm2dca290:wp`9ag294?7|ug5<6std=mk:50;3xyk0fn<0;6hli6=4>{|l5ece=83;pqc8nfe83>4}zf?kmi7>51zm2d`a290:wp`9b1294?7|ug5<6std=n=:50;3xyk0e8<0;68:182xh1j921<7?t}o4a4<<728qvb;l?a;295~{i>k:i6=4>{|l5f5e=83;pqc8m0e83>4}zf?h;i7>51zm2g6a290:wp`9b0294?7|ug5<6std=n<:50;3xyk0e9<0;6a;295~{i>k;i6=4>{|l5f4e=83;pqc8m1e83>4}zf?h:i7>51zm2g7a290:wp`9b3294?7|ug<4?:0y~j3d5:3:1=vsa6c00>5<6std=n?:50;3xyk0e:<0;6k8i6=4>{|l5f7e=83;pqc8m2e83>4}zf?h9i7>51zm2g4a290:wp`9b2294?7|ug5<6std=n>:50;3xyk0e;<0;6k9i6=4>{|l5f6e=83;pqc8m3e83>4}zf?h8i7>51zm2g5a290:wp`9b5294?7|ug5<6std=n9:50;3xyk0e<<0;6k>i6=4>{|l5f1e=83;pqc8m4e83>4}zf?h?i7>51zm2g2a290:wp`9b4294?7|ug5<6std=n8:50;3xyk0e=<0;6k?i6=4>{|l5f0e=83;pqc8m5e83>4}zf?h>i7>51zm2g3a290:wp`9b7294?7|ug5<6std=n;:50;3xyk0e><0;6k{|l5f3e=83;pqc8m6e83>4}zf?h=i7>51zm2g0a290:wp`9b6294?7|ug5<6std=n::50;3xyk0e?<0;621<7?t}o4a3<<728qvb;l8a;295~{i>k=i6=4>{|l5f2e=83;pqc8m7e83>4}zf?h51zm2g1a290:wp`9b9294?7|ug5<6std=n5:50;3xyk0e0<0;6k2i6=4>{|l5f=e=83;pqc8m8e83>4}zf?h3i7>51zm2g>a290:wp`9b8294?7|ug:3:1=vsa6c;0>5<6std=n4:50;3xyk0e1<0;6k3i6=4>{|l5f4}zf?h2i7>51zm2g?a290:wp`9b`294?7|ug5<6std=nl:50;3xyk0ei<0;6kki6=4>{|l5fde=83;pqc8mae83>4}zf?hji7>51zm2gga290:wp`9bc294?7|ug5<6std=no:50;3xyk0ej<0;6khi6=4>{|l5fge=83;pqc8mbe83>4}zf?hii7>51zm2gda290:wp`9bb294?7|ug5<6std=nn:50;3xyk0ek<0;6kii6=4>{|l5ffe=83;pqc8mce83>4}zf?hhi7>51zm2gea290:wp`9be294?7|ug5<6std=ni:50;3xyk0el<0;6kni6=4>{|l5fae=83;pqc8mde83>4}zf?hoi7>51zm2gba290:wp`9bd294?7|ug5<6std=nh:50;3xyk0em<0;6koi6=4>{|l5f`e=83;pqc8mee83>4}zf?hni7>51zm2gca290:wp`9bg294?7|ug5<6std=nk:50;3xyk0en<0;6kli6=4>{|l5fce=83;pqc8mfe83>4}zf?hmi7>51zm2g`a290:wp`9c1294?7|ug5<6std=o=:50;3xyk0d8<0;68:182xh1k921<7?t}o4`4<<728qvb;m?a;295~{i>j:i6=4>{|l5g5e=83;pqc8l0e83>4}zf?i;i7>51zm2f6a290:wp`9c0294?7|ug5<6std=o<:50;3xyk0d9<0;6a;295~{i>j;i6=4>{|l5g4e=83;pqc8l1e83>4}zf?i:i7>51zm2f7a290:wp`9c3294?7|ug<4?:0y~j3e5:3:1=vsa6b00>5<6std=o?:50;3xyk0d:<0;6j8i6=4>{|l5g7e=83;pqc8l2e83>4}zf?i9i7>51zm2f4a290:wp`9c2294?7|ug5<6std=o>:50;3xyk0d;<0;6j9i6=4>{|l5g6e=83;pqc8l3e83>4}zf?i8i7>51zm2f5a290:wp`9c5294?7|ug5<6std=o9:50;3xyk0d<<0;6j>i6=4>{|l5g1e=83;pqc8l4e83>4}zf?i?i7>51zm2f2a290:wp`9c4294?7|ug5<6std=o8:50;3xyk0d=<0;6j?i6=4>{|l5g0e=83;pqc8l5e83>4}zf?i>i7>51zm2f3a290:wp`9c7294?7|ug5<6std=o;:50;3xyk0d><0;6j{|l5g3e=83;pqc8l6e83>4}zf?i=i7>51zm2f0a290:wp`9c6294?7|ug5<6std=o::50;3xyk0d?<0;621<7?t}o4`3<<728qvb;m8a;295~{i>j=i6=4>{|l5g2e=83;pqc8l7e83>4}zf?i51zm2f1a290:wp`9c9294?7|ug5<6std=o5:50;3xyk0d0<0;6j2i6=4>{|l5g=e=83;pqc8l8e83>4}zf?i3i7>51zm2f>a290:wp`9c8294?7|ug:3:1=vsa6b;0>5<6std=o4:50;3xyk0d1<0;6j3i6=4>{|l5g4}zf?i2i7>51zm2f?a290:wp`9c`294?7|ug5<6std=ol:50;3xyk0di<0;6jki6=4>{|l5gde=83;pqc8lae83>4}zf?iji7>51zm2fga290:wp`9cc294?7|ug5<6std=oo:50;3xyk0dj<0;6jhi6=4>{|l5gge=83;pqc8lbe83>4}zf?iii7>51zm2fda290:wp`9cb294?7|ug5<6std=on:50;3xyk0dk<0;6jii6=4>{|l5gfe=83;pqc8lce83>4}zf?ihi7>51zm2fea290:wp`9ce294?7|ug5<6std=oi:50;3xyk0dl<0;6jni6=4>{|l5gae=83;pqc8lde83>4}zf?ioi7>51zm2fba290:wp`9cd294?7|ug5<6std=oh:50;3xyk0dm<0;6joi6=4>{|l5g`e=83;pqc8lee83>4}zf?ini7>51zm2fca290:wp`9cg294?7|ug5<6std=ok:50;3xyk0dn<0;6jli6=4>{|l5gce=83;pqc8lfe83>4}zf?imi7>51zm2f`a290:wp`9d1294?7|ug5<6std=h=:50;3xyk0c8<0;68:182xh1l921<7?t}o4g4<<728qvb;j?a;295~{i>m:i6=4>{|l5`5e=83;pqc8k0e83>4}zf?n;i7>51zm2a6a290:wp`9d0294?7|ug5<6std=h<:50;3xyk0c9<0;6a;295~{i>m;i6=4>{|l5`4e=83;pqc8k1e83>4}zf?n:i7>51zm2a7a290:wp`9d3294?7|ug<4?:0y~j3b5:3:1=vsa6e00>5<6std=h?:50;3xyk0c:<0;6m8i6=4>{|l5`7e=83;pqc8k2e83>4}zf?n9i7>51zm2a4a290:wp`9d2294?7|ug5<6std=h>:50;3xyk0c;<0;6m9i6=4>{|l5`6e=83;pqc8k3e83>4}zf?n8i7>51zm2a5a290:wp`9d5294?7|ug5<6std=h9:50;3xyk0c<<0;6m>i6=4>{|l5`1e=83;pqc8k4e83>4}zf?n?i7>51zm2a2a290:wp`9d4294?7|ug5<6std=h8:50;3xyk0c=<0;6m?i6=4>{|l5`0e=83;pqc8k5e83>4}zf?n>i7>51zm2a3a290:wp`9d7294?7|ug5<6std=h;:50;3xyk0c><0;6m{|l5`3e=83;pqc8k6e83>4}zf?n=i7>51zm2a0a290:wp`9d6294?7|ug5<6std=h::50;3xyk0c?<0;621<7?t}o4g3<<728qvb;j8a;295~{i>m=i6=4>{|l5`2e=83;pqc8k7e83>4}zf?n51zm2a1a290:wp`9d9294?7|ug5<6std=h5:50;3xyk0c0<0;6m2i6=4>{|l5`=e=83;pqc8k8e83>4}zf?n3i7>51zm2a>a290:wp`9d8294?7|ug:3:1=vsa6e;0>5<6std=h4:50;3xyk0c1<0;6m3i6=4>{|l5`4}zf?n2i7>51zm2a?a290:wp`9d`294?7|ug5<6std=hl:50;3xyk0ci<0;6mki6=4>{|l5`de=83;pqc8kae83>4}zf?nji7>51zm2aga290:wp`9dc294?7|ug5<6std=ho:50;3xyk0cj<0;6mhi6=4>{|l5`ge=83;pqc8kbe83>4}zf?nii7>51zm2ada290:wp`9db294?7|ug5<6std=hn:50;3xyk0ck<0;6mii6=4>{|l5`fe=83;pqc8kce83>4}zf?nhi7>51zm2aea290:wp`9de294?7|ug5<6std=hi:50;3xyk0cl<0;698%H=.E023>"Hx]r/JUQKC%436+B5WY^T<<94$NrW|!@_WMI/:="Hx]r/JUQKC%436+C_XV~xe`|jn`of`Zhb|V;:7)ATy&E\ZBD,?:9"\?<;%MsP}"APVNH(;>=.TKN54=#Gy^s(KVPDB&543(C9;1/C}Zw$GZ\@F"18?$O=<<4$NrW|!@_WMI/:=8!D334?!Iw\q.MTRJL$725*A4XX]U;=:5+OqV{ C^XLJ.=<; K2^RW[4703-E{Xu*IX^F@ 361&M8T\YQ=129'KuR,ORTHN*907,F\U7?3-E{Xu*IX^F@ 361&LR[S]ZP00:8 JvSp-LSSIM+614-A]VXX]U:=55+OqV{ C^XLJ.=<; JXQ]SPZ45<2.D|Yv+FY]GG!07>'OS\Rz|ilpfjdkblVdnxR?>;%MsP}"APVNH(;>9.P30?!Iw\q.MTRJL$725*POJ=2.Yi{*8;)294?6.n2";6=4?)!*3>5<7!8:0$<>?0;3345<689:"==5'1623>41783;<<='>0:*2<56=91:;6<6?0(33?-4?89094=>52923-46< ::;<7=?0180456.:81#?=>?:2234?5789#+$>;?0;1645<4=9:"==5'3423>637839><='k;)634?2783>;<$??;)6645<3=9:188>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5?5=039167=5;8>0>>4FNQWW>DYA[K69?7?12:076>42:28<>6<62:106>52:292>6:=2:6:6>37:2?9>6;<2:776>32:2?=>6;72:7:5>053?:97;?=;711?3253??97;8=;751?3>63>80;=<47008374?57539;27=??;135<562:;36>?6:239>67<2:;76>?2:23=>6782:;:1>GKM9G<7LBJ0L,G<>GKM9G%H<64AMG3I+B5l2KGI=C!D3]SPZ6c3HFN<@ K2^RW[41GKM9Y%H?74AMG3W+C_Xl1J@H>\.DZS[URX8l1J@H>\.DZS[URX9l1J@H>\.DZS[URX:l1J@H>\.DZS[URX;8k0MAK?S/G[TZrtadxnblcjd^lfpZ10MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@6:2KTJ^LP18]JFP@#J\L;=95N_GQA[4?XAK_M(O[I0/F21>GXNZHT=4QFBTD'FP@7&M;:96OPFR@\5GXNZHT=4QFBTD'FP@7&Xi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQC753HUM_OQ=1^KAQC"E]O::86OPFR@\64YNJ\L/NXH?.E36?DYA[KU9=RGMUG&AQC6)L8;>7LQISC]15ZOE]O.IYK>!D337?DYA[KU9=RGMUG&AQC6)Ym1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEW3KOY^RG@BR`8F@TUW@EI_#Jl;CGQVZOHJZ$O=n5MESP\MJDT&M8:=6LJRS]JKGU)L;U[XR>>1:@FVWYNGKY%H?Q_T^325>DBZ[UBCO]!D3]SPZ4692HN^_QFOCQ-@7YW\V9i7OK]R^KLFV(Vl2HN^_QFOCQ-V@A6i2HN^_QFOCQ\pvojk{ojxhjPxnp\7>DRN11IY^QFNGM1?FC43JF@56MCK3Z\MJDc3JF@>UQFOC,SEA1EkgjaX|hm7;EcweVvbk<1Oiaova:G[T42X&LR[j6KWP06\*@^WWY^Tb:G[T40X&LR[Sy}fmsgmehccWgoSl5JXQ34[+C_Xo1NT]?8_/G[TZVSW9l0IU^>7^,F\UYW\V;m7HV_16]-A]VXX]U9=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`9^,F\UYW\V?:n6KWP0;\*@^WW}ybakaalgg[kcsWh1NT]=Q!EYR\TQY5n2OS\?>P.DZS[URX;o1NT]_/G[Tc=BPY8:S#KWP^RW[5`_/G[TZVSW=;i7HV_20]-A]VX|zcf~h`nmdf\j`rXi2OS\?C_X;8T"HV__QV\4c=BPY89S#KWP^RW[4`R JXQ]SPZ4a3LR[>?Q!EYR\TQY49k1NT]<=_/G[TZrtadxnblcjd^lfpZgC_X;9T"HV__QV\6c=BPY88S#KWP^RW[6`>Q!EYR\TQY29k1NT]<<_/G[TZrtadxnblcjd^lfpZgC_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[1`V$NT]Q_T^3e?@^W:?U%IU^PPU]1b>C_X;U%IU^i;DZS62Y)MQZT\YQ?f:G[T71X&LR[S]ZP1g9F\U40W'OS\R^[_3d8A]V5?V$NT]Q_T^1e?@^W:>U%IU^PPU]75g=BPY8i;DZS6=Y)MQZT\YQ>f:G[T7>X&LR[S]ZP2g9F\U4?W'OS\R^[_2d8A]V50V$NT]Q_T^6e?@^W:1U%IU^PPU]65g=BPY83S#KWP^vpmhtbfhgnhR`jt^c8A]V51V$NT]h4EYR1=Z(BPYU[XR>i;DZS6f:G[T7?X&LR[S]ZP2g9F\U4>W'OS\R^[_2d8A]V51V$NT]Q_T^62f>C_X;3T"HV__uqjiwciidooSck{_`9F\U57W'OS\k5JXQ13[+C_XVZ_S=h4EYR04Z(BPYU[XR?i;DZS75Y)MQZT\YQ=f:G[T66X&LR[S]ZP3g9F\U57W'OS\R^[_5d8A]V48V$NT]Q_T^72f>C_X::T"HV__uqjiwciidooSck{_`9F\U56W'OS\k5JXQ12[+C_XVZ_S=h4EYR05Z(BPYU[XR?i;DZS74Y)MQZT\YQ=f:G[T67X&LR[S]ZP3g9F\U56W'OS\R^[_53a?@^W;8U%IU^Ptrknv`hfelnTbhzPa:G[T64X&LR[j6KWP20\*@^WWY^TOI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG6?LIFK]=0EBOLT/F;?LIFK]$O=55FO@AW*A4c3@EJOY K2^RW[5bOHIJ^%H?Q_T^1g?LIFK]$O>R^[_5f8MJGD\'N9S]ZP5e9JKDES&M8T\YQ97:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?l;HMO4+B5WY^T=;5FOM2-U==NGE:%^HI:;HMQAT1R^[_1f8MJTBY'N9S]ZP1e9JKWCV&M8T\YQ=d:KLV@W)L;U[XR=k;HMQAT(C:VZ_S9j4INPFU+B5WY^T9i5FOSGR*A4XX]U=;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KTTFW9UDNXH!EYR\TQY49;1YMR>POCWE*@^WWY^T81:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U753[KT=RAMUG,F\UYW\V::>6\N_0]LFP@)MQZT\YQ>139QEZ7XGK_M"HV__QV\64cTFW;UDNXH!EYR\TQY69l1YMR;SC\7ZIE]O$O>R^[_0`8VDY4WFH^J#_6;SC\0ZIE]Oh0^LQ;_N@VB+Bd3[KT8RAMUG,G5f=UIV>TCO[I.E025>TFW=UDNXH!D3]SPZ6692XJS9Q@BTD-@7YW\V;o7_OP4^MAQC(BPY;97_OP4^MAQC(BPYU[XR>>2:PB[1YHJ\L%IU^PPU]257=UIV>TCO[I.DZS[URX:8o0^LQ;_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ;_N@VB+W>3[KT9RAMUG`8VDY2WFH^J#Jl;SC\1ZIE]O$O=n5]A^7\KGSA&M8:=6\N_4]LFP@)L;U[XR>>1:PB[0YHJ\L%H?Q_T^3a?WGX=VEIYK ^9:PB[3YHJ\Li7_OP6^MAQC(Ck2XJS;Q@BTD-@4e6\N_7]LFP@)MQZT\YQ?139QEZ0XGK_M"HV__QV\544TFW>UDNXH!EYR26>TFW>UDNXH!EYR\TQY79;1YMR9POCWE*@^WWY^T=<<4R@]4[JDRN'OS\R^[_33f?WGX?VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX?VEIYK ^9:PB[=YHJ\Li7_OP8^MAQC(Ck2XJS5Q@BTD-@4e6\N_9]LFP@)MQZT\YQ?139QEZ>XGK_M"HV__QV\544e:PB[=YHJ\L%IU^Ptrknv`hfelnTbhzPb:PB[=YHJ\L%]45]A^;\KGSAj2XJS4Q@BTD-@f=UIV3TCO[I.E3`?WGX1VEIYK K2038VDY>WFH^J#J=_QV\4470:Vji`ir|H$O>R^[_133?QojmfM#J=_QV\546<\`gncxzN.E0\TQY5i2^bahazt@,Rg>Rnele~xL ]EF3:?QojmfMRz|ilaqadrblVrd~R64ThofkprEi2^bahaztC,Gf>Rnele~xO K1c9Wmhch}}H%H???;UknajssJ'N9S]ZP0028Plkbg|~I"I7Zgkti0`?]E>=8>?TA#>0,VR556' Zznii.Iimg9$Scu{`ee,> ?)59[@HJ6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO43QEY:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa8:ZglZRneln0TifPThofGmcdcm1SheQ[ilgGaig~991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc:4ZDPL`>ei|&XnjN`{<1e:amp*TbnJd0=0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0<0i;blw+WcaKg~7=3 K119`jq)UmoIex1?1.E324>ei|&XnjN`{<0<-@7`2:+W692iex"\jfBlw848)ZLM:i6mat.PfbFhs484Tx~gbcsgbp`bXpfxTh6mat.PfbFhs4;4m7n`{/SgeGkr;:7$O==5lnu-QacEi|585"I?>0:amp*TbnJd0?0!D3d8gkr(ZllHby2=>/S25>ei|&XnjN`{<3<-V@A6m2iex"\jfBlw878X|zcfokntdf\|jtXl2iex"\jfBlw868a3jd#_kiCov?7;(C991hby!]egAmp959&M;:<6mat.PfbFhs4:4%H?h4cov,V``Df}682#_>1:amp*TbnJd0>0!RDE2a>ei|&XnjN`{<2<\pvojk{ojxhjPxnp\`>ei|&XnjN`{<5e:amp*TbnJd090Ptrkngwcf|lnTtb|Pd:amp*TbnJd080i;blw+WcaKg~793 K119`jq)UmoIex1;1.E324>ei|&XnjN`{<4<-@7763jd#_kiCov?1;(BPY897n`{/SgeGkr;=7$NT]Q{shoqakgjmmUeiyQi;blw+WcaKg~793 ^109`jq)UmoIex1;1.SGD5`=df}%YikMat=7=[qunejxnmykk_ymq[a=df}%YikMat=4=b>ei|&XnjN`{<7<-@465:+B6991hby!]egAmp909&M8:=6mat.PfbFhs4?4%IU^>6:amp*TbnJd0;0!EYR\TQY79?1hby!]egAmp909&LR[S]ZP1048gkr(ZllHby29>/G[TZVSW;;=7n`{/SgeGkr;>7$NT]Q_T^122>ei|&XnjN`{<7<-A]VXX]U?>?5lnu-QacEi|5<5"HV__uqjiwciidooSck{_g9`jq)UmoIex181.P32?fhs'[omOcz36?,QAB7b3jd#_kiCov?2;Ys{`gh~ho{ee]{kwYc3jd#_kiCov?3;`4:+B682iex"\jfBlw828)L8;;7n`{/SgeGkr;?7$O>/G[TZVSW9;=7n`{/SgeGkr;?7$NT]Q_T^322>ei|&XnjN`{<6<-A]VXX]U9=;5lnu-QacEi|5=5"HV__QV\7744:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:06'[:=6mat.PfbFhs4>4%^HI>e:amp*TbnJd0:0Ptrkngwcf|lnTtb|Pb:amp*TbnMeeii5lnu-QacBhfl$Oi6mat.PfbAiim'N:i6mat.PfbAiim'N9=>5lnu-QacBhfl$O>R^[_130?fhs'[omHb`j.E0\TQY6l2iex"\jfEmma+Wa3jd#_kiDnlf*WC@n2iex"ZfmdmvpGVum8;0ocz ThofkprEX{o%H<<4cov,Plkbg|~I\k!D031?fhs']cfib{{BQpf*A46?2iex"ZfmdmvpGVum'N9S]ZP0058gkr(\`gncxzMPsg-@7YW\V;:;6mat.Vji`ir|KZyi#J=_QV\647!D337?fu(fF|n~aov0/F1[URX88>0o~!aOwgqhd7&M8T\YQ>119`w*hH~lxgmt>!EYR21>et'gE}ibny1,F\UYW\V::96m|/oMuawjfq9$NT]Q_T^321>et'gE}ibny1,F\UYW\V89=6m|/oMuawjfq9$NT]Q{shoqakgjmmUeiyQj;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F155=d{&dDzh|cax3-A]V6=2ix#cAyesnb}4(BPYU[XR>>5:ap+kIqm{fju< JXQ]SPZ7592ix#cAyesnb}4(BPYUdc}eocnaaYim}Un7n} nNtfvig~9'[:<6m|/oMuawjfq8$YIJ:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJo4fr`,F@TU8{i0j~l BDPQ4w(Cl2lxn"LJRS2q*A7c3oyi#OK]R1p-@7753oyi#OK]R1p-@7YW\V::>6h|b.@FVW6u&M8T\YQ>139ewg)EM[X;~#J=_QV\6`=a{k%II_\?r/G[T45<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR<=4fr`,V`gcq8x%H?Q_T^127>`tj&Xnmiw>r/F1[URX<890j~l Rdcg}4t)L;U[XR;k;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMN8=7k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O=?94fr`,RUGXWfx;<=>>/gqa+SVFWVey<=>?1^F1*A45k2lxn"X_A^]lv56788%mo!YP@]\kw6789;TH? K2^RW[54d3oyi#[^N_^mq45679&lxn"X_A^]lv56788UO>#J=_QV\57e`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O>R^[_50`?cue'_ZJSRa}01235*`tj&\[MRQ`r12344YC:'N9S]ZP5348bvd(^YKTSb|?0122+cue'_ZJSRa}01235ZB5&X837k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hh5foco,AQGDC'OS\<=4in`n+@RFKB$NT]Q_T^227>ohjd%NXLMD.DZS[URX9890eblb/DVBGN(BPYU[XR<>3:klfh)B\HI@"HV__QV\74`#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I1:klfh)NGZUM_@QIFe327>ohjd%BC^QISL]EBa7)L8>0eblb/HMP[CUJWOLo=#J>159jkgk(AFYTJ^CPFGf2*A46;2cdn`!FOR]EWHYANm;%]<;4in`n+LITWOYFSKHk1/PFC47#J>4:klfh)NGZUM_@QIFe0-@4733`eia"G@S^DPIZ@Al;$O><74in`n+LITWOYFSKHk2/F1[URX8830eblb/HMP[CUJWOLo>#J=_QV\54?ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ4612cdn`!FOR]EWHYANm9%H?Q_T^12=>ohjd%BC^QISL]EBa5)L;U[XR:>3:klfh)NGZUM_@QIFe1-U43%Hohjd%BC^QISL]EBa36;2cdn`!FOR]EWHYANm?%H<:4in`n+LITWOYFSKHk5/F251=ngkg$EB]PFRO\BCb2&M8:?6g`bl-JKVYA[DUMJi;!Q078mjdj'@EXSK]B_GDg1+TBO8;0eblb/HMP[CUJWOLo:<=4in`n+LITWOYFSKHk6/F20>ohjd%BC^QISL]EBa0)L8;?7damm.KLWZ@TEVLMh; K2018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A46;2cdn`!FOR]EWHYANm=%]<;4in`n+LITWOYFSKHk7/PFC474:klfh)NGZUM_@QIFe:-@4733`eia"G@S^DPIZ@Al1$O><74in`n+LITWOYFSKHk8/F1[URX8830eblb/HMP[CUJWOLo4#J=_QV\545n5foco,RUGuz'[n7damm.TSEwt)ZLMi7dams.Aoadt6l2cdn~!Lldcq5+Bb3`ei"Mce`p2*A7b3`ei"Mce`p2*A4c3`ei"Mce`p2*T`ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0e?lie{&CDMNZ}.DZS51=ngky$EBOLTs,F\UYW\V::86g`br-JKDESz'OS\R^[_037?lie{&CDMNZ}.DZS[URX:8>0ebl|/HMBGQt)MQZT\YQ<219jkgu(AFKHX JXQ]wwlkumgkfiiQaeu]g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BC_kndx,R55=ngky$EB\jae{-V@A6l2cdn~!FOSgb`|Ys{`gh~ho{ee]{kwY>3`ei"G@U0a8mjdt'@E^=#MJb:klfv)NG\;%Hn5focq,MJS6&M;h7dams.KLQ4(C:k1bco} INW2*Tb<<4in`p+Iifl8$O>R^[_131?lie{&Fdmi?!D3]SPZ76:2cdn~!Co`f2*A4XX]U9=?5focq,Hjgc9'N9S]ZP3008mjdt'Eejh< K2^RW[1753`ei"B`ae3-@7YW\V?:>6g`br-Okdb6&M8T\YQ9c:klfv)Kghn:"\k4in`p+Iifl8$YIJ74in`p+Jhdmk1bco} Ooaf*Aeohjz%Dbnk!D332?lie{&Eeoh K2^RW[5763`ei"Aacd,G6ZVSW8;:7dams.Mmg`(C:VZ_S??>;hmaw*Iikl$O>R^[_232?lie{&Eeoh K2^RW[1763`ei"Aacd,G6ZVSW<;:7dams.Mmg`(C:VZ_S;l4in`p+Jhdm'[o7dams.Mmg`(UMN;;7dams.RP[CUJWOLo=<<4in`p+UUXNZGTJKj>.E30?lie{&ZXSK]B_GDg5+B69:1bco} PR]EWHYANm;%H??;;hmaw*VTWOYFSKHk1/G[T4?.DZS[URX:830ebl|/QQ\BVKXNOn:"HV__QV\74?ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\74>1:lB@jssDL:TCO[I.E325>hFLf@H>POCWE*A4682dJHb{{LD2\KGSA&X30bLJ`uuNF5a=iIMe~xAK>.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1008jDBh}}FN=RAMUG,F\U703gKOcxzCE0]LFP@)MQZT\YQ?169mEAir|EO:SBLZF/G[TZVSW8887cOKotvOA4YHJ\L%IU^Ptrknv`hfelnTbhzP119mEAir|EO:SBLZF/S26>hFLf@H?POCWE*WC@?2dJ_RH\Bc9mEVYA[K$[MIm4n@Q\BVDXAK_M;6`NS^KLFg=iIZUBCO _AEa8jDUXAFHTCO[Ie:lBWZOHJVEIYK Kf:lBWZOHJVEIYK K1g9mEVYNGKUDNXH!D3g8jDUXAFHTCO[I.P33?kGTW@EISBLZF/PFC2=iIfCHl4n@mvpJC)XHNn7cO`uuMF[JDRN-[n7cO`uuMF[JDRN'Nm7cO`uuMF[JDRN'N:j6`NotvLAZIE]O$O>h5aAnwwK@YHJ\L%]45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4443gHN^_QISC]LFP@)MQZTx~gbrdlbi`bXfl~T==5aBDPQ[CUEWFH^J#_6;o@FVWYNGKn0bOK]R^KLF+VFLl1eNH\]_HMA[LDRN=1eOL]7;oABW+VFL01eOL]POCWEf>hDIZUDNXH!Db9mGDUXGK_M"I?l;oABWZIE]O$O>o5aC@Q\KGSA&Xn0bNO\_N@VB+TBOh1eHYOLK^DPF`=iL]KHGRH\B/RB@c=iL]KHGRH\B^MAQC763gN_MNEPFR@\KGSA&M;97cJ[ABI\BVDXGK_M"I?>2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGD56=iL]KHGRH\B^MAQC(RADk0bIZNCJ]JKGc>4:lGmkIBWFH^J#KWP^RW[4733gNbbBKPOCWE*@^WWY^T><:4nEkmK@YHJ\L%IU^PPU]051=iL`dDIRAMUG,F\UYW\V>9<6`KioMF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q028jAir|FOTCO[I.SGD<>hKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fg?kJC[VCDNRAMUGd8jIBTW@EISBLZF/F24>hKLZUBCOQ@BTD-@4773gFO_RG@B^MAQC(C:8?0bAJ\_HMA[JDRN'N9S]ZP0078jIBTW@EISBLZF/F1[URX98?0bAJ\_HMA[JDRN'N9S]ZP2g9mHAUXAFHTCO[I.P32?kJC[VCDNRAMUG,QAB5hHMVEIYKo4nNG\KGSA&Mh0bBKPOCWE*A7e3gENSBLZF/F1g>hHMVEIYK JXQ32?kIBWFH^J#KWP^RW[5763gENSBLZF/G[TZVSW8;:7cAJ_N@VB+C_XVZ_S??>;oMF[JDRN'OS\R^[_23g?kIBWFH^J#KWP^vpmhtbfhgnhR`jt^c8jJCXGK_M"\94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F1a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;o0b_OBUVG\KGSA&X>0b_O\8:lQEV(WIM30b_O\_N@VBg=iZHYTCO[I.Ea8jWGTWFH^J#J>c:lQEVYHJ\L%H??>;oPBWZIE]O$O>R^[_132?kTF[VEIYK K2^RW[4763gXJ_RAMUG,G6ZVSW;h0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNo7c[KS^KLFZIE]Ol0bXJ\_HMA[JDRN'N:<6`ZDR]JKGYHJ\L%H<;4nWOB[LIEWFH^J#J=_QV\443hQEHUBCOQ@BTD-A]VX|zcf~h`nmdf\j`rXn2d]ALQFOC]LFP@)Y8;0b[CN_HMA[JDRN'XNKhPMVLXNo5aWD]EWG(WIMi0bZKPFR@\MGSA<2djah94ndef`bc03yexiiij9:pbi*DFK]xi7ob/CC@Pw(Ck2xja"LNCUp-@4etfe&HJOY|!EYR26>tfe&HJOY|!EYR\TQY79;1ym`!MABVq*@^WWY^T=<<4r`o,FDESz'OS\R^[_331?wgj'KKHX JXQ]SPZ56m2xja"LNCUp-A]VX|zcf~h`nmdf\j`rXj2xja"LNCUp-Ua=uid%IMNZ}.SGD<>tfe&Eeoho4r`o,Kkeb&Mh0~lc Ooaf*A7e3{kf#B`le/F155=uid%Dbnk!D3]SPZ6682xja"Aacd,G6ZVSW8;;7ob/Nl`a+B5WY^T>n5}al-Ljfc)MQZ:=6|nm.Mmg`(BPYU[XR>>1:pbi*Iikl$NT]Q_T^325>tfe&Eeoh JXQ]SPZ46l2xja"Aacd,F\UYs{`gyicobee]maqYf3{kf#B`le/S`?wgj'Fdhi#\JG0;8vdk(GginSy}fmbpfeqccWqeySo5}al-QEHBN[8n0~lc R@OGMV7)Ll1ym`!]ALFJW4(C9l1ym`!]ALFJW4(C:890~lc R@OGMV7)L;U[XR>>3:pbi*TFEMCX=#J=_QV\545.Pd8vdk(ZHGOE^?!RDEa?wgj'[KFHD]=d:pbi*TFEMCX>#Jj;scn+WGJL@Y9"I?j;scn+WGJL@Y9"I<>3:pbi*TFEMCX>#J=_QV\445#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8=0~lc RR]EWHYANm8%H?Q_T^425>tfe&XXSK]B_GDg6+W6;2xja"\\_GQN[C@c:'XNK?>4r`o,VVYA[DUMJi7:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:;6|nm.PP[CUJWOLo?#J=_QV\247k0:BC|7652O096"5jh09n55rSd`96g1=910:?9890c82f24d3m8;>7>51;3xW`e=:k=1=54>35454g<6j>8h7{Z74;295?7=b60`?S4el38py?><:09v652=82w/>o=52128 76f2;h=7o:3y03?4d2tci>7>5$32g>d7o?n3:1(?>k:`38j76d2110e5j50;&14ad=h58j0i76g77;29 76c2h;0b?>l:b98m=3=83.97>5$32g>d7o>l3:1(?>k:`38j76d2;10e4l50;&14a1=h58j0>76g63;29 76c2h;0b?>l:798m<7=83.95;hg5>5<5<l:078?lg1290/>=j5a09m65e=9=10el650;&14a3:9jed<72-8;h7o>;o03g?7532cjo7>5$32g>d75<#:9n1m<5a21a955=5<5<5<5<5<#:9n1><:4n32`>d=5<#:9n1><:4n32`>==5<#:9n1><:4n32`>3=5<#:9n1><:4n32`>1=6=4+21f964254o304>5<#:9n1><:4n32`>7=5<5<6=44o433>5<5<#:9n1><:4n32`>5=5<86=44o46b>5<5<t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjk;1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1o1<7?50;2x 7dd2;:27E=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1k1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj121<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh91<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0o1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj021<7?50;2x 7dd2;:27E2.9t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0>1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj081<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjl?1<7?50;2x 7dd2;:27Ea29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:aa2<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82`>"21h0:h6sr}|9~f4>e29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj74H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;4>5<6290;w)N5j;1b>=750;&1ff<5801/=kh54c9'65d=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`7ag<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f`?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg80e>"58k08m65rbdc94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f4>c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj78k;%03f?0c32wi98j50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb0;2>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811C>ol4V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2;90(87n:318yx{z3th>>44?:083>5}#:ki1>=74H3`1?l4713:1(?ll:32:?!7an3927){e=;k1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn88i:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6??4$4;b>778983>4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj7:?;%03f?2732wi=5750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;7>5<6290;w)N5j;1b>=750;&1ff<5801/=kh5499'65d=<110qo;65;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`643<7280;6=u+2ca965?<@;h97d?76sm51594?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~ft$3``>76>3A8i>6g=0883>!4ek38;56*>fg851>"58k0=965rbb194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd3=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg804>"58k08<65rbbf94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd1=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg86e>"58k0>m65rbbg94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg872>"58k0?:65rbbd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdd=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg81b>"58k09j65rbe294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdb=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg807>"58k08?65rbe394?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd`=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg800>"58k08865rbe094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f01c290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97f=#:9h1?n54}c74g?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?4f3-8;n7t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=in6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:01/>=l52898yg2dl3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ih4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an3?<7){e{zut1vn8>6:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;l;%03f?3d32wi94H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb40`>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5489'65d=<010qo;=b;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`637<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f776290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd927=#:9h1:?54}c024?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?5c3-8;n7=k;:a641=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;j6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=>?1/>=l56798yg4613:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th9=n4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39n7){e:8h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn??j:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7:>;%03f?2632wi>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb301>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5429'65d=<:10qo<=1;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`161<7280;6=u+2ca965?<@;h97b>76sm23194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f741290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd902=#:9h18:54}c011?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2f3-8;n7:n;:a671=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=no6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:l1/>=l52d98yg2ck3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th>?:4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39:7){e=:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn887:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj78?;%03f?0732wi95m50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb5`5>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5519'65d==910qo:m5;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`654<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f035290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97==#:9h1?554}c765?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2d3-8;n7:l;:a643=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;86=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`==l54d98yg46:3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ni4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39i7){e{zut1vn8:;:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;m;%03f?3e32wi99o50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb47e>5<6290;w)N5j;1d>=750;&1ff<5801/=kh53g9'65d=;o10qo;:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`7`3<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f01a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1=d=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>4l4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b5<7s-8io753;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4:2>5<6290;w)N5j;1d>=650;&1ff<58110qo;73;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3?<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95<=#=0k1=45r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0>529086=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi95850;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6<2<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm59:94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4:j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?>?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb215>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg55m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32094?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8?>4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb217>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;;n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=hl1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8l9:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1g3=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`c94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8ol:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6ea<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2il0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3fj3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3e83:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?423-?2m7<:;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m1;2954<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e2;?0(87n:378yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m773<,<3j6?;4}|~?l7>>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m773<,<3j6?;4}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>n?4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`960=#=0k1>85r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6?;4$4;b>7312\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6f6<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`04g<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e;881<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn>>l:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::o6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg57n3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5683:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5:f>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2>i3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th?4k4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3190;6<4?:1y'6ge=:j90D?l=;n037;:a0<4=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12?7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm48694?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?584?:583>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn978:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5;;>5<3290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f1?629036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm?1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vnih50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`ga?6=>3:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xdc>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vni950;394?6|,;hh6?m<;I0a6>i5810;6)=zjm31<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wihl4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a`g<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3tho47>55;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9jk1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f`=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8i86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g2<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f>=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?c883>2<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e4gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8i>6=4::183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6kj0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=nj50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a2<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9o>1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?f283>3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a5`>=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:i44?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e9lh1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jc;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`b=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8on6=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jf;29=?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2b5<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9o;1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9lk1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb200>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg55j3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a77?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj:;n6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm30d94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn><>:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6l2.>5l4>d:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm33094?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo==0;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f643290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d083>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5a?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:328yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8n86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1e694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e9m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2`0<72:0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4`229096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<582w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl>f683>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7a03:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`2bd<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?ib;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8l26=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1g494?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th95h4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2`594?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5i?0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>4h50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e5<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6d5=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>95+58c961=zutw0e4d43_;3m74?<,<3j6<74}|~?l7>>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T23twvq6g>9683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<612.>5l4>9:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2830(87n:0;8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4f<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e2;>0(87n:368yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:56*:9`82=>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:k;1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6d?=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9ml4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:hi1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>lj50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1o6j;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`961=#=0k1>95r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0986*:9`810>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e`<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f7ge290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?463-?2m7<>;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb23a>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg56<3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>?::182>5<7s-8io754;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=>8;2954<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67>290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>95+58c961=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd49?0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4;l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?9;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb26b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg54n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl<4383>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;7;292?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m74c<,<3j6!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:>36=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm35`94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f635290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg53l3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`00c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=:0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;e;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1n7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl<5583>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8984?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;<=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`01=<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;<31<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52>3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52k3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8::4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?8k50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:<;6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`026<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`021<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1=i5+58c95a=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`961=#=0k1>95r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?;;50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63a290<6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm37:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f614290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg51i3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`02f<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4>l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e4ge3_;3m74b<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0986*:9`810>{zut1b=4m50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5<2.>5l4=4:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m772<,<3j6?:4}|~?l7>n3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6f:T23twvq6g>a083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<612.>5l4>9:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k87[?7a;0x 0?e2830(87n:0;8yx{z3`;j87>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m85Y19c96~"21k0:56*:9`82=>{zut1b=l850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d0<^82j6?u+58`95<=#=0k1=45r}|8m4g0290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i00;6)P5jl0:wAa89U5=g=:r.>5o4>9:&6=d<612wvqp5f1`c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hk0Z<6n:3y'1i:0c`?S7?i38p(87m:0;8 0?f2830qpsr;h3b`?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f60a2909=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95a=#=0k1=i5r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4?90;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95a=#=0k1=i5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28n0(87n:0f8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:h6*:9`82`>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95a=#=0k1=i5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64bi2\:4l4={%7:f?7c3-?2m7?k;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64b{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;>0(87n:368yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=:6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95a=#=0k1=i5r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m64bo61?0;6)P5jl0:wA979U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0f8 0?f28n0qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82`>"21h0:h6sr}|9j5929K6gd<^;hn6i:0;a?S7?i38p(87m:368 0?f2;>0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?:4$4;b>72{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`95<=#=0k1=45r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8:o4?:683>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg50<3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th84=4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4??0;6<4?:1y'6ge=:j90D?l=;n037;:a72>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36c94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a72d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;>i1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?:j50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0e4g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36g94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=<6=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9;n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94=0:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3=j0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::d;295?6=8r.9nn4=c29K6g4<3th?9h4?:283>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?9o4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb542>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg21m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn98k:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c656?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:93;295?6=8r.9nn4=c29K6g4<3th?:84?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb545>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb544>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3>10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn986:180>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn98n:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`72g<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8;:50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm47d94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f11>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8:650;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb553>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<>;1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==?6=48:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn99::18;>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj===6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm46094?3=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==j6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:70;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=09<6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`73f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd3?l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3?k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8m;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2l80;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k0;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`6g0<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd2k10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn8m6:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4ab>5<693:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0ee290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38?7);6a;07?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c810>"21h0986sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1fe=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`961=#=0k1>95r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e2;>0(87n:368yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0986*:9`810>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4a4>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6g`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=l<6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;?4;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`646<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8kl50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e8`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7b`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=lm6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4gc94?>=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1a`=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;j0;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c6290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7f7?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=l>1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;j5;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c?290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6a<<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c529036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo8?5;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38:7);6a;02?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f363290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3ai3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6bf<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`545<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb721>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e=oh1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9hm50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4d4>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6??4$4;b>773-?2m7?6;|~y>{e=o<1<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn8kk:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1`c=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4d3>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2n80;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3a:3:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5g194?76290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m2:T2b283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j:1]=5o52z&6=g<612.>5l4>9:~yx=n90<1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283<7[?7a;0x 0?e2830(87n:0;8yx{z3`;247>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=<<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:545Y19c96~"21k0:56*:9`82=>{zut1b=4o50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=o>1<7?>:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:368 0?f2;>0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>ik4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>9:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2;%7:e?463twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?;96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo8?7;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd18h0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>m:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`54f<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a246=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?:26=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3i00;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7ed<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2fl3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8lk50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mk4?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0g6=83?1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2e93:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?n?4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mo4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7db=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8mn4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?l850;394?6|,;hh6?m<;I0a6>i5810;6)=zj:k36=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>o6:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e4ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3`c94?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;h=1<7:50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;ho1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>l9:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1bb?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=m0;295?6=8r.9nn4=c29K6g4<3th8n?4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`0>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`7>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o?50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8=;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb52e>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg27>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl;0883>6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`74g<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm41a94?3=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9>k:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1;47>56;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2a2>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:hm6=4;:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=3m6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:n7;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`7=f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12h7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd31l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o?:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i;0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i:0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i=0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7f4=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:ii6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3bc94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?n:50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:i=6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>m8:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6e?290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4l?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=k5;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0ga<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4l90;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8h<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?i<50;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>mi:186>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2683:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=84?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd39;0;6<4?:1y'6ge=:j90D?l=;n037;:a045=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1::7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a041=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=54?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<831<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:>c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`764<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e<8n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:>0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi8?=50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`761<7280;6=u+2ca96f5<@;h97b5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6f2=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;ij6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2b;94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>n850;394?6|,;hh6?m<;I0a6>i5810;6)=zj;i<6=4>:183!4ek38;:6F=b39l65>=83.9nn4=0998yg4b?3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9io4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2dd94?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5ml0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>h650;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1a<<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3g`>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1b1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:on1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6c7=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j?4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:o91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3d5>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?2<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e:o21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j44?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a01c=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=?96=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::9;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`70c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1><7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9;<:1825?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:i6*:9`82a>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c811>"21h0996sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1>85+58c960=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm44694?76290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1>85+58c960=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:378 0?f2;?0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::5;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?l7e:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?f290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6?;4$4;b>73j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb575>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0996*:9`811>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e:jh1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?j>:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6a6=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj;ih6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2bf94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn?mj:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:m21<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6a5=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9h94?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:m?1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=1:&6=d<592wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl=d`83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4cj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`1`f<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5m?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`1a4<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5m:0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7ad=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo=k8;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6b>290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c1ge?6=?3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bd290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bc290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bb290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0g8 0?f28o0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0`c<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4m90;684?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3dd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6`3290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2d2>5<6290;w)N5j;1d>=650;&1ff<58110qo=i2;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a07>=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=8m6=49:183!4ek3;2<6F=b39'5g2=:11b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo:=9;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'19m7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3:j0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:m0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm43`94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3080;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85j50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5:1>5<4290;w)N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn96<:182>5<7s-8io7397>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49494?5=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2?03:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85750;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85o50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30=0;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0g0290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3>m3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6=c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;n1;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2i;0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j63twvq6sm5`194?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:n84?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b394?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0`4>5<6290;w)N5j;1d>=650;&1ff<58110qo?m9;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5gg=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'158;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7em3:147>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6j10;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9jh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm31094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f66f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?=750;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb3de>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;9:1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0986*:9`810>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;991<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg57<3:147>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::=6=46:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0996*:9`811>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0996*:9`811>{zut1b=o?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;l91<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>k7:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1f0?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o>6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5b>3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>4;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3;b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg4>>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?78:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6c>29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5bj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`0af<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:li6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?4;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0bf<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4no0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2783:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?1;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg27:3:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=ie;291?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3;j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi89?50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb51g>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<:o1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f12529096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1?;7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl;4283>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg23<3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`700<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`5a?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0=3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th=j7>53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg17290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c51>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj>91<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7083>6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg1129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo6>:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c54>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e?10;6<4?:1y'6ge=:j90D?l=;n037;:a3<<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo9m:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7b83>2<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0n3:197>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d`83>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`0=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8ni6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4bd290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c3ga?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e9ml1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?j0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8o:6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?e383>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a6<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=ij50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f65c290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb21b>5<6290;w)N5j;1d>=650;&1ff<58110qo=1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=7c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0=4<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e;1n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi?5h50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4<50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2;4>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5>;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>7;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a7t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th85l4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;0h1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e;0o1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>o<:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1:b?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:k;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5f93:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5a=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8jl4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4n>0;6<4?:1y'6ge=:j90D?l=;n037;:a7c>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'18<7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a067=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th???4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<:91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e<:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn9=m:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c603?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=936=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2413:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2303:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?8i4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd37;:a01d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8l8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd2j10;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m9;295?6=8r.9nn4=c29K6g4<3th>no4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4``>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2jm0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8li:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3d83:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>o<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>nl4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ac=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0b3290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7g2?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k8;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9i750;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ag=83=1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9il50;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95a=#=0k1=i5r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>h84?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0a2=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj=n;6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4e394?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn9j=:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3mo0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8k:50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5d3>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06f29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:0c83>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e=9n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e=;:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8<8:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c715?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<896=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg35<3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35=3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;=3;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f04c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:2d83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35n3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`674<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`677<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a166=83>1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a125=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=i6=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;84;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f012290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c743?6=;3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=26=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`633<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16<<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:;n1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?=;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;8i6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg45k3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>?k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16c<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;9;6=47:183!4ek3287E=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'159;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673o6j80;6)P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>59;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22194?0=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;k0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb315>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e::=1<7?50;2x 7dd2;i87E29086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:h6*:9`82`>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4403:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?=l:18:>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;l0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>>h50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb364>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg43j3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28i0(87n:0a8yx{z3th98?4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl=4483>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j63twvq6sm25694?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5<10;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9750;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd54?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<;c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`117<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e:=n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi>8>50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn?:i:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a605=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;?26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|`111<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e:<<1<7=50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb37a>5;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:c;291<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73c290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64e6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4193:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`126<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<94;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a634=8391<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9:;4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27a94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f71629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb34;>5<6290;w)N5j;1d>=650;&1ff<58110qo<9a;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41l3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5=2.>5l4=5:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27g94??=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41n3:157>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?90;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?;0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb35g>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?9;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm26594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9;84?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:o50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0e6X>8`81!3>j38>7);6a;06?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82=>"21h0:56sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a62d=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?j0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5:50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:b>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6?:182>5<7s-8io77>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm29194?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th94<4?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd50?0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5950;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:;>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6=?=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6m:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd51:0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;h7);6a;3`?x{zu2wi>5m50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`15$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4>83:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:f>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e:081<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m<0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8h750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb5fe>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?i>4?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m=0;654?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74b<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a3<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5g4>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3403:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>?n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f05>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb41b>5<6290;w)N5j;1d>=650;&1ff<58110qo;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<9o6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a16c=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0:i6*:9`82a>{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0:i6*:9`82a>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i64cj2\:4l4={%7:f?423-?2m7<:;|~y>o61j0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2830(87n:0;8yx{z3`;j=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m?5Y19c96~"21k0:56*:9`82=>{zut1b=l=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d5<^82j6?u+58`95<=#=0k1=45r}|8m4g3290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i?0;6)P5jl0:wAa79U5=g=:r.>5o4>9:&6=d<612wvqp5f1`594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h=0Z<6n:3y'1i:0c;?S7?i38p(87m:0;8 0?f2830qpsr;h3b=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:ml4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ed=Q91k1>v*:9c82=>"21h0:56sr}|9j5de=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lm4V0:b>7}#=0h1=45+58c95<=zutw0e4gc3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55294?46290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>:44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57f94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f00f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb44a>5<6290;w)N5j;1d>=650;&1ff<58110qo;9c;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;7e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6=7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e=1l1<7=50;2x 7dd2;h27E=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1<6=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4;2>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5`a>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg2e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9l6:182>5<7s-8io7im7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a14b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6k2.>5l4>c:~yx=zj<;86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm50694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8?::180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`652<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`960=#=0k1>85r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?7:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?m:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a105=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo;:4;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd2=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8;7:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm54;94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi98850;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2winh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6smc383>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3`?!3>i3;h7psr}:afc<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1:183!4ek38h?6F=b39l65>=83.9nn4=0998yge629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3thh:7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|``3?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjj21<7?50;2x 7dd2;i87Et$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1ii7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn9li:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2d:3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n?50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g0<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m773<,<3j6?;4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i=6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg33=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>844?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j7;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi99950;394?6|,;hh6?m<;I0a6>i5810;6)=zj<>36=49:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`60f<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=<:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8:k:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<>n6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg33n3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f00129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31:3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`621<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31;3:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9j8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74e<,<3j64?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:k9;295?6=8r.9nn4=c29K6g4<3th?hl4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;1h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>6n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:296=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5?<3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5;50;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95a=#=0k1=i5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64b{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6l2.>5l4>d:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=i5+58c95a=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74b<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6l2.>5l4>d:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>95+58c961=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m74?<,<3j6<74}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5950;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0f8 0?f28n0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0f8 0?f28n0qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82`>"21h0:h6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=i5+58c95a=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74b<,<3j6j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=i5+58c95a=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:0;8 0?f2830qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;131<7<>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:h6*:9`82`>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fd<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo>50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2>5<6290;w)N5j;1d>=650;&1ff<58110qo=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi87>55;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>7>53;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb783>77=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6io0;6)P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2o7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4h4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74g63_;3m773<,<3j6?;4}|~?l7f:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c811>"21h0996sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1>85+58c960=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e?3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=h5+58c95`=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74c<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6m2.>5l4>e:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e2;?0(87n:378yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5=2.>5l4=5:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m773<,<3j6?;4}|~?l7>n3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c811>"21h0996sr}|9j5d7=83.9nn4>929K6gd<^;hn6i:0c2?S7?i38p(87m:378 0?f2;?0qpsr;h3b6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38>7);6a;06?x{zu2c:m>4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a4?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0494?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~fg<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjo0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<7>51083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0;8 0?f2830qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=45+58c95<=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74?<,<3j6<74}|~?l7>j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=h5+58c95`=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:378 0?f2;?0qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9:0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:87>52083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k97[?7a;0x 0?e2830(87n:0;8yx{z3`;j?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e1<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m95Y19c96~"21k0:56*:9`82=>{zut1b=l;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d3<^82j6?u+58`95<=#=0k1=45r}|8m4g1290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i10;6)P5jl0:wAa99U5=g=:r.>5o4>9:&6=d<612wvqp5f1`;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h30Z<6n:3y'1i:0cb?S7?i38p(87m:0;8 0?f2830qpsr;h3bg?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mi4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ea=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?::187>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vnk>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rbg394?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg`5290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xda;3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab1<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wij84?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`960=#=0k1>85r}|8m4?1290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0996*:9`811>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{en00;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;80(87n:308yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:m14=<72-8io7{zut1vnko50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73?2\:4l4={%7:f?423-?2m7<:;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fcd=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1>85+58c960=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m773<,<3j6?;4}|~?l7>i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T23twvq6g>9c83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61k1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=h5+58c95`=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74c<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6m2.>5l4>e:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>85+58c960=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m773<,<3j6?;4}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wijh4?:3394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjol1<7950;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`245<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e99;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68;0;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6b383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m74c<,<3j6>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<>4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0996*:9`811>{zut1b=o=50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<5=2.>5l4=5:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2830(87n:0;8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0583>1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0483>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a550=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5n4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90i0Z<6n:3y'1l2\:4l4={%7:f?423-?2m7<:;|~y>o61l0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?a290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i;0;6)P5jl0:wAa39U5=g=:r.>5o4>9:&6=d<612wvqp5f1`194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a55>=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c094?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=2=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1=h5+58c95`=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m74c<,<3j6i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T29c83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1>85+58c960=zutw0e<7l:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5i4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90n0Z<6n:3y'1m2\:4l4={%7:f?423-?2m7<:;|~y>o61o0;6)P5jl0:wA9g9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h;0Z<6n:3y'1i:0c1?S7?i38p(87m:0;8 0?f2830qpsr;h3b7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m94?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e1=Q91k1>v*:9c82=>"21h0:56sr}|9j5d3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l;4V0:b>7}#=0h1=45+58c95<=zutw0e4g13_;3m74?<,<3j6<74}|~?l7f?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n7:T23twvq6g>a983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i11]=5o52z&6=g<612.>5l4>9:~yx=n9h31<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`;8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kj7[?7a;0x 0?e2830(87n:0;8yx{z3`;jo7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ea<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mi5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7713:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg77i3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=n9k;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74c<,<3j6k3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=f=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;g?S7?i38p(87m:378 0?f2;?0qpsr;h3:a?6=,;hh6<7<;I0af>P5jl0:wA9d9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6?;4$4;b>73o6i;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`960=#=0k1>85r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8:o6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;`?S7?i38p(87m:0g8 0?f28o0qpsr;h3:`?6=,;hh6<7<;I0af>P5jl0:wA9e9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7j;W3;e?4|,<3i6?;4$4;b>73{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`960=#=0k1>85r}|8m4g5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0996*:9`811>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2;?0(87n:378yx{z3`;j=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<5=2.>5l4=5:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n3:T2a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i6?;4$4;b>73{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n2:T2a283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i:1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`68R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m:5Y19c96~"21k0:56*:9`82=>{zut1b=l650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d><^82j6?u+58`95<=#=0k1=45r}|8m4g>290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ij0;6)P5jl0:wAab9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`f94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hn0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`255<72?0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0;8 0?f2830qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f47629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>?5+58c967=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m774<,<3j6?<4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10194?2=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0996*:9`811>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1583>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?>5;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=<950;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10:94?1=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:=44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76j3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76k3:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb03g>5;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0996*:9`811>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a54`=8331<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88;6=48:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a577=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8896=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6::0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88?6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:<0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0:i6*:9`82a>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95`=#=0k1=h5r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c?2\:4l4={%7:f?7b3-?2m7?j;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0g8 0?f28o0qpsr;h3:f?6=,;hh6<7<;I0af>P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88=6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95`=#=0k1=h5r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:378 0?f2;?0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:>:4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<<7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:378 0?f2;?0qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f44f290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m773<,<3j6?;4}|~?l7e;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'112\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`26g<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2;?0(87n:378yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg75k3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<5=2.>5l4=5:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a57b=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`967=#=0k1>?5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?<4$4;b>743-?2m7?6;|~y>{e9::1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>{e9:;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:??4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?7>3-?2m7?6;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>9:&6=d<612wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?<3;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m6735Y19c96~"21k0996*:9`811>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95<=#=0k1=45r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=>:50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e2;?0(87n:378yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:56*:9`82=>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f45129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9:21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7413:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56g=8321<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e4d73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm12`94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj89n6=4<:183!4ek3287E6*:9`816>{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`967=#=0k1>?5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3g83>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=91<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73<3:1;7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb066>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a511=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:844?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4`83>0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9=h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn<:l:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73l3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4d83>1<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=l1<7;50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5183>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8?50;494?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:2;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`216<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m774<,<3j6?<4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8??6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<;::187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg72>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5683>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:954?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=00;6:4?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=k0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:c;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f43c290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8k50;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`21c<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f407290=6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6>80;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;<50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5:2.>5l4=2:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f403290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`220<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb045>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<86:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg71j3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<8k:184>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?9f;292?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0e4gb3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm16294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5:2.>5l4=2:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5239'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;?4?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:=50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9>>1<7650;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=>6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41129036=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb054>5<0290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05:>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c811>"21h0996sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;l4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41e290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>85+58c960=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=h6=48:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<9k:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05f>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`23c<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e91:1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3897);6a;01?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c816>"21h09>6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?71;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8296=4<:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=5=8391<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?8583>3<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=3=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=0=83=1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:56*:9`82=>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?;2wxh94?:04x9a2=:9201>8k:0;7?851m3;2863<6g82=1=:;>:1=4:4=4af>4?3349397?64:?0<3<61=16:7?64:?4>4?334;96<7;;<30>4?334;?6<7;;4?334;;:7?64:?242<61=16==m51868946c283?70??e;3:0>;68o0:595213095<2<58886<7;;|qa4?6=;r7i=7;c;3;2?6s|d283>40|5m91>=64=252>4?3348<:7?64:?67`<61=169>h518689027283?70=77;3:0>;4010:595239;95<2<5?0:58527;3:1>;6;3;2963>4;3:1>;am3;2863>0982=1=:99i1=4;4=02g>4?234;;i7?65:?24c<61<16=?:518689442283?70?=6;3:0>{t0o0;6>u291814<=Y0o16n44>929~wg?=8389w0l6:32;?851l3;2963<6d82=0=:;?l1=4;4=253>4?2349<=7?65:?126<61=169>k51878905a283>70;;0;3:1>;40<0:585239495<3<5:2<6<7:;<1;=278444>949>2?7f827<64?234;864g734lo6<7:;4?234;;:7?65:?242<61<16==651878946d28k;70??d;3b4>;68l0:m=5211d95d6<58896<7:;<317?7>=27:>94>949>573=90?01<<9:0;6?xu?l3:1?v37e;03=>X?l27i47?63:pf=<72:;p1o6521:890>1283?70=94;3:0>;4>m0:m=5237g95d6<5:a19>005=90>019;;:0;7?85cm3;2863=4982=1=::<=1=4:4=5g5>4?334?8i7?n0:?67c<6i91699>51`2896>228k;70=76;3b4>;40>0:m=5239:95d6<5:2260:mo521382e5=:9:0:mo521582eg=:n?0:5952fc82=1=:nm0:m=52fd82e5=:99;1=4:4=021>4?334;;:7?n0:?242<6i916==651`28946d28ki70??d;3bf>;68l0:mo5211d95dd<5889694>a19>573=9h:01<<9:0c3?87513;2863>2`82=1=:9:k1=4:4=06e>4?33ty3n7>53z?;g?4712T3n63m7;3:7>{tj>0;6>?t=c5965><5<2=6<7:;<151?7><278:i4>ac9>73c=9hh01>8i:0ca?85083;jn63<7082eg=:<4?3349oi7?65:?10=<61<16>8>5186891c0283?70;;2;o0:mo5255295dd<5:2>6ac9>7=>=9hh01>66:0ca?80=9ho01:4>ad9>55<61=16=?4>ac9>56<6il16=94>ad9>bd<61=16jn4>959>ba<6ik16jh4>ac9>555=90>01<>9:0ca?877?3;jn63>0982eg=:99i1=lk4=02g>4gb34;;i7?ne:?24c<6il16=?<51``8944428ki70?=4;3bf>;6:<0:mo5213495dd<588i6<7;;<31g?7><27:?l4>949>51`=90?0q~66:1808>f2;:27S66;<`5>4?43tyi:7>523y>f3<58116?;j51`g8960b28kn70=9f;3ba>;4?90:mh5236395dc<5;>>6<7;;<70a?7fm27>?k4>ad9>116=9ho01>6::0cf?85?>3;ji63<8682e`=:;121=lk4=2::>4gb34<1=lh4=682ec=:9;0:mh521282ec=:9=0:mk52fe82e`=:nl0:mh5211495dc<58:<6ag9>55b=9hl01<>j:0ce?877n3;jj63>2382e`=:9;91=lk4=007>4gb34;997?ne:?263<6il1v5950;1x9=>=:930R594=c795<56=4=2z?a1?470278:i4>ag9>73c=9hl01>8i:0ce?85083;jj63<7082ec=:::31=4:4=41f>4ga34?8j7?nf:?605<6io16?5;51`d896>128km70=77;3bb>;4010:mk5239;95d`<5?0:n=527;3a4>;6:3;jj63>3;3a4>;6<3;i<63id;3bb>;am3;jj63>0782ec=:99=1=lh4=02;>4ga34;;o7?m0:?24a<6j916==k51c28946a28h;70?=2;3bb>;6::0:mk5213695d`<588>6<3<5801U4852b582=6=z{k>1<7<={<`7>76?3498>7?64:?02a<6j916?;k51c28960a28h;70=80;3a4>;4?80:n=5252g95g6<5<9m6b19>7=0=9k:01>68:0`3?85?03;i<63<8882f5=:>3;i=638:0`2?87528h;70?<:0`2?87328h:70hk:0`3?8`b28h;70??6;3a4>;68>0:n=5211:95g6<58:h6;<33`?7e927:

b09>55`=9k;01<<=:0`3?875;3;i<63>2582f5=:9;?1=o>4=005>4d73tyj>7>53z?b7?4712Tj>63lc;3:7>{tkj0;65kt=ba965><5<2=6<27>mh4>959>1g6=90>018l>:0;7?83e:3;2863;9482=1=:<0<1=4:4=0ge>4?334;m<7?64:?2b4<61=16???518689645283?70=;4;3:0>;4<278:i4>b09>73c=9k;01>8i:0`2?85083;i=63<7082f4=:4?334?ho7?64:?6a2<61=169h65186890c>283?70;i1;3:0>;2n;0:59525g195<2<5<27?n<4>959>0d6=90>019o>:0;7?82f:3;2863;a282=1=:1=4:4=3d6>4?3348m:7?64:?1b<<61=16?im5186896bc283?70=ke;3b4>;4lo0:595249;95<2<5<27>m>4>959>1d2=90>01>><:0;7?857<3;2863<0482=1=:?k0:59527b82=1=:?m0:59527d82=1=:9l91=4:4=4a3>4?334?h=7?64:?6`f<61=16>9651`28972>283?70<89;3:0>;5?h0:595226`95<2<5;236<7;;<6f0?7><27>?h4>b09>16`=9k;018:?:0`2?836?3;2863:1982=1=:=<21=4:4=47:>4?334?=87?64:?620<61=16?5;51c3896>128h:70=77;3a5>;4010:n<5239;95g7<5=0:59526;3a6>;028h970?=:0`2?87428h970?;:0`1?8`5283?70h<:0;7?8`2283?70hk:0`2?8`b28h:70??6;3a5>;68>0:n<5211:95g7<58:i6<7;;<33g?7e:27:b39>55c=9k801<>i:0`1?876l3;2863>1d82=1=:98l1=4:4=001>4d634;9?7?m1:?261<6j816=?;51c38944128h:70?<0;3:0>;6;>0:595212:95<2<58926<7;;<30e?7f827:?o4>959>514=90>01<:<:0;7?873>3;2863>4682=1=:9=21=4:4=06:>4?334;?o7?64:?20a<61=16=9k51868942a28k;70?:0;3:0>;6==0:595214595<2<58?36<7;;<36f?7><27:9n4>959>50b=90>01<;j:0;7?872n3;2863>6`82=1=:9>81=4:4=050>4?334;387?64:?2<0<61=1v4h50;1x9d6=:930R4h4=b`95<54:4>949>1dc=90?018l?:0;6?83e93;2963:b382=0=:<0=1=4:4=5;;>4?33499=7?65:?067<61<16??:518689642283?70=;4;3:1>;4<>0:595234:95<3<5:?26<7:;<157?7><278:94>949>733=90?01>8k:0`1?851m3;i>63<6g82f7=:;>:1=o<4=252>4d534?ho7?65:?6b4<61<169k<5187890`4283>70;i4;3:1>;3i90:58524`395<3<5;l>6<7:;<0e2?7>=279j:4>959>6c>=90>01?h6:0;6?822;3;2963;5582=0=:<4?2349oj7?65:?046<61<16?=:518789662283>709m:0;6?81d283>70;k8;3:0>;2l00:59525ea95<3<5;8n6<7;;<01b?7><279?=4>959>61?=90?01?;n:0;7?842j3;2863=5b82=1=::?n1=4:4=35:>4?2348565187891c3283>70:j6;3:1>;3m>0:585252g95g4<5<9m6=:4>949>14>=90?018?6:0;7?836i3;2863:1c82=1=:=<21=4;4=47:>4?234?=87?65:?0<0<6j;16?5851c0896>028h970=78;3a6>;4000:n?524;3:1>;128h870951c189c<61=16==4>949>57<6j;16=>4>b29>51<6j:16j>4>949>b1<61=16j84>949>b3<61<16jl4>949>bg<61<16jn4>949>ba<6j;16jh4>b39>557=90?01<>=:0;6?877;3;2963>0782f7=:99=1=o<4=02;>4d534;;n7?65:?24f<6j:16==j51c18946b28h870??f;3a7>;69m0:585210g95<3<58;m6<7:;<316?7e:27:>>4>b39>572=9k801<<::0`1?875>3;i>63>2882=0=:9;k1=4;4=00a>4?234;9o7?65:?274<61=16=><518689454283?70?<4;3:0>;6;k0:585215095<3<58>86<7:;<372?7>=27:8:4>949>506=90?01<;8:0;6?87203;2963>6282=1=:9?>1=4:4=046>4?334;=:7?64:?222<61=16=;l518689415283>70?83;3:1>;6?=0:595216795<2<58==6<7;;|q:`?6=;r72i7;d=3;2?6s|c483>2c|5j?1>=64=4:5>4ge34?3;7?n0:?6f4<6i9169o<51`28964628k;70==2;3b4>;4:=0:585235695d6<5:><6<7:;<16=?7f8278:>4>949>73b=9k901>8j:0`0?851n3;i?63<7182f6=:;>;1=o=4=54a>4?234?m?7?n0:?6b1<6i9168l>51`2891g5283>70:n3;3:1>;3i=0:58522g;95d6<5:nh6<7:;<1g`?7>=278hh4>ac9>7a`=9h:018o>:0;6?83f:3;2963:a282=0=:=h>1=4;4=227>4g7349;97?n0:?4f?7f827=27>hl4>959>1ad=90>018jl:0c3?84303;jn63=4882e5=::4g7348;2;o0:n>5255295g5<5<;<6=44>949>10?=9h:01n7518689f?=90?0188;:0c3?831=3;2963<8482f6=:;1<1=o=4=2:4>4d4349347?m3:?0<<<6j:1687?n0:?5>4?134=1=484=g82=0=:9;0:n>521282=3=:9=0:5;52f582=0=:n<0:m=52fc82e5=:nj0:m=52fe82f6=:nl0:n>5211095d6<58:86b29>55>=9k901<>m:0c3?877k3;2:63>0e82=3=:99o1=484=02e>4?134;:j7?n0:?267<6j:16=?=51c18944328h870?=5;3a7>;6:?0:n>5213;95d6<588j6n4>a19>567=90?01<==:0;6?874;3;2963>3582=0=:9:=1=4;4=01;>4?234;857?65:?27d<6ik16=>l51`28942528k;70?;6;3b4>;6<10:585215;95<3<58>h6<7:;<37`?7>=27:8h4>949>51`=9hh01<;?:0c3?872?3;j<63>5c82=0=:94?234;>i7?65:?21c<61<16=;=518789403283>70?84;3:1>;6?<0:585rs8`94?5|50i1>=74^8`89f2=9090q~m;:187e~;d<38;463;9782=0=:;;;1=ll4=201>4ge349?87?nb:?002<6i916?;=51`28960328k;70=95;3b4>;4>m0:5;5237g95<0<5:>278;<4>979>03?=90?019l>:0;6?822;3;j<63;5582e5=:<4=575>4g734>357?65:?2a6<61<16>5h5186891c328ki70:j6;3bf>;3m>0:mo5252g95<0<5<9m6<79;<774?7>>27>:94>ac9>133=9h:01>6::0;5?85?>3;2:63<8682=3=:;121=484=2::>4?134>1=ll4=782=2=:?3;2;63i:0c3?87728k;70?=:0;5?874283<70?;:0;4?8`328k;70h9:0c3?8`f28k;70hm:0ca?8`d28ki70hk:0;5?8`b283=70??1;3b4>;68;0:mo5211195dd<58:=6<79;<333?7>>27:<54>979>55e=90=01<>k:0;4?877m3;2;63>0g82=2=:9;81=484=000>4?134;987?66:?260<61?16=?851848944>28ki70?=a;3bf>;6:k0:mo5213a95dd<589:64>a19>562=9h:0q~78:1808??2;:27S78;<`g>4?43tyih7>53ey>fa<58116?;:51``8960228ki70=9d;3:3>;4>l0:5:5237d95<1<5:=;6<78;<145?7>?27?m?4>a19>005=9hh019;;:0ca?822=3;jn63;5782eg=:=h;1=l>4=6f95d6<5;3;6<7;;<6f2?7fm27?i:4>ad9>16c=90=018=i:0;4?83383;2;63l1;3:0>;d93;2963<8482=2=:;1<1=494=2:4>4?0349347?67:?0<<<61>16:7?68:?4>4??34;;64?034;86<77;<37>4??34l=64ge34li64gb34lo6<78;4?034;;=7?nb:?247<6il16===51`g89461283<70??7;3:3>;6810:5:5211a95<><58:o6<77;<33a?7>027:999>574=90=01<<<:0;4?875<3;2;63>2482=2=:9;<1=494=00:>4gb34;9m7?ne:?26g<6il16=?m51`g8942?28k;70?:b;3b4>{t1<0;6>u297814<=Y1<16nn4>929~wge=839iw0ll:32;?851<3;ji63<6482e`=:;?n1=464=24f>4??349=j7?68:?035<61116?:?518:8913428kn70::4;3ba>;3=<0:mh5244495dc<5:nh64>959>0`0=9hl019k8:0ce?834m3;2463:3g82===:==:1=464=2:6>4??3493:7?68:?0<2<61116?56518:896>>2833708518;892<61016==4>ad9>57<61116=>4>989>51<61016j;4>ad9>bd<6il16jo4>ag9>bf<6io16ji4>999>b`<61116==?51`g8946528km70??3;3bb>;68?0:555211595<><58:36<77;<33g?7>127:989>55c=90301<>i:0;:?875:3;2463>2282===:9;>1=464=006>4??34;9:7?68:?26<<6io16=?o51`d8944e28km70?=c;3bb>;6;>0:m=5215a95d6<58?h6;ej3;2?6s|bc83>6d|5kh1>=64=247>4ga349=97?nf:?02a<61016?;k518;8960a283270=80;3:=>;4?80:545244195d`<5=??6ag9>7ab=9h:018o<:0c3?840?3;2863;e782f5=:4=41f>4?>34?8j7?69:?605<61016?5;518;896>1283270=77;3:=>;4010:545239;95;683;jj63>2;3:=>;6;3;2m63>4;3:e>;a>3;jj63ia;3bb>;aj3;i<63ic;3a4>;al3;2563ie;3:=>;6880:mk5211095g6<58:86127:<:4>989>55>=90301<>l:0;b?877l3;2m63>0d82=d=:99l1=4o4=001>4?>34;9?7?69:?261<61016=?;518;89441283270?=9;3a4>;6:h0:n=5213`95g6<588h6a19>50b=9h:0q~7>:1808?52;:27S7>;<`b>4?43tyim7>53cy>fd<58116?;:51c28960228h;70=9d;3:e>;4>l0:5l5237d95i27?m>4>a19>005=9k:019;;:0`3?822=3;i<63;5782f5=:=h>1=l>4=34b>4?334>n:7?m1:?7a2<6j8169>k518c8905a283j70;;0;3:e>;40<0:5l5239495i278444>9`9>2?7>j27<6<7m;<33>4d734;96<7n;<30>4?e34;?6<7m;4d734lj64d634lh6;4?f34ln6<7n;<335?7e827:b09>555=9k;01<>9:0;b?877?3;2m63>0982=d=:99i1=4l4=02g>4?e34;;i7?6b:?24c<61k16=?<518c89444283j70?=4;3:e>;6:<0:5l5213495;<31e?7e927:>o4>b09>57e=9k;01<=6:0c3?873m3;j<63>5d82e5=z{l>1<7:t=d7965?01<6i:0;0?87?k3;2?6s|19d94?7>s4;3j7:4j3:?0a7k4j3:?2g4:d1896?62l901>7k:d1891522l9019j;:d18906a2l9018=::d18901e2l90q~k9:1878c02;:27Sk9;<3;a?7>;27:4o4>929~w4>b2908=v3>8d814==:;:<1i>525c79a6=:;881i>5248c9a6=:ll0n?63>f28f7>;4:00n?63>d88f7>;6nm0n?63=a78f7>;5j90n?63<1c8f7>;4;4=k0n?63<668f7>;4?:0n?63<818f7>;3>90n?63;6e8f7>;3?10n?63;818f7>;2l90n?63:028f7>;2mk0n?639058f7>;2n?0n?639138f7>;3j=0n?63;a68f7>;5k00n?63=ed8f7>;5nj0n?63;588f7>;5l90n?63=d68f7>;5ll0n?63=e48f7>;30m0n?63:a68f7>;4800n?63=9`8f7>;?93o870?j6;g0?823l3o870;l3;g0?83cm3o870:l9;g0?xu60k0;6>u219`965><5:9o6h=4=5d7>`5hm7>53z?7gg<5801U8no4=5a`>4?43ty?on4?:4fx91ed2;:370==d;3:0>;2im0:59525`g95dd<5<27o57?64:?gf?7><27:ik4>949>5c6=90?01><>:0cf?855>3;2863=a382=1=::h91=4:4=3c`>4?3348jh7?64:?052<61=16?<6518689625283?70=;6;3:1>;4<>0:mo5235d95<2<5:?<6<7;;<155?7><278;l4>959>72d=90>01>9l:0;7?850l3;2863<7d82=1=:<>91=4:4=556>4?334?h57?64:?6gd<61=169nm51``891`c283?70;j7;3:1>;2n80:mo525g195dc<5=h96<7;;<0e1?7fj27?9>4>b39>003=9k80196n:0;7?83>n3;2863<0582e`=:;9<1=4:4=0g7>4?33498n7?64:?07g<61<169n>5187890b?28k;70;k9;3b4>;2lh0:m=525ea95dc<5;8m6<279??4>959>63c=90>01?9n:0cf?84?=3;2863=8782=1=::1=1=4:4=3:;>4ge34>n>7?64:?7a7<61<169>l51868905c283?70;9c;3:0>;2180:595250595dc<5854>959>11`=90>01<>l:0;`?877l3;2o63>0d82=f=:98h1=4:4=03g>4ge34;:j7?ne:?26<<6j:16=?l51c18945628ki70?<2;3bf>;6;o0:595217195d6<58<>6959>524=9hh01<9;:0c3?870>3;j<63>8582=0=z{<3=6=4<{<7:3?4712T>5;5258:95<5540y>1<>=:9201f082e5=:;=<1=l>4=264>4gb349=87?m2:?020<6j;16?;j518a8960b283h70=9f;3:g>;4?90:5n52363954>b29>002=9k9019;::0`0?822>3;i?638e;3b4>;3m?0:n>524d595g5<5<9i6<7:;<70a?7>k27>?k4>9b9>116=90i0188l:0;6?83303;j<63:4g82e5=:;1?1=4m4=2:5>4?d3493;7?6c:?0<=<61j16?57518a8946=9k801<<518a89c0=9k801ko51c089cd=9k901km51c189cb=90i01kk518a8946628h970??2;3a7>;68:0:n>5211495k27:>?4>9b9>575=90i01<<;:0;`?875=3;2o63>2782=f=:9;31=484=00b>4?134;9n7?66:?26f<61?16=>>51`28945628kn70?<2;3ba>;6;:0:mh5212695dc<58>;6<7;;<37=?7f827:9k4>a19~w1cf2908w0:jb;03=>X3mh168hm51818yv2bk3:1hv3;eb814==:9j=1=4;4=0a;>4?234;h57?65:?0;6?00:585216c95<3<58=i6<7:;<34g?7>=27:;i4>949~w`>=83>p1h7521;8Z`><5lk1=4=4=0:g>4?43tynm7>51cy>ad<58116=n951`2894e?28k;70?l9;3b4>;6kk0:59521ba95<2<5=:j6<7;;<63f?7><27?959>05b=90>019<::0;7?825l3;2863>be82=1=:9ko1=4:4=0`e>4?3349mj7?64:?745<61=168=?518689165283?70<;5;m0:595222g95<2<58=26a19>52e=9h:01<9k:0c3?xu60m0;6?u219f965><5:o36h=4}r76f?6=9n4=089]10d<5;2wx98j50;7x903c2;:370:<3;3:0>;3;:0:585242;95<2<5=926<7:;|q2=4<72;q6=4?521:8964f283?7p}:2983>6}:=;31>=74^40;?835i3;2?6s|53c94?3|5<8j6?>7;<3g2?7>=27?9h4>959>00c=90?018:7:0ca?xu2>l0;6>u257d965?{t=>:1<7;t=453>76?34;mm7?65:?73`<61=168:k51878902a28ki7p}>8683>6}:9121>=74^0:4?87?13;2?6s|19;94?5|58226?>7;<1f2?7><278i;4>949~w0?42908w0;64;03=>X21:1694;51818yv3>=3:19v3:94814==:<:l1=4:4=51e>4?234>?97?64:?700<61<1v8>::180837>38;56P:049>151=9090q~;?7;291~;28>09<55238`95<2<5:3i6<7:;<1b5?7><278m<4>949~w5<5s4i86?>7;<107?7>=2wxm94?:2y>e0<5801Um952ce82=6=z{jn1<7<5;9j6<7:;|qb2?6=;r7j;7;dm3;2?6s|cd83>7}:kl09<55225495<376>3Wk370mi:0;0?xudn3:1>v3lf;03<>;5=80:585rs`c94?5|5hh1>=74^`c89a6=9090q~j?:1818b72;:370<:8;3:1>{tij0;6>u2ae814<=Yij16h<4>929~wa7=838p1i?521:89703283>7p}ne;297~;fn38;56Pne:?g6?7>;2wxh?4?:3y>`7<58116>;l51878yv30k3:1?v3:7e814<=Y=>i0189l:32;?xu2?o0;6?u256a95<5<5<=m6?>7;|q7b0<72:q68k8521;8Z1`234>m976;_6``>;3km09<55rs5ae>5<5s4>hh7?63:?7gc<5811v9kk:18082bm38;56P;ee9>0`b=:920q~:jf;296~;3mm0:5>524dd965>53z?64<<5801U9=64=42;>76?3ty>15>=909018>n:32;?xu29l0;6>u250d965?e;03<>{t=;:1<74?434?9<7>o4=099~w04c2909w0;=b;3:7>;2:m09<55rs452>5<4s4?<>7;1>=64}r747?6=:r7>;<4>929>125=:920q~<>0;297~;59809<45Q202897772;:37p}=2883>7}::8:1=4=4=30:>76?3ty9=:4?:2y>64>=:930R??8;<023?4702wx>>;50;0x9770283870<<5;03<>{t:831<7=t=33b>76>3W8:563=18814==z{;>:6=4={<02=?7>;2798<4=099~w77e2908w0<>c;03=>X59k16>v3=1c82=6=::=i1>=64}r02`?6=;r79=h4=089]64b<5;;o6?>7;|q116<72;q6>6}::;:1>=74^33e?846n38;46s|24d94?4|5;;m6<7<;<06b?4702wx>??50;1x97452;:27S<=1:?164<5811v?89:18184593;2?63=67814==z{;886=4<{<010?4712T9>>52231965>7>52z?166<61:16>:<521:8yv45=3:1?v3=27814<=Y:;?01?<::32;?xu5?l0;6?u223795<5<5;=n6?>7;|q162<72:q6>?6521;8Z7403489;76;_6gg>;3lj09<55rs5ff>5<5s4>oo7?63:?7``<5811v8=9:180834?38;56P:379>160=:920q~;<8;296~;2;?0:5>5252:965>53z?62=<5801U9;94=444>76?3ty>:44?:3y>131=90901886:32;?xu20j0;6>u259f965?{t=1o1<74?434?3i7;3j>09<55rs433>5<4s4?:=7=64}r726?6=:r7>==4>929>144=:920q~;:1;297~;2=;09<45Q543890362;:37p}:5283>7}:=<;1=4=4=470>76?3ty9=84?:2y>640=:930R??:;<021?4702wxnh4?:3y>643=90901ok521:8yv46:3:1?v3=12814<=Y:8801??=:32;?xud>3:1>v3=1382=6=:k?09<55rs5``>5<4s4>ih7=64}r6aa?6=:r7?nn4>929>0gc=:920q~;;3;297~;2<=09<45Q551890242;:37p}:4483>7}:==91=4=4=466>76?3ty>8l4?:2y>11d=:930R8:n;<77e?4702wx99m50;0x902f283870;;c;03<>{t=76>3W?>i63:5d814==z{<<;6=4={<76a?7>;27>:=4=099~w1b22908w0:k6;03=>X3l<168i;521:8yv2c?3:1>v3;d482=6=:=64}r7;=?6=;k4>929>12c=9090186m:0;6?83?i38;46s|56g94?2|5<=n6?>7;<7;7?7><27>494>959>7ag=90>0q~;78;296~;20<0:5>5259:965>53z?6<0<58116=>k51868945b283>7p}:8183>7}:=1h1=4:4=4:3>76?3ty>4o4?:3y>1=d=:920186n:0;0?xu61;0;6l7t=0;1>76?34?3m7<6b:?68528`896512;3?70;m5;0:f>;2j<09595230096j27?5l4=959>``<51k16hh4=959>5f`=:0h01f281=1=:;;k1=4;4=20:>7?e34;o57<6b:?2`<<51=16=kj528`894`c2;3?70;5i?0959522c296j278=o4=959>71g=:0h01>:n:3;7?852:382n63<5381=1=:;

4l4=27a>7?3349=;7<6b:?022<51=16?:=528`896142;3?70=70;0:f>;40909595247296j27?:i4=959>02>=:0h01997:3;7?82?8382n63;8181=1=:=m:1>4l4=4f3>7?334?;?7<6b:?646<51=169hl528`890ce2;3?708?4;0:f>;18=0959525g496j27==?4=959>0g2=:0h019l;:3;7?85fk382n634l4=2`5>7?334>;j7<6b:?74c<51=16?n?528`896e62;3?70:n7;0:f>;3i>0959523bc96j278h84=959>043=:0h019?::3;7?826j382n63;1c81=1=:<;;1>4l4=502>7?334>9;7<6b:?762<51=16>n7528`897e>2;3?70;5ml0959522ga96j27?944=959>6a6=:0h01?j?:3;7?84c?382n63=d681=1=::mo1>4l4=3ff>7?3348n97<6b:?1a0<51=16?h<528`896c52;3?70=i4;0:f>;4n=09595243d96j27?4i4=959>1d1=:0h018o8:3;7?87d9382n63>c081=1=:;931>4l4=22:>7?3349n47<6b:?0a=<51=16>4o528`897?f2;3?70=je;0:f>;4ml09595241696j27?8<4=959>011=:0h019:8:3;7?8122;3i709::3;7?8>62;3i706>:3;7?87b>382n63>e781=1=:;:n1>4l4=21g>7?33492=7<6b:?0=4<51=16?49528`896?02;3?70=6d;0:f>;41m0959523`196j278jl4=959>063=:0h019=::3;7?824j382n63;3c81=1=:<=n1>4l4=56g>7?334?h?7<6b:?6g6<51=169ik528`890bb2;3?70:k4;0:f>;3l=0959524g696j27>171=:0h018<8:3;7?834=382n63:3481=1=:=>h1>4l4=45a>7?334>h57<6b:?7g<<51=1v?l;:1813<}::k>1>=64=4:b>`4<5<2?6>27>494>969>1=2=9020186;:0;:?83?<3;2m63:8582=g=:=k?1i?525c295j27>n<4>9`9>1g7=90h018l=:0;b?83e:3;2n63<138f6>;31h0n>63ke;g1?87dn3o970?i3;g1?85513o9704??348j?7?69:?1e6<61h16>l=518`897g328h870;5i=0:5:522`695<><5;k?6<76;<0b0?7>i279m94>9c9>6g6=m;16>lj5185897gc283370;5im0:5l522`f950279mh4>989>6dc=90k01?oj:0;a?856j3o970=>8;3:2>;4910:5:5230:95<><5:;36<76;<12i278=54>9c9>74?=90<01>?6:0;4?85613;2463<1882=<=:;831=4o4=23:>4?e349?m7k=;<166?c5349>n7k=;<153?c5349=87?67:?021<61116?;:518;89603283j70=94;3:f>;4><0:5:5237795<><5:<>6<76;<151?7>i278:84>9c9>725=m;16?;j518d8960c28k:70=9d;3b6>;4>m0:m>5237f95d2<5:278:i4>a69>73b=9h201>8k:0c:?851l3;jm63<6e82ef=:;?n1=lj4=24f>4?a349=i7?n1:?02`<6i;16?;k51`18960b28k?70=9e;3b1>;4>l0:m;5237g95d1<5:a`9>73c=9hi01>8j:0cg?851n3;2j63<6g82e4=:;?l1=l<4=24e>4g4349=j7?n4:?02c<6i<16?;h51`48960a28k<70=9f;3b<>;4>o0:m45237d95dg<5:9g9>726=9h;01>9?:0c1?85083;j?63<7182e1=:;>:1=l;4=253>4g1349<<7?n7:?035<6i116?:>51`;8961728kj70=80;3bg>;4?90:mi5236395<`<5:=:6;<145?7f:278;<4>a29>727=9h>01>9>:0c6?85093;j:63<7082e2=:;>;1=l64=252>4g>349<=7?na:?034<6ij16?:?51`f896>72l80198k:d08911?2l8018j?:d0890ef283=70;la;3:3>;2kh0:55525bc95j27>oo4>979>1fd=90=018mm:0;;?83dj3;2563:cc82=d=:=jh1=4l4=4a`>4?>34?ho7?6a:?6gf<61k169==5e39>1`d=m;16:=:5e39>1c0=m;169k=51c1890`4283=70;i3;3:3>;2n:0:55525g195j27>j94>b29>1c2=90<018h;:0;4?83a<3;2463:f582=<=:=o>1=4o4=4d7>4?e34<:>7k=;<6a0?c5349jo7k=;<1a2?c534>;j7k=;<1`5?c534>j;7k=;<1`e?c5349o97k=;<621?c534>:n7k=;<615?c534>9;7k=;<0`=?c5348ni7k=;<0eg?c534>>57k=;<667?7>j27?994>9c9>003=90h019;9:0;a?84c83o970i3o970=je;g1?827<3o970:;1;g1?823?3o9709::d089=7=m;16=h85e39>76b=m;16?4?5e39>7<1=m;16?4j5e39>7d5=m;16?ko5e39>063=m;168>l5e39>01b=m;169n=5e39>1ac=m;168i:5e39>0c2=m;169=h5e39>171=m;169>;5e39>12d=m;16>:o51c18971f283=70<8a;3:3>;5?h0:555226c95j279;o4>b29>62d=90<01?9m:0;4?840j3;2463=7c82=<=::>h1=4o4=35a>4?e34>n:7?69:?7a3<61h168h8518`891c0283270:j7;3:e>;3m>0:5o5252g95<`<5<9n6;<70a?7f:27>?h4>a29>16c=9h>018=j:0c6?834m3;j:63:3d82e2=:=:o1=l64=41f>4g>34?8i7?na:?67`<6ij169>k51`f8905a283m70;;2;o0:m?5252d95d5<5<9m6?k4>a79>16`=9h=018=i:0c;?834n3;j563:3g82ed=:=:l1=lm4=41e>4gc34??<7?6f:?605<6i81699>51`08902728k870;;0;3b0>;2<90:m85255295d0<5<>;68=4>a89>116=9hk018:?:0c`?83383;jh63;c88f6>;40<0:5k5239795d7<5:2>6a59>7=3=9h?01>6::0c5?85?=3;j;63<8482e==:;1?1=l74=2:6>4gf349397?nc:?0<0<6im16?58518d896>128k:70=76;3b6>;40?0:m>5239495d2<5:2=62784;4>a69>7=0=9h201>69:0c:?85?>3;jm63<8782ef=:;1<1=lj4=2:4>4?a3493;7?n1:?0<2<6i;16?5951`1896>028k?70=77;3b1>;40>0:m;5239595d1<5:2<6a`9>7=1=9hi01>68:0cg?85?03;2j63<8982e4=:;121=l<4=2:;>4g4349347?n4:?0<=<6i<16?5651`4896>?28k<70=78;3b<>;4010:m45239:95dg<5:2369g9>7=?=9h;01>66:0c1?85?13;j?63<8882e1=:;131=l;4=2::>4g1349357?n7:?0<<<6i116?5751`;896>>28kj70=79;3bg>;4000:mi526;3b0>;128k>70851`4893<6i>16:7?n8:?5>4g>34<1=lo4=782ef=:>3;jh638:0c7?81=9h?01:4>a79>3?7f?27<60:ml527;3bg>;028ko70??:0`0?877283=70??:0;4?877283370??:0;:?877283j70??:0;a?87528k:70?=:0c1?87528k870?=:0c7?87528k>70?=:0c5?87528k<70?=:0c;?87528k270?=:0cb?87528kh70?=:0cg?87428k970?<:0c0?87428k?70?<:0c6?87428k=70?<:0c4?87428k370?<:0c:?87428kj70?<:0c`?87428ko70?;:0c1?87328k870?;:0c7?87328k>70?;:0c5?87328k<70?;:0c;?87328k270?;:0cb?87328kh70?;:0cg?8`1283370h9:0;:?8`1283j70h9:0;a?8`f283370hn:0;:?8`f283j70hn:0;a?8`e283j70hm:0;a?8`d283j70hl:0;a?8`c28k:70hk:0c1?8`c28k870hk:0c7?8`c28k>70hk:0c5?8`c28k<70hk:0c;?8`c28k270hk:0cb?8`c28kh70hk:0cg?8`b28k:70hj:0c1?8`b28k870hj:0c7?8`b28k>70hj:0c5?8`b28k<70hj:0c;?8`b28k270hj:0cb?8`b28kh70hj:0cg?87793;i?63>0082=3=:99;1=494=022>4??34;;=7?69:?244<61h16==?518`89465283<70??2;3:<>;68;0:545211095?27:<>4>999>555=90301<><:0;b?877;3;2n63>0782=c=:99<1=l?4=025>4g534;;:7?n3:?243<6i=16==851`78946128k=70??6;3b3>;68?0:m55211495d?<58:=6ae9>551=90l01<>8:0c2?877?3;j>63>0682e6=:99=1=l:4=024>4g234;;;7?n6:?242<6i>16==951`:8946028k270??7;3be>;68>0:mn5211595db<58:36<7i;<33a39>55>=9h901<>7:0c7?87703;j963>0982e3=:9921=l94=02;>4g?34;;47?n9:?24=<6ih16==651`a8946?28ko70??c;3b0>;68j0:m85211a95d0<58:h6a89>55e=9hk01<>l:0c`?877k3;jh63>0e82e1=:99n1=l;4=02g>4g134;;h7?n7:?24a<6i116==j51`;8946c28kj70??d;3bg>;68m0:mi5211g95d2<58:n627:

a69>55c=9h201<>j:0c:?877m3;jm63>0d82ef=:99o1=lj4=02e>4g334;;j7?n5:?24c<6i?16==h51`58946a28k370??f;3b=>;68o0:ml5211d95de<58:m6?4>a39>574=9h901<<=:0c7?875:3;j963>2382e3=:9;81=l94=001>4g?34;9>7?n9:?267<6ih16=?<51`a8944528ko70?=3;3b5>;6::0:m?5213195d5<58886>4>a79>575=9h=01<<<:0c;?875;3;j563>2282ed=:9;91=lm4=000>4gc34;987?n1:?261<6i;16=?:51`18944328k?70?=4;3b1>;6:=0:m;5213695d1<588?694>a`9>572=9hi01<<;:0cg?875=3;j=63>2482e7=:9;?1=l=4=006>4g334;997?n5:?260<6i?16=?;51`58944228k370?=5;3b=>;6:<0:ml5213795de<588>6;4>a39>570=9h901<<9:0c7?875>3;j963>2782e3=:9;<1=l94=005>4g?34;9:7?n9:?263<6ih16=?851`a8944128ko70?<1;3:2>;6;80:5:5212395<><589:6<76;<305?7>i27:?<4>9c9>564=90<01<==:0;4?874:3;2463>3382=<=:9:81=4o4=011>4?e34;8?7?66:?276<61>16=>=518:89454283270?<3;3:e>;6;:0:5o5212695<0<589?6<78;<300?7>027:?94>989>562=90k01<=;:0;a?xu2080;6?u259295<2<5<2:6?>7;|q6<7<72;q695>5187890>52;:37p}46|5<286<7:;<7;0?7>=278m94=099>7d>=90>01>o6:0;7?85fi3;28634?3349ii7?64:?0g2<61=16?n65186896b6283?70=k2;3:0>;4l:0:59523ec95<35fz?6<6<6i91695:51`2896g>283>70=na;3:1>;4il09<5523c095<3<5:h86<7:;<1ag?7><278nh4>949>7f1=90?01>m7:0;6?85c93;29634g73ty8n:4?:gy>1=5=9hh0186;:0ca?85f13;j<63=64=2`a>4?2349io7?65:?0f`<6i916?oh5186896e028k;70=l8;3b4>;4l80:m=523e095d6<5:n86428kn70;74;3ba>;4i00:mo523`c95dd<5:hm6<7:;<1`6?470278o;4>959>7a7=9hh01>j=:0ca?85c;3;jn63494>ag9>7d?=9ho01>on:0cf?85en3;j<634gb349om7?nf:p1=5=838p186<:32;?83?:3;286s|1c794?2|5<2?6<27?9<4>949>5g3=:920q~:?>7>52z?6<1<6j;1689<521:8yv3?<3:1>v3:85814==:=181=4;4}r7;2?6=:r7>4;4=099>1=>=90>0q~==c;292`}:=1=1=ll4=20`>76?34?ji7?n0:?6f5<6i9169o?51``890d528ki70==5;3:1>;4=10:m=5234;95dd<5:;<151?7e9278:i4>9c9>73c=90h01>8i:0;a?85083;2n63<7082=g=:=ji1=l>4=4d2>4g734?m>7?n0:?6b6<6ik169k:51``891g628k;70;5n?0:m=522g595<3<5;l36<7:;<0e=?7fj27?9>4>b09>002=9k;019;::0`2?822>3;i=634=227>4ge349;97?nb:?4g?7f827>h54>949>1a?=90?018jn:0;6?83cj3;2963:db82eg=::;o1=4;4=30e>4?23488<7?65:?10<<6ik16>8l51878973d283>70<9d;3:1>;5?00:m=5226c95dd<5;=i6b39>0`1=9k8018=j:0;a?834n3;2n63:4182=g=:=8=1=ll4=43;>4ge34?:m7?65:?65g<61<1698651`28903>28ki70;95;3bf>;40<0:5o5239495j278444>9c9>55<6j816=?4>9c9>b6<6i916j84>ac9>b3<6j816jl4>b09>bg<6j;16jn4>b39>ba<61k16jh4>9c9>557=9k;01<>=:0`1?877;3;i>63>0782=g=:99=1=4l4=02;>4?e34;;n7?nb:?25a<6i916=;6::0:5o52136956<7m;<312?7>j27:>44>b39>57g=9k801<63>3c82eg=:9=91=l>4=064>4g734;><7?nb:?21=<6i916=;;518789401283>70?97;3:1>;6>k0:585216095d6<58=86=2wx95950;0x90>02;:370;78;3:1>{t;:?1<74?43498:7=>:0;0?854<38;46s|32394?5|5:9:6?>7;<01g?7><279>n4>949~w64b2909w0=<6;3:7>;4:l09<55rs20g>5<5s498:7k=;<11`?4702wx??h50;0x964b283?70==f;03<>{t;::1<74?23498<7=?:0;7?85483;2963<1e814==:;;;1=lh4=201>4gb3ty>hk4?:05x9655283>70=<3;3:0>;2kl0:58525ed965><5=l96<7;;<6e6?7>=279?44>949>66g=90>01?:::0;6?843>3;2863=5182=0=::<;1=4:4=374>4?2348>47?64:?126<61<16>;:51868970f283>70<9b;3:0>;5??0:585226595<3<5;286<7:;<0;b?7>=2795=4>949~w6552909w0=<2;03<>;4;=0:595rs210>5<5s498?7=7:1864~;4:m0:58525`f95<3<5949>`<<61<16hn4>959>5c7=90?01><=:0ce?855>3;2963=a382=0=::h>1=4:4=3c`>4?2348ji7?64:?052<61<16?<7518689625283>70=;f;3:1>;4=>0:585237395<3<5==86<7:;<642?7><27>o44>949>1fd=90>019hk:0;6?83b03;2963:f382eg=:=o>1=lk4=5`1>4?2348m:7?nb:?1b2<6i916>k651`2897`>28kn70::4;3a6>;3=?0:n?5249c95<3<5<3m6<7:;<137?7fj278<84>ad9>750=90?014=30f>4g73488<7?n0:?174<61<16>><51878970a283?70<89;3bf>;5?k0:mh5229795<3<5;2=6<7:;<0;3?7>=27>?i4>949>14>=9ho01;4>9b9>3?7>k27:ad9>55`=90i011482=1=:98<1=4:4=034>4?334;:o7?64:?25`<6ik16=?o51c18944d28h870?<0;3:1>;6;:0:mo5212695dd<589m6<7:;<350?7f827::;4>a19>53d=9h:01<8j:0;6?870;3;jn63>7482e5=:91?1=4;4}r7a0?6=;r7>m54>929>1g0=90?018l::32;?xu2i10;676?34?jh7?n0:?6e`<6il169o>51`g890d628km70;m2;3bb>;2kh0:58525b`95<3<5j?4>ad9>1c5=9hl018h;:0ce?807l3;286390d82=1=:>9l1=4:4=733>4?3348m97?ne:?1b3<6il16>k751`d890bd28km70<89;3ba>;5?h0:mk5226`95d`<5<;<6959>524=9ho01<9<:0cf?xu2j:0;6?u25`d95<5<57;|q6ec<72:q69lh521:890e?283?70;l8;3:1>{t=h31<74?334?j57283>70;nb;03<>{t:>21<7=t=4c`>4?334?jo7?65:?13=<5811v8ol:18183fk38;463:ac82=1=z{mo4>949~w0`?2908:v3:ad82ec=:=k:1=lh4=4`2>4d734?i>7?m0:?061<6i916??;51`28964128k;70;la;3b4>;2kk0:m=525ba95d`<56<7;;<7f2?7><27>i:4>a19>1`>=9h:018k6:0;6?83a038;463:fd82=1=:=o:1=4:4=4d2>4ga34?m>7?nf:?6b6<6j9169k:51c28936d283>708?d;3:1>;18l0:585261d95<3<5?;;6<7:;<132?7f8279554>959>1gb=90>018li:0;7?845m3;jn63=2g82eg=::::1=ll4=312>4g7348=h7?n0:?12`<61<16>;h51878971>28km70<8a;3a4>;5?k0:n=5250595g6<5<;36=l4>a19>14d=9h:01<8k:0;7?870:3;jj63>7282ec=:9>>1=ll4=056>4ge34;<:7?nb:?2<4<61=16=5<51868yv3bk3:194u25`g95g6<5n?4>b09>772=9hh01><::0ca?855>3;jn63:c`82eg=:=jh1=ll4=4a`>4d734?n:7?65:?6a2<6ik169h651``890c>28k;70;if;3:0>;1890:595261395<2<5?:96<7;;<7fg?47027>j<4>b19>1c4=9k:018h<:0`2?83a<3;i=6390b82e5=:>9n1=l>4=72f>4g734<;j7?n0:?555<6i916>k;51`d897`128km70;5n10:mo522g;95g6<5::86ag9>750=9hh01?77:0;6?83el3;2963:bd82=1=:=kl1=4;4=4a3>4g734?h=7?n0:?6`=<6ik169i751``890bf28ki70;kb;3bf>;2lj0:n=5223g95dc<5;8m6ac9>664=9h:01?;n:0c3?842j3;j<63=5b82e5=::?n1=ll4=34f>4g7348=j7?n0:?13<<6j916>:o51c38971e28h:70<75;3b4>;50?0:m=5229595d6<5;236=54>b09>14?=9hh018?n:0ca?836j3;jn63>6282eg=:9?>1=ll4=046>4ge34;=:7?nb:?222<6ik16=;o51878940e28ki70?9c;3:1>;6>l0:m=5216095g6<58=86ad9>520=9ho01<6=:0;6?87?;3;2863>8582e5=:91?1=l>4}r432?6=:oq69lk51c3890d728h:70;m1;3a6>;2j;0:n?5233695dc<5:8>6ol4>ad9>1fd=9ho018ml:0`2?83b=3;2963:e782e5=:=oo1=4;4=4de>4?234<;<7?65:?544<61<16:=<5187890`7283>70;i1;3a5>;2n;0:n<525g195g4<5ac9>25b=9hh01;>j:0ca?807n3;jn6391182eg=:;991=lh4=227>4d7349;97?m0:?6f`<61<169oh51`28975528ki70<9d;3ba>;5>l0:mo5227d95dd<5;=26;<04e?7e:279;o4>b39>6=3=9hh01?69:0ca?84??3;jn63=8982ec=:9?n1=4;4=0:2>4?234;3?7?65:p1dc=838p18oj:32;?83fj3;j<6s|31`94?54s4?i<7?m2:?6f4<6j:169o<51c18966e2;:370=?f;3:0>;2kh0:mk525b`95d`<5i54>ad9>1`?=9hh018hi:0c3?807l3;ji63=eb82=1=::o=1=lk4=3d;>4gb349;:7?ne:?6g5<6ik169n?51``890b?28kn70;k9;3ba>;2lh0:mh525e`95dc<5;8n6ag9>667=9ho01?==:0cf?842i3;jn63=5c82eg=::4gb348=j7?ne:?65<<6il169;6>=0:mh5217795dc<58<=6a19>53d=9ho01<8l:0c3?871l3;j<63>6d82eg=:9>>1=lh4=056>4ga34;<:7?nf:?2<1<6ik16=5;51``8yv3d<3:1ov3:b182f6=:=k;1=484=4`1>4?134?h87;2=10:mh5254;95d`<5<>36<7:;<77b?7>=2wx>h950;0783e83;2:63:b082=2=:=k81=494=233>4?3348j?7?65:?1e1<61<16>lj5187897gb283>70=>8;3:1>;4900:58525bc95g7<5;<7`g?7>>27>i44>ad9>256=9h:01;>j:0cf?84b?38;463=eb82=0=:;9;1=4:4=225>4ga3488=7?nf:?177<6io16>8o51`g8973e28kn70<:c;3ba>;5>l0:mk5227d95d`<5;2>6<27>=44>ag9>14g=9hl018?m:0ce?871i3;jn63>6b82eg=:9?n1=ll4=04f>4gb3ty9j=4?:31x90d7283<70;m1;3:<>;2j;0:555230295<3<5;k86a19>6dc=9h:01>?7:0c3?85613;j<63:c`82f7=:=jh1=o<4=4a`>4?034?n57?nf:?544<6i916:=h51`g897cd28k;70;4880:585231495g6<5;9:6ag9>60d=9hl01?;l:0ce?841m3;i<63=6g82f5=::1<1=lk4=43:>4d734?:m7?m0:?65g<6j916=;o51`g8940d28kn70?9d;3ba>;6>l0:mk5rs3df>5<58r7>n=4>999>1g7=903018l=:0;:?85683;j<63=ae82eg=::ho1=ll4=23;>4ge349:57?nb:?6gd<6j:169nl51c1890ed283370;j9;3a4>;18;0:m=5260295dc<5;oh6b09>667=9k;01?==:0`2?842i3;i<63=5c82f5=::4=34f>4d6348=j7?m1:?1<2<6il169<751c38907f28h:70;>b;3a5>;6>h0:mk5217a95d`<5850;0x90d72;:370;m3;3:0>{t=k;1<776?34?i?7?65:p1g4=838p18l=:32;?83e;3;j<6s|30394?4|5::i6<7<;<126?4702wx?=m50;0x9675283870=?c;03<>{t;9n1<74?3349;h7>l:0;6?857m38;46s|28794?2|5::m6<7:;<0:1?47027>9:4>959>101=90?0q~=?f;296~;48o09<55231g95<2511y>746=9hh01?o<:0ca?84f<3;jn63=ae82e`=::ho1=lk4=23;>4gb349:57?ne:?1g1<58116>ho5186897eb283?70;5l<0:59522ea95<2<5;o86<7;;<135?7f82795?4>949~w7ee290nw0=>0;3ba>;5i:0:mh522`695dc<5;ko6ag9>74?=9hl01?kn:0;6?84dj38;463=d482=0=::mi1=4;4=3g0>4?2349;=7?nb:?1=7<6i91v?j=:18g85683;jj63=a282ec=::h>1=lh4=3cg>4d7348ji7?m0:?05=<6j916?<751c2897cf28k;70;5lj0:m=522d195d6<5:::6i750;ax967728h;70;5i=0:n=522`f95g7<5;kn6;<12b09>6`g=9hh01?j6:32;?84b;3;jn63<0082ec=::081=lk4}r0f4?6=jr78==4>b09>6d5=9k;01?o;:0`2?84fl3;i>63=ad82f7=:;821=o<4=23:>4d5348nm7?ne:?1a5<58116?=?51c2897?528km7p}<1183>7}:;8:1>=64=22f>4?23ty?544?:3y>0=c=9090197n:32;?xu30l0;6?jt=5:f>76?34>297?65:?7=3<6i9168495187891??283>70jn:0;7?8be283>70jl:0;6?87bn3;jn63>f182eg=:9o;1=ll4=546>4?334>=97?65:?731<61=168:;518789111283>70:m0;3:0>;3=:0:5;5244695<0<5=?>6<79;<662?7>>27?484>959>0=3=90?014?034;9n7?67:?26f<61>16=>>51``8945628km70?<2;3bb>;6;:0:mk5212695d`<58??6<7:;<361?7><27:9;4>959>501=9hh01<;7:0ca?872j3;jn63>5b82eg=:94ge34;>j7?nb:p0=`=838p197n:0;0?82?n38;46s|48294?4|5=2m6<7;;<6:4?4702wx84?50;0x91>a283>70:61;03<>{t9<81<7=t=5;1>4?334>2>7?65:?217<5811v97=:18182>:38;463;9082=1=z{8?j6=4<{<6:7?7><27?5>4>949>50g=:920q~:63;296~;31:09<55248395<3287>52z?7=1<5811684?51`28yv2f03:1?ku248795d6<5=3<64?234ni64g734;nh7?64:?2a`<61=16=hh51`g894`728kn70?i1;3ba>;6l;0:59521g495<2<5==?6<7:;<641?7f827?;;4>a19>0d>=:92019oj:0;7?82fn3;2863;b182=0=:4=5`1>4g734>j<7?nb:?7e4<6ik168l<51``891g428ki70:n4;3bf>;3=:0:5:5244695<1<5=?>6<78;<662?7>?278hn4>ac9>7ab=9hh01>jj:0cf?85cn3;ji63;8c82=1=:?00:59527c82eg=:?j0:mo527e82eg=:?l0:mo521d295<2<58o96<7:;<3f7?7f827:i94>a19>01d=90>019m::0;7?82d=3;2963>2882===:9;k1=464=00a>4??34;9o7?68:?275<6il16=>?51c28945528h;70?<3;3a4>;6;=0:n=5212595dd<58936ad9>56d=9ho01<:>:0;7?872=3;296s|48794?4|5=3>6?>7;<6:5?7fj2wx84850;0x91?12;:370:61;3ba>{t<0=1<776?34>2=7?nf:p0;6mm0:58521dg95<3<58om6ag9>5a4=90?014=5`2>4ge34>i>7?nb:?7=g<5811688=518:89133283370::5;3:<>;3=?0:555249`95<3<5>31=4;4=6`95dc<5>i1=lk4=6f95dc<5>o1=lk4=0g3>4?234;n=7?64:?70g<61<168n851868944>283270?=a;3:=>;6:k0:545213a95b09>565=9k;01<=;:0`2?874?3;ji63>3982e`=:9:31=lk4=01b>4ga34;8n7?nf:?204<61<16=8:51`289431283>7p};9983>7}:<021>=64=5;2>4d73tyoh7>53z?g1?7>;27oj7?65:?ga?4702wxh84?:01x9a3=:9201i751`289ag=9h:01il51``89ae=9hh01>;?:0;7?850i3;2963;fd82=1=:4gb34<1=4j4=682=a=:9:0:5n521582=f=:99i1=4j4=02g>4?c34;;i7?6d:?24c<61m16=<=51878yvb12909w0ji:0;7?8b12;:37p}kf;296~;cn38;463ke;3:7>{tl>0;6?u2d782=1=:l>09<55rse:94?4|5m<1=4;4=e:965>76?34n36<7;;|q2g7<72oq6hl4>ac9>`g<6il16hn4>ad9>5f4=:9201c882=1=:<>>1=l>4=556>4ge34><:7?nb:?23<<61=16=:o51868941e283?70?8c;3:0>;6?m0:595rs56f>54ga34nh6ad9>020=9ho019oj:0c3?82fn3;j<63;4d814==:9l;1=4;4=5a5>4?23ty3>7>542y>`d<6io16ho4>b19>`f<6j916=hj51`2894cb28k;70?jf;3a4>;6n90:n=521g395g6<58n=6<7;;<3g3?7><27:jl4>959>5cd=90>01989:0;7?821>3;2963;7582e`=:<>?1=lh4=555>4ga34>i<7?nb:?7f4<6il168o<51`g891g728kn70:n1;3ba>;3i;0:mh524`195dc<5=k?6127?994>989>003=903019;9:0;:?85ck3;ji634ga34>3:7?64:?7<3<61<16;o4>ag9>3f<6io16;i4>ag9>3`<6io16=h<51`2894c428ki70?j4;3bf>;376?34>h?7?64:?ef?7>>27mo7?66:?247<61?16===51848944>283j70?=a;3:e>;6:k0:5l5213a95b39>565=9k801<=;:0`1?874?3;jj63>3982ec=:9:31=lh4=01b>4d734;8n7?m0:?210<6i916=8851`28yvbf2909w0jn:32;?8b?283>7p}kb;296~;cj38;463k8;3b4>{tlj0;6?u2db814==:l10:mo5rs0af>5<4s4;h>7?63:?2`5<61<16=nh521:8yv7dl3:1>v3>c`82=6=:9jn1>=64}r3`e?6=;r7:ol4=099>5g?=90>017;|q2`5<72;q6=i>521:894ea28387p}>c583>7}:9j91=4:4=0a7>76?3ty:o84?:3y>5f5=90?01u21b495<2<58i=6<7:;<34{t<9?1<7?6{<3`3?7fj27:o54>ac9>5f?=9hh019>::32;?82713;2863>bc82=1=:9ki1=4:4=2de>4?234>;<7?65:?744<61<168=<51878924=90>01:=5186891b5283?70;?d;3:0>;2:<0:595252095<2<5<986<7;;<74<27>;44>959>52?=9hh01<9n:0ca?870j3;jn63>7b82eg=:9>n1=ll4}r1g3?6=?r7:o:4>ad9>5f>=9ho019>n:0;6?85c?38;463>be82=0=:9ko1=4;4=2de>4g73ty:o:4?:3y>5f1=:9201<58i>6q6=n751`g8916e283>70?mf;3:1>;3890:m=5239a965><5;9h6<7:;<34f?7fm2wx?4<50;5x94e>28km70:?b;3b4>;6jo0:m=5241295dd<5:396?>7;<00g?7f827:;o4>ag9~w4e>2909w0?l9;03<>;6k<0:mo5rs533>5<61r7:oo4>949>5fe=90?019>6:0;6?827i3;j<63;0c82eg=:<9i1=4;4=52g>4?234>:<7;6jo0:mo527382=0=:?:0:58524e095<3<5<:o6<7:;<711?7>=27>??4>949>165=90?01897:0;6?83013;2963=3b82eg=:::n1=4;4=31f>4?23ty?=;4?:07x94ee28k;70?lc;3b4>;39:0:595240195<3<5=;=6?>7;<3af?7f827:nn4>a19>5gb=9hh01;0;3;j<63;d382e5=:=;?1=l>4=411>4g734?8?7?n0:?63=<6i9169:751`28975d28kn70<;5;l0:m=5rs53`>5<6;r7:oo4>ac9>5fe=9hh019?6:0;7?82613;2963;1b814==:9kh1=ll4=0``>4ge34;ih7?ne:?2f`<6il16=oh51`d8924=9hh01:=51``891b528ki70;=5;3bf>;2;:0:mo5256;95dd<5;9h6ac9~w145290:?v3>cc82e`=:9ji1=lk4=53e>4?334>:j7?65:?767<58116=ol51`g894dd28kn70?md;3bb>;6jl0:mk521cd95g6<5>81=lk4=6195dc<5=n96?>4>ad9>12?=9ho01?=l:0`3?844l3;ji63=3d82e`=z{:om6=46{<3`f?7fn27:on4>ag9>073=90?01>ki:32;?825l3;2963>bc82ec=:9kn1=o>4=0`e>4d634=96q6=nl51c28916d28k;70:?1;3b4>;41109<55222f95d`<58=26;3880:mo5238g965><5;9o6ag9~w4ee2909w0?lb;03<>;6km0:595rs513>5<0s4;ho7?m0:?74a<6i9168=<51`2891572;:370<;6?h0:mh5216f95dc8:7>57z?2gf<6j8168=j51``8916528ki70:<6;03<>;5;l0:n=5216c95d`<58=o67p}>f383>6}:9l=1=4=4=0d7>4?234;m?7;6mm0:mo521dg95dd<58om6;<3e4?7e927:j<4>b09>005=90k019;;:0;b?822=3;2m63;5782=d=:=;>1=4:4=407>4?234?8>7?nb:?63=<6ik16=?7518`8944f283i70?=b;3:f>;6:j0:5o5212295g7<589:64>b29>562=9k90q~?j8;296~;6n=0:59521d:965>52z?2b1<58116=k=51818yv7b13:1>v3>e982=1=:9l31>=64}r3fe?6=:r7:i54>949>5`g=:920q~?=e;297~;6mk0:59521d`95<3<588n6?>7;|q2ag<72;q6=hl521:894cf283?7p};5383>6}:9li1=4:4=0g`>4?234>>>703g=90?0198m:0c3?87383;296s|1df94?4|58oo6?>7;<3fe?7f82wx=hk50;0x94cb2;:370?ja;3bf>{t9ll1<776?34;nm7?ne:p5c6=838p17;<3fe?7e82wx??650;1x967c283870==b;3:1>;4:009<55rs204>5<5s499?7?63:?062<5811v><<:180855;38;46390`82=1=:>9k1=4;4}r12a?6=:r78>o4>959>74c=:920q~==b;296~;4:k09<55233;95<552z?06d<58116??752868yv56n3:1>v3<1d82=1=:;8l1>=64}r114?6=:r78=h4>949>776=:920q~==1;296~;4:809<55233295<27>52z?067<58116??>51878yv55<3:1>v3<25814==:;;=1=4:4}r111?6=:r78>84=099>771=90?0q~==6;296~;4:?09<55233595d652z?2`4<61:16=i7521:8yv7c93:1?v3>d0814==:=:;1=4:4=412>4?23ty:h>4?:3y>5a?=90901=64}r3g0?6=:r7:h>4>959>5a2=:920q~?k5;296~;6l:0:58521e7965>52z?2`3<58116=i;51868yv22i3:1>v3>d682=0=:<=64}r3g3?6=:r7:h:4=099>5a3=90?0q~?ic;296~;6n<0:5>521gf965>53z?2b0<581169:9518689010283>7p}>f683>7}:9on1=4=4=0d4>76?3ty:j;4?:3y>5cb=m;16=k8521:8yv7a03:1>v3>f682=1=:9o21>=64}r3e=?6=:r7:j:4>949>5c?=:920q~?ia;296~;6nh09<5521g;95<252z?2bg<61<168:o521:8yv7aj3:1>v3>fc814==:9o31=4;4}r0b1?6=;r795h4>929>6d1=90?01?o9:32;?xu51l0;676?348j>7?n0:?1e6<6j;16>l:51c08960c283o70=9e;3:`>;4>o0:5i5236295l27>?k4>9e9>116=90n01>6::0;g?85?>3;2h63<8682=a=:;121=4j4=2::>4?c34;96<7k;4?c34ln6<7k;<332?7>l27:<:4>9e9>55>=90n01<<=:0;g?875;3;2h63>2582=a=:9;?1=4j4=005>4?c3ty95k4?:3y>6d1=90>01?7i:32;?xu5i>0;6?u22`5965><5;k=6<7<;|q1e5<72;q6>4h5186897g72;:37p}=a083>7}::0l1=4;4=3c2>76?3ty9m?4?:3y>6d4=:9201?o>:0;7?xu5i:0;6?u22`1965><5;k:6<7:;|q1e1<72;q6>l:521:897g628k;7p}=ag83>6}::h21=4=4=3`2>4?2348i<7=832p1?o7:32;?84fk3;j<63=ae82f6=::ho1=o=4=260>4?334>n?7?65:?0>4?33491=4;4}r0b=?6=:r79n<4>959>6d?=:920q~52z?1e<<61=16>lo521:8yv4fj3:1>v3=a882=0=::hh1>=64}r0bg?6=:r79mn4=099>6dd=90>0q~=>3;292~;5im0:5;522`g95<0<5:;86?>7;<123?7f8278=54>b29>74?=9k90q~52z?1e`<58116>ll51`28yv56i3:1?v3<1282=6=:;8i1=4;4=23a>76?3ty8=94?:3y>74e=90>01>?;:32;?xu49j0;6?u230a965><5:;i6<7<;|q050<72;q6?<:5186896722;:37p}<1783>7}:;8>1=4;4=235>76?3ty8=:4?:3y>741=:9201>?9:0;7?xu4910;6?u230:965><5:;=6<7:;|q05<<72;q6?<7521:8967128k;7p}<4883>7}:;:o1=4=4=26b>76?3ty8?h4?:02x965b2;:370=;3;3:1>;4<=0:mh5236`95<3<5=lm6<7;;<6f7?7f827?i94>ag9>2?7>m27<6<7j;<30>4?c34;?6<7k;<33g?7>m27:9d9>55c=90o01<>i:0;f?876<3;296s|35:94?4|5:>>6<7<;<175;3:0>;29<0:585rs21e>5<5s49?m7?63:?07c<5811v>:?:181854n3;2863<41814==z{:>:6=4={<10b?7>=2788<4=099~w6252909w0=;2;03<>;4<80:595rs260>5<5s49??7:;:181853<38;463<4082e5=z{:>=6=4={<172?470278854>959~w6202909w0=;7;03<>;4<10:585rs272>5<5s49?n7?63:?017<5811v>:m:18e853j38;463<5182=0=:;>i1=4;4=423>4?334>n?7?nb:?7a1<6j916:7?6f:?4>4?a34;86<7j;<37>4?b34;;o7?6f:?24a<61o16==k518d8946a283m70?>5;3:1>{t;=i1<74?4349?o7:l:0;7?853l38;46s|35g94?4|5:>h6<7:;<17a?4702wx?9h50;0x962a2;:370=;e;3:0>{t;<:1<776?349?i7?65:p70g=838p1>;<:0;0?852j38;46s|34194??|5:?86?>7;<16ad9>b6<6ik16j84>ad9>55d=9hl011g82ec=z{:??6=4={<16f?7>;278994=099~w6322909w0=:4;3:0>;4=<09<55rs275>5<5s49>87?65:?013<5811v>;8:181852?38;463<5782=1=z{ag9>1a4=:9201k=51`g89c3=9hl01<>m:0`3?876l3;jj63>1d82ec=:98l1=o>4}r16700=90?0q~=:9;296~;4=009<55234495d652z?01f<61:16?;9521:8yv52k3:1=?u234a965><5:<96<7:;<157?7fj278:94>b29>733=9k9019k9:0;4?82b?3;2;63>3;3:b>;a:3;2963i4;3bf>;a>3;i?63ia;3a7>;aj3;2;63ic;3:3>;68j0:m<5211d95d7<58;i6<7:;<32g?7>=2wx?8j50;0x9600283870=:d;03<>{t;4?3349>i7;k:0;6?852n38;46s|4d794?5|5:<;6<7;;<154?7>=27?i84=099~w6072909w0=90;03<>;4=o0:595rs242>5<5s49==7;0:m=5237195dc<5:>27?:k4=099>025=9h:0199;:0ce?820=3;i<63;7782f5=:4??34<1=l?4=0695<`<5o81=l>4=g695dc<5o<1=484=gc95<0<5oh1=464=ga95<><58:o6;<32f?7f827:=n4>a19~w6052909w0=92;03<>;4=o0:m=5rs240>5<5s49=?78;:181851<38;463<5g82e`=z{:<>6=4={<151?4702789k4>ag9~w6152909w0=98;3:7>;4?:09<55rs24;>5<61r78:54=099>73b=90o01>8j:0;f?851n3;2i63<7182=`=:;>;1=4k4=41f>4?b34?8j7?6e:?605<61l16?5;518g896>1283n70=77;3:a>;4010:5h5239;954?b34;;;7?6e:?24=<61l16=?<518g89444283n70?=4;3:a>;6:<0:5h521349552z?036<61:16?;7521:8yv51i3:1>v3<6882=1=:;?k1>=64}r15f?6=:r78:44>949>73d=:920q~;j0:595237a95<3<5<9h6?>7;|q02f<72;q6?;m521:8960e283?7p}<6e83>7}:;?n1>=64=24a>4?23ty8:h4?:3y>73c=:9201>8m:0c3?xu4>o0;6?u237d965><5:521:8960e28kn7p}<7083>7}:;>;1>=64=24a>4ga3ty8;k4?:3y>722=90901>6?:32;?xu4?=0;6<76?34970=8e;3:1>;2180:585211`95g7<58;86a19>540=90?011b82eg=:98n1=o>4=03f>4d734;:j7?m1:p723=838p1>6?:0;0?850=38;46s|36494?4|5:=>6<7;;<142?4702wx?:950;0x9612283>70=87;03<>{t98;1<7=t=25;>4?3349<47?65:?254<5811v>97:181850038;463<7682=1=z{8;26=4<{<14=?7><278;44>949>54?=:920q~=89;296~;4?009<55236595<352z?03d<58116?:951`28yv50j3:1>v3<7c814==:;>=1=ll4}r14g?6=:r78;n4=099>721=9ho0q~=7b;29=~;4?m0:m=5239`965><5?0:m?527;3b5>;68j0:m?5211f95d4<58:n6;<33b?7f:27:=;4>a19~w61c2909w0=8d;03<>;4?>0:mk5rs`83>f}:;>o1=l>4=422>4?334k1>=64=782e6=:?3;j>63>3;3b5>;6<3;j=63>0b82e6=:99n1=l=4=02f>4g534;;j7?n3:?252<6i91v>9j:181850m38;463<7682f5=z{=?m6=4={<66e?7>;27?:=4=099~w13d2909w0:90;3:7>;3=j09<55rs57a>5<5s4>=<7k=;<66f?4702wx88j50;0x913d283?70::d;03<>{t<4?234>>i7h1=4;4=5:2>76?34>h>7?64:?7g7<61<1v98l:18082193;2?63;6d82=0=:=64}r656?6=:r7?:h4>959>034=:920q~:9e;296~;3>l09<55247f95<5=?7>52z?727<61=168;=521:8yv21<3:1>v3;6382=0=:1>=64}r651?6=:r7?:84=099>032=90>0q~:96;296~;3>?09<55247695<353z?722<61=168;951878945c2;:37p};6683>7}:=64=547>4g73ty>m=4?:2y>03>=90>01987:0;6?83f838;46s|47:94?4|5=<36?>7;<650?7fj2wx8;750;0x910>2;:370:94;3ba>{t76?34>=87?nf:p03d=838p198m:32;?821<3;i<6s|46594?5|5==27?;54=099~w1172909w0:89;3:0>;3?909<55rs55:>5<5s4><57:18182083;2863;70814==z{==96=4={<644?7>=27?;?4=099~w1142909w0:83;03<>;3?;0:595rs557>5<5s4><87ac9~w11a2909w0:8a;3:7>;30909<55rs55`>5<5s4>3<7?63:?73f<5811v99m:18182?83o970:8b;03<>{t<>n1<74?334>=27>h=4=099~w0eb2909w0;ld;3:7>;2kl09<55rs4ag>5<4s4?hh75<51878yv3d=3:1>v3:d082=1=:=j?1>=64}r7g5?6=:r7>h<4=099>1a6=9090q~;l6;296~;2k<0:59525b4965>52z?6g0<61<169n9521:8yv3d03:1>v3:c9814==:=j=1=4:4}r7`=?6=:r7>o44=099>1f1=90?0q~;la;296~;2kh09<5525b595d652z?6gg<581169n951``8yv3dk3:1>v3:cb814==:=j=1=lk4}r736?6=;r7?j:4>929>152=909018><:32;?xu28=0;6<;t=427>76?34>mi7?65:?7bc<61<169=>518789066283>70?=:0;e?8`528ki70h<:0ce?8`328km70h::0`3?8`1283<70hn:0;4?8`e283270hl:0;:?8`c283m70hj:0;e?875:3;2j63>2282=c=:9;>1=4h4=006>4?a34;9:7?6f:p0c>=838p18><:0;0?82a038;46s|4g;94?4|5=l36<7;;<6e=?4702wx8ko50;0x91`?283>70:ia;03<>{tn90;6>u24g`95<2<5=li6<7:;76?3ty?jo4?:3y>0cd=:92019hn:0;7?xua03:1?v3;fb82=1=:mo7>52z?7bf<581168ko51878yv2al3:1>v3;fe814==:4}r6ea?6=:r7?jh4=099>0cg=9hh0q~:if;296~;3no09<5524gc95dc52z?645<581168ko51`d8yv3793:1>v3:00814==:4}r7fe?6=:r7>hk4>929>1`d=:920q~;j0;296~;2mk0:5>525d2965>52z?6a5<61=169h?521:8yv3b:3:1>v3:e182=0=:=l81>=64}r01`?6=;r7>i>4>959>1`5=90?01?<570?80;03<>{t=l>1<776?34?n>7?65:p1`3=838p18k::32;?83b:3;j<6s|5d494?4|57;<7f6?7fj2wx94m50;3`83b?3;jj63:e982ec=:>9:1=ll4=722>4ge34<;>7?nb:?1b2<6io16>k651`d890?d2;:370;l0;3ba>;2k80:mh525e:95d`<5ho4>ag9>67c=9k:01?6282ec=:9?>1=lh4=046>4ga34;=:7?nf:?222<6io16=;l51`d8941328h;70?85;3a4>;6??0:n=5219695dc<582>67}:=l21>=64=4g1>4ga3ty>i44?:3y>1`?=:92018k=:0`3?xu18:0;6>u25g:95<5<5?:>6<7:;<430?4702wx9k750;0x9362283?70;i9;03<>{t>9?1<776?34<;87?63:p1cg=838p18h6:0;7?83ai38;46s|5g`94?4|55:50;1x90`d283?70;ic;3:1>;50=09<55rs4d`>5<5s4?mo7>=:18083al3;2863:fe82=0=:;981>=64}r7e`?6=:r7>ji4=099>1cd=90?0q~;ie;296~;2nl09<5525g`95d652z?6bc<581169kl51``8yv0783:1>v3901814==:=oh1=lk4}r435?6=:r7=<<4=099>1cd=9hl0q~8?2;296~;18;09<5525g`95g653z?6af<61:169k95187890`12;:37p}:ee83>7}:=o=1=4:4=4gg>76?3ty>j:4?:3y>1c1=:92018h9:0;0?xu2ml0;6?u25df95<2<57;|q6ac<72;q69hj5187890ca2;:37p}:f183>7}:=o:1>=64=4ge>4?33ty>j<4?:3y>1c7=:92018ki:0;6?xu2n;0;6?u25g0965><57}:=o>1>=64=4ge>4gb3ty==<4?:2y>250=90901;?<:0;6?806:38;46s|61594?4|5?;86<7;;<433?4702wx:<=50;0x93742;:3708>2;3:7>{t>921<74?334<;478:0;6?807138;46s|61c94?4|5?:j6?>7;<43=?7><2wx>;m50;1x936e283?708?b;3:1>;5>j09<55rs72a>5<5s4<;n7l:181807k38;46390882e5=z{?:o6=4={<43`?47027=<44>ac9~w36b2909w08?e;03<>;1800:mh5rs72e>5<5s4<;j7;27?n94=099~w1g>2909w0:m4;3:7>;3i009<55rs5cb>5<5s4>j57?64:?7ed<5811v9om:18182f13;2963;ac814==z{>k1<7=t=5c`>4?334>jo7?65:?4e?4702wx8lm50;0x91gd2;:370:nb;3:0>{t9:<1<7=t=5cg>4?334>jh7?65:?273<5811v9ok:18182fl38;463;ac82=0=z{=kn6=4={<6ba?47027?mo4>a19~w1ga2909w0:nf;03<>;3ik0:mo5rs5`3>5<5s4>i<7:18182e938;463;ac82ec=z{=h96=4={<6a6?47027?mo4>b19~w6ge2908w0=n4;3:7>;4im0:58523`a965>52z?0ea<61=16?l;521:8yv5fl3:1>v3959>7d0=:920q~=n7;296~;4i<0:58523`5965>5bz?0e=<61<16?l751`d896d3283?70=md;3:0>;4k?0:58523b595dd<5:n;6<7:;<1g5?7fm278i>4=099>7`e=90>01>kl:0;6?xu4m00;64u23`:95d6<5:kj6=278ni4>949>7f0=9h:01>m7:0ca?85c83;j<63=64}r1b7d1=90>0q~=n9;296~;4i009<5523`595<352z?0ed<58116?l951`28yv5e=3:1>v3=64}r1bb?6=:r78n;4>929>7d`=:920q~=m0;296~;4io0:59523c2965>52z?0ec<61<16?o?521:8yv5e:3:1>v34=099>7g7=90?0q~=m4;296~;4j=09<5523c395d6;i7>52z?740<61:168=h521:8yv27>3:1>v3;0g82=6=:<9<1>=64}r633?6=:r7?<;4>959>051=:920q~:?8;296~;38?0:585241:965>;57>52z?74<<581168=651868yv27i3:1>v3;0`814==:<921=4;4}r63f?6=:r7?05>=9h:0q~:?c;296~;38j09<55241:95dd;h7>52z?74a<581168=651`g8yv5d83:1>v3=64}r1a929>7g>=:920q~=m9;296~;4j10:59523c;965>52z?0f=<61<16?oo521:8yv5ej3:1>v37gg=90?0q~=md;296~;4jm09<5523cc95d652z?0f`<58116?oo51``8yv5en3:1>v3929>0d1=:920q~:n5;296~;31o0:5>524`7965>2j7>53z?7=c<58116=ik5186894bb283>7p};9b83>7}:76?3ty?5i4?:3y>00197k:32;?xu31l0;6?u248a95<3<5=3n6?>7;|q7g1<72:q684k5186891?b283>70:l4;03<>{t76?34>j97?64:p0d7=838p19o>:32;?82f=3;296s|4`094?4|5=k96?>7;<6b1?7f82wx8l=50;0x91g42;:370:n5;3bf>{t1<776?34>j97?ne:p7f?=839p1>m=:0;0?85dj3;2963<278o>4=099~w6ee2909w0=lb;03<>;4kh0:5>5rs2a7>5<5s49h?7?64:?0g1<5811v>m::18185d;3;2963959~w6e02909w0=l7;03<>;4k<0:585rs2a;>5<5s49h47j;:18085dk3;2?63=64}r1``?6=:r78h;4>959>7fb=:920q~=k6;296~;4l?09<5523e795<552z?0ga<61=16?nk521:8yv5dn3:1>v3=64}r1g4?6=:r78h=4=099>7f`=90>0q~=k1;296~;4l809<5523bd95<37>52z?0`7<58116?nh51`28yv5c;3:1>v3929>043=:920q~:>1;296~;39<0:5>52403965>:>7>52z?754<61=168<<521:8yv26;3:1>v3;1082=0=:<891>=64}r62e?6=:r7?=;4>929>04d=:920q~:>7;296~;39k0:5>52405965>:47>52z?752<61=168<6521:8yv2613:1>v3;1682=0=:<831>=64}r614?6=:r7?=n4>929>077=:920q~:>d;296~;3:80:5>5240f965>:i7>52z?75a<61=168v3;1e82=0=:<8l1>=64}r612?6=:r7?>?4>929>071=:920q~:=3;296~;3:>0:5>52431965>987>52z?766<61=168?:521:8yv25=3:1>v3;2282=0=:<;?1>=64}r6184>a19>07>=:92019bd82f5=:?:0:mk5222a95g7<5;9o6;<00a?7e92wx>n650;1x97e3283870;5k009<55rs3a6>5<5s48hm7?64:?1g0<5811v?mn:18184di38;463=c882=6=z{;i=6=4={<0`1?7><279o;4=099~w7e02909w0;5k>09<55rs3gg>5<4s48n;7?63:?1ac<61<16>hk521:8yv4bk3:1>v3=ec82=6=::li1>=64}r0ff?6=;r79io4=099>5=6=90>01<6?:0;6?xu5m10;6?u22dd95<2<5;o36?>7;|q1ac<72;q6>hh521:897cb28387p}=e883>7}::l21=4:4=3g:>76?3ty9il4?:3y>6`>=90?01?kn:32;?xu5nk0;6>u22g295<5<5;lo6<7:;<0eg?4702wx>ko50;0x97`3283870{t:o>1<7=t=3d7>76?34?o:7?64:?6`3<61<1v?h>:18184al3;2863=f0814==z{;lo6=4={<0e`?470279jn4>929~w7`52909w0;5n;09<55rs3d0>5<5s48m=7?65:?1b6<5811v?7>:18084a;3;2863=f282=0=::0;1>=64}r0e1?6=:r79j84=099>6cg=90>0q~52z?1b2<58116>ko51`28yv4a03:1>v3=f9814==::ok1=ll4}r0e=?6=:r79j44=099>6cg=9ho0q~::8;296~;35244;965>>;7>52z?717<61:16889521:8yv23n3:1>v3;5882=6=:<=l1>=64}r664?6=:r7?8k4>959>006=:920q~::1;296~;3>?7>52z?716<5811688951868yv22<3:1>v3;55814==:<<=1=4;4}r661?6=:r7?984=099>001=9h:0q~::6;296~;3=?09<55244595dd53z?1gg<61:16>i?5187897b72;:37p}=cb83>7}::m;1=4:4=3a`>76?3ty9h<4?:3y>6a7=:9201?j?:0;0?xu5km0;6?u22ba95<2<5;io6?>7;|q1g`<72;q6>nm5187897eb2;:37p}=d783>6}::m81=4=4=3f;>4?2348o;77;<0g3?7>;2wx>i:50;0x97b4283?70{t:m?1<74?2348o97<279hl4=099~w7ba2909w0;5ll0:5>5rs3fa>5<5s48om7?64:?1`g<5811v?jl:18184ci3;2963=db814==z{;o?6=4<{<0f4?7>;279i;4>949>6`3=:920q~52z?1a3<58116>h;51818yv4b:3:1>v3=e082=1=::l81>=64}r0f7?6=:r79i<4>949>6`5=:920q~=j1;296~;4l>0:5>523d0965>52z?0`g<61:16?h>521:8yv5cj3:1?v34?23ty8h54?:3y>7`4=90901>j7:32;?xu4l00;6?u23e:95<2<5:n26?>7;|q0`d<72;q6?i65187896bf2;:37p}7}:;mi1>=64=2g3>4?33ty8hi4?:3y>7ab=:9201>k?:0;6?xu4ll0;6?u23eg965><5:o;67}:;ll1=4=4=2d7>76?3ty8j=4?:3y>7c2=90901>h?:32;?xu4n80;6?u23g295<2<5:l:6?>7;|q0b7<72;q6?k>5187896`52;:37p}>d`83>6}:;o81=4:4=2d1>4?234;om7283?70:=a;03<>{t<;h1<74?234>9n7o4>959~w14c2909w0:=d;03<>;3:k0:585rs5:`>5<5s4>3=7?63:?7<27?4>4=099~w1>32909w0:72;3:1>;30=09<55rs5:6>5<5s4>39738;463;8582=0=z{8>>6=4<{<6;3?7><27?4:4>949>513=:920q~:77;296~;30>09<55249695d653z?7<=<61=1685651878942e2;:37p};8983>7}:<121>=64=5:7>4ge3ty?444?:3y>0=?=:920196;:0cf?xu30h0;6?u249c965><5=2?6328h;7p}:a783>7}:=0i1=4=4=4c4>76?3ty>m84?:3y>1d6=909018o::32;?xu21m0;6?u25`595<5<5<3o6?>7;|q6=`<72;q694j5186890?b2;:37p}:9g83>7}:=0n1=4;4=4;e>76?3ty>m<4?:3y>1d7=:92018o::0;7?xu2i;0;6?u25`0965><56<7:;|q6e6<72;q69l=521:890g228k;7p}:a583>7}:=h>1>=64=4c6>4ge3ty:o=4?:3y>5g3=90901:32;?xu6j?0;6?u21b395<5<58h=6?>7;|q2f2<72;q6=o85186894d02;:37p}>b983>7}:9k<1=4;4=0`;>76?3ty:n44?:3y>5g?=:9201u21cc95<2<58hj6<7:;<00f?4702wx=oo50;0x94df2;:370?m8;3:1>{t9kh1<776?34;i47?n0:p5ge=838p17;<3a{t9kl1<776?34;i47?m0:p75>=839p1?hj:0;0?857i3;2963<08814==z{::<6=4={<136?7>;278<:4=099~w7`a2909w0=?a;3:0>;5no09<55rs22b>5<5s49;m7>?:18184an3;2863<01814==z{:::6=4={<0eb?7>=278<<4=099~w6642909w0=?3;03<>;48>0:595rs227>5<5s49;87>::181857=38;463<0682e5=z{::=6=4={<132?470278<:4>ac9~w6c02909w0=j3;3:7>;4m109<55rs2g7>5<5s49n47?63:?0a1<5811v>k::18185b<3;2863=278i;4=099~w7?>2909w0<65;3:7>;51h09<55rs3;5>5<5s482m7?63:?1=3<5811v?78:18184>>3;2863=96814==z{;336=4={<0:2?7>=279554=099~w6cc2909w0=j9;3:7>;4ml09<55rs2gb>5<5s49ni7?63:?0ad<5811v>km:18185bi3;2863=278in4=099~w1642909w0=ib;3:7>;38=09<55rs2da>5<3s49mn77}:<9>1=4=4=2d`>76?3ty8ji4?:3y>7ce=90>01>hk:32;?xu4nl0;6?u23ga95<3<5:ln6?>7;|q0bc<72;q6?kh521:896`b283?7p};0183>7}:<9:1>=64=2df>4?23ty?<<4?:3y>057=:9201>hj:0c3?xu38;0;6?u2410965><5:ln6m5181891262;:37p};3e83>7}:<=;1=4=4=51g>76?3ty??h4?:3y>06b=90>019=j:32;?xu3;o0;6?u242f95<3<5=9m6?>7;|q703<72;q689<5181891202;:37p};4283>7}:<==1=4=4=560>76?3ty?894?:3y>015=90>019:;:32;?xu3<<0;6?u245195<3<5=>>6?>7;|q40?6=:r7=i7?63:?41?4702wx:h4?:3y>2`<581168oo51868yv0a2909w09::0;0?80a2;:37p}80;296~;1n3;286380;03<>{t?80;6?u26g82=0=:?809<55rs6094?4|5>81>=64=6395<276?34=:6<7:;|q;4?6=:r7<:7?63:?;5?4702wx;;4?:3y>33<581168oo51878yv1a2909w09n:0;0?81a2;:37p}87;296~;?93;2?6387;03<>{t?10;6?u27682=1=:?109<55rs6;94?4|5>=1=4;4=6;965>76?34=m6<7;;|q4g?6=:r7=2wx;i4?:3y>3a<58116;k4>a19~w2c=838p1:k521:892`=9hh0q~?j5;296~;6lh0:5>521d4965>52z?2a3<61:16=il521:8yv7ck3:1>v3>dc82=1=:9mi1>=64}r3g`?6=:r7:ho4>949>5ab=:920q~?ke;296~;6ll09<5521ef95<252z?2`c<58116=ij51878yv7b83:1>v3>e1814==:9mn1=l>4}r3f5?6=:r7:i<4=099>5ab=9hh0q~?j2;296~;6m;09<5521ef95dc52z?2a6<58116=ij51`d8yv7b<3:1>v3>e5814==:9mn1=o>4}r10g?6=:r78?54>929>76b=:920q~=<9;296~;4;m0:5>5232;965>52z?07<<61=16?>o521:8yv54j3:1>v3<3882=0=:;:h1>=64}r1:4?6=:r784n4>929>7<7=:920q~=7d;296~;4180:5>5239f965>52z?0v3<8e82=0=:;1l1>=64}r1:2?6=:r785?4>929>7<1=:920q~=63;296~;41>0:5>52381965>52z?0=6<61=16?4:521:8yv5>=3:1>v3<9282=0=:;0?1>=64}r1:g?6=:r78554>929>75238;965>52z?0=<<61=16?4o521:8yv5>j3:1>v3<9882=0=:;0h1>=64}r1b6?6=:r785h4>929>7d5=:920q~=6f;296~;4i:0:5>5238d965>52z?0=c<61=16?l>521:8yv5f93:1>v3<9g82=0=:;h;1>=64}r1e=?6=:r78j84>929>7cg=:920q~=i6;296~;4nh0:5>523g4965>52z?0b3<61=16?k9521:8yv5a03:1>v3=64}r600?6=:r7??=4>929>063=:920q~:<1;296~;3;<0:5>52423965>8>7>52z?774<61=168><521:8yv24;3:1>v3;3082=0=:<:91>=64}r60e?6=:r7??;4>929>06d=:920q~:<7;296~;3;k0:5>52425965>847>52z?772<61=168>6521:8yv2413:1>v3;3682=0=:<:31>=64}r67g?6=:r7?854>929>01b=:920q~:;8;296~;3<109<5524b195<3?57>52z?70a<61:16897521:8yv23i3:1>v3;4882=1=:<=k1>=64}r67f?6=:r7?844>949>01d=:920q~;l2;296~;2j>0:5>525b1965>55z?6f2<5811698651`d8903>28h;70;;8;3ba>;25<5s4?h?7?63:?6f=<5811v8l6:18183e03;2863:b8814==z{=27>nl4=099~w4062908w0;mb;3:0>;2jk0:5852173965>52z?6fg<581169oo51868yv7113:1?v3:bb82=1=:=ki1=4;4=04:>76?3ty>nn4?:3y>1ge=:92018ln:0;6?xu2jm0;6?u25cf965><57}:=kl1>=64=4`b>4gb3ty>o=4?:3y>1f6=:92018ln:0ce?xu2k80;6?u25b3965><57}:=mo1=4=4=4f0>76?3ty>h94?:3y>1a5=90>018j;:32;?xu2l<0;6?u25e195<3<56?>7;|q6`3<72;q69i8521:890b2283?7p}>7g83>6}:=m=1=4:4=4f4>4?234;7;<7g1?7f82wx9i750;0x90b>2;:370;k5;3bf>{t=mk1<776?34?o97?ne:p1ad=838p18jm:32;?83c=3;jj6s|5ea94?4|57;<7g1?7e82wx8i=50;0x91ea283870:k4;03<>{t4?434>o<7{t4?434>m<7{t=9h1<74?434?;n7m:0;7?837k38;46s|51f94?4|5<:i6<7:;<73`?4702wx9?850;0x9047283870;=7;03<>{t=;;1<74?434?9=7:0;7?835:38;46s|53194?4|5<8:6<7:;<717?4702wx9?:50;0x90432;:370;=3;3:0>{t=;?1<776?34?9?7?65:p162=838p186<7<;<71a?4702wx9?h50;0x904b283?70;=f;03<>{t=::1<74?234?8<7:32;?83483;286s|52094?4|5<996?>7;<704?7>=2wx9>=50;0x90542;:370;<0;3b4>{t=>k1<74?434?70;86;03<>{t=>=1<776?34?<:7?64:p12>=838p1897:32;?830>3;296s|56;94?4|5<=26?>7;<742?7f82wx>>:50;0x974>283870<<4;03<>{t::91<74?43488?7?m50;0x974f283>70<=c;03<>{t:;o1<776?3488?7?64:p67`=838p1?7;<007?7f82wx>>?50;0x97562;:370<<3;3bf>{t::81<776?3488?7?ne:p616=838p1?=::0;0?843838;46s|22d94?4|5;9i6<7<;<00b?4702wx>>850;0x9727283870<<6;03<>{t::=1<74?33488;7=838p1?=9:0;6?844038;46s|22;94?4|5;926?>7;<00<2wx>>o50;0x975f2;:370<<8;3:1>{t::i1<776?3488j7?64:p66b=838p1?=k:32;?844n3;296s|22g94?4|5;9n6?>7;<00b?7f82wx>9l50;0x9726283870<;b;03<>{t:=k1<74?4348?m75282=0=z{;>96=4={<07f?7>;2798?4=099~w7242909w0<;2;3:0>;5<:09<55rs367>5<5s48?>7?65:?101<5811v?:::181843=38;463=4582=1=z{;>=6=4={<072?470279894>949~w72?2909w0<;8;03<>;55<5s48?57o6=4={<066?7>;2798i4=099~w72b2909w0<;d;3:0>;55<5s48?h7?65:?10c<5811v?;?:181842838;463=4g82=1=z{;?:6=4={<065?4702798k4>949~w73b2909w0<:3;3:7>;5=l09<55rs37g>5<5s48>57?63:?11a<5811v?;6:180842138;463>6382=1=:9?81=4;4}r060?6=:r799h4>929>602=:920q~<:5;296~;5==0:5952247965>:7>52z?111<61<16>88521:8yv42?3:1>v3=56814==::<<1=4:4}r06600=90?0q~<:a;296~;5=h09<55224f95<2n7>52z?11g<58116>8j51878yv42k3:1>v3=5b814==::4}r051?6=:r799k4>929>633=:920q~<90;296~;5><0:5>52272965>52z?125<61=16>;?521:8yv41:3:1>v3=6182=0=::?81>=64}r057?6=:r79:>4=099>634=90>0q~<94;296~;5>=09<55227095<352z?123<61:16>:?521:8yv4083:1>v3=6b82=6=::>:1>=64}r053?6=:r79;<4>929>631=:920q~<98;296~;5>>0:595227:965>52z?122<61<16>;7521:8yv41i3:1>v3=6`814==::?31=4:4}r05f?6=:r79:o4=099>63?=90?0q~<9d;296~;5>m09<55226295<252z?12`<58116>:>51878yv41n3:1>v3=6g814==::>:1=l>4}r04`?6=:r79;?4>929>62b=:920q~<8c;296~;5?10:5>5226a965>52z?13a<61:16>:=521:8yv40<3:1>v3=7282=1=::>>1>=64}r041?6=:r79;>4>949>623=:920q~<86;296~;5??09<55226795<252z?132<58116>:;51878yv4013:1>v3=78814==::>i1=4:4}r04e?6=:r79;l4=099>62e=90?0q~<8b;296~;5?k09<55226a95d652z?13`<61:16>5o521:8yv4?13:1>v3=8582=6=::131>=64}r04b?6=:r794l4>929>62`=:920q~<70;296~;5?o0:5952292965>52z?13c<61<16>5?521:8yv4?:3:1>v3=83814==::1;1=4:4}r0;7?6=:r794>4=099>6=7=90?0q~<75;296~;50<09<55229;95<252z?1<3<58116>5751878yv4??3:1>v3=86814==::131=l>4}r0;6=?=9hh0q~<63;296~;50k0:5>52281965>7>52z?1=4<61:16>4<521:8yv4?k3:1>v3=9282=6=::1i1>=64}r0;`?6=:r794n4>959>6=b=:920q~<7e;296~;50j0:585229g965>52z?15k51868yv4>83:1>v3=91814==::1o1=4;4}r6f=?6=:r7?hh4>929>0`?=:920q~:j8;296~;3m<0:5>524d:965>oj7>52z?7a<<61:168ih521:8yv2b83:1>v3;dg82=1=:=64}r6f5?6=:r7?hk4>949>0`7=:920q~:j2;296~;3m;09<5524d395<2n?7>52z?7a6<581168h?51878yv2b<3:1>v3;e5814==:4}r6f2?6=:r7?i;4=099>0`>=90>0q~:j7;296~;3m>09<5524d:95<37>52z?67=<61:1699<521:8yv3393:1>v3:3b82=6=:==;1>=64}r70=?6=:r7>8?4>929>16?=:920q~;52z?67<<61<169>l521:8yv34l3:1>v3:3e814==:==;1=4:4}r70a?6=:r7>?h4=099>117=90?0q~;52z?605<5811699?51``8yv31l3:1>v3:6882=6=:=?n1>=64}r75e?6=:r7>:i4>929>13g=:920q~;9b;296~;2>h0:595257`965>52z?62d<61<169;m521:8yv3>:3:1>v3:8d82=6=:=081>=64}r7;b?6=:r7>5?4>929>1=`=:920q~;60;296~;20o0:5952582965>52z?6v3;b682=6=:=64}r6a929>0g>=:920q~:m9;296~;3j10:59524c;965>im7>52z?7f=<61<168oo521:8yv36l3:1>v3:1382=6=:=8n1>=64}r72g?6=:r7>=;4>929>14e=:920q~;>6;297~;29?09<55216395<2<58=:6<7:;|q656<72;q697}:=891=4:4=437>76?3ty>=84?:3y>145=90?018?::32;?xu29>0;6?u2505965><5<;h6<7;;|q65=<72;q69<6521:8907d283>7p}:1883>7}:=831>=64=43`>4g73ty>=l4?:3y>14g=:92018?l:0ca?xu29k0;6?u250`965><5<;h67}:=76?3ty>984?:3y>102=90>018;::32;?xu2=?0;6?u254695<3<57;|q612<72;q6989521:89031283?7p}:5983>7}:=<21>=64=475>4?23ty>944?:3y>10?=:92018;9:0c3?xud:3:1>v3me;3:7>;d:38;46s|bg83>7}:k;0:5>52bg814==z{j:1<7=64}ra2>5<5s4hm6<7:;76?3tyhm7>52z?`2?7>;27hm7929>g2<5811vn650;0x9f1=90>01n6521:8yve>2909w0m8:0;6?8e>2;:37p};c983>6}:7;|q7g2<72;q68n:5181891e02;:37p};bg83>7}:76?3ty?o=4?:3y>0g`=90>019m?:32;?xu3k80;6?u24cd95<3<5=i:6?>7;|q7g7<72;q68n<521:891e6283?7p};c283>7}:=64=5a2>4?23ty?o84?:3y>0f3=:92019m8:0;7?xu3k?0;6?u24b4965><5=i<6<7:;|q60<<72;q699;51818902>2;:37p}:4783>7}:==31=4=4=465>76?3ty>8:4?:3y>110=90>018:8:32;?xu2<10;6?u255495<3<5<>36?>7;|q615<72;q699m5181890372;:37p}:4e83>7}:=<:1=4=4=46g>76?3ty>8h4?:3y>11b=90>018:j:32;?xu2m6?>7;|q623<72;q69;>5181890012;:37p}:6083>7}:=?<1=4=4=442>76?3ty>:?4?:3y>137=90>0188=:32;?xu2>:0;6?u257395<3<5<<86?>7;|q621<72;q69;:521:89004283?7p}:6483>7}:=??1>=64=440>4?23ty?ho4?:3y>0a1=909019jm:32;?xu3l10;6?u24e`95<5<5=n36?>7;|q7`<<72;q68i65186891b>2;:37p};d`83>7}:76?3ty84l4?:3y>7=d=90901>6n:32;?xu4080;6?u239c95<5<5:2:6?>7;|q0<7<72;q6?5?5186896>52;:37p}<8283>7}:;1;1=4;4=2:0>76?3ty:<84?:2y>7=2=90>01>6;:0;6?877=38;46s|39694?4|5:2?6?>7;<1;7?7><2wx?5;50;0x96>22;:370=73;3:1>{t;1<1<776?3493?7?n0:p7=1=838p1>68:32;?85?;3;jn6s|39:94?4|5:236?>7;<1;7?7fm2wx?5750;0x96>>2;:370=73;3bb>{t13:1>v3n:0;0?8?=:920q~650;0x90<61:16476?>7;<32e?7><27:=l4>949~w5<72;q657?63:?3>76?3ty:6=4={<295<2<5809<55rs383>7}:83;2963=:32;?xu42909w0=521:897<61=1v94?:3y>0?4702796<7:;|q5>5<5s4<1>=64=982=1=z{8=1<7;t=682e6=:9>09<55211g95d5<58;i652z?4>76?3421=4;4}r35>5<5s4;<6<7<;<35>76?3ty:97>52z?25?7>;27:97b<<61=16j44>949~wg<72;q6=;4>929>f?4702wxo7>52z?a>4?334i1>=64}rf94?4|5k0:5852d;03<>{t99:1<7=t=d82=1=:m3;2963>01814==z{l0;6?u2e;03<>;c283?7p}i:1818`=:9201i4>949~w46=838p1<>521:89a<6i91v<<50;0x944=:9201<;51868yv742909w0?<:32;?872283>7p}>4;296~;6<38;463>5;3b4>{tn>0;6?u2f182=6=:n>09<55rs002>5<4s4l:6<7;;4?234;9=7b2<61=1vk<50;0x9c4=:9201k951878yv`42909w0h<:32;?8`028k;7p}i4;296~;a<38;463i7;3bf>{tn<0;6?u2f4814==:n>0:mh5rsg494?4|5o<1>=64=g595d`4?434lm6?>7;|qe=?6=:r7m57<2wxjl4?:3y>bd<58116jk4>949~wcd=838p1kl521:89c`=9h:0q~hl:1818`d2;:370hi:0ca?xual3:1>v3id;03<>;an3;ji6s|fd83>7}:nl09<552fg82ec=z{8:?6=4={<334?7>;27:<94=099~w4662909w0??1;03<>;68=0:595rs021>5<5s4;;>7<:181877;38;463>0582e5=z{8:26=4={<331?7>;27:<44=099~w4612909w0??6;03<>;6800:595rs024>5<5s4;;;77:181877038;463>0882e5=z{8;;6=4={<33e?7>;27:==4=099~w46f2908w0??a;03<>;69;0:595210095<352z?24g<58116=<>51868yv77k3:1>v3>0b814==:98:1=4;4}r33`?6=:r7:546=9h:0q~??e;296~;68l09<55210295dd52z?24c<58116=<>51`g8yv7603:1>v3>1082=6=:9821>=64}r326?6=:r7:=?4=099>54>=90>0q~?>3;296~;69:09<55210:95<352z?251<58116=<651`28yv76=3:1>v3>14814==:9821=ll4}r322?6=:r7:=;4=099>54>=9ho0q~?>7;296~;69>09<55210:95d`52z?25<<61:16=?>521:8yv76i3:1>v3>1`814==:9;:1=4:4}r32f?6=:r7:=o4=099>576=90?0q~?>c;296~;69j09<55213295d652z?25a<58116=?>51``8yv76m3:1>v3>1d814==:9;:1=lk4}r32b?6=:r7:=k4=099>576=9hl0q~?=7;296~;6:80:5>52135965>7>52z?267<58116=?951868yv75;3:1>v3>22814==:9;=1=4;4}r310?6=:r7:>94=099>571=9h:0q~?=5;296~;6:<09<55213595dd52z?263<58116=?951`g8yv75l3:1>v3>2982=6=:9;n1>=64}r3154=099>57`=90>01<<588o6<7;;|q26d<72;q6=?o521:8944c283>7p}>2c83>7}:9;h1>=64=00g>4g73ty:>n4?:3y>57e=:9201<6?>7;|q26c<72;q6=?h521:89452283?7p}>3183>7}:9::1>=64=016>4?23ty:?<4?:3y>567=:9201<=::0c3?xu6;;0;6?u2120965><589>6=521:8945228kn7p}>3583>7}:9:>1>=64=016>4ga3ty:?n4?:3y>560=90901<=l:32;?xu6;>0;6?u2125965><589h6<7;;|q27=<72;q6=>6521:8945d283>7p}>3883>7}:9:31>=64=01`>4g73ty:?l4?:3y>56g=:9201<=l:0ca?xu6;k0;6?u212`965><589h6j5181894232;:37p}>3d83>7}:9:o1>=64=067>4?33ty:?k4?:3y>56`=:9201<:;:0;6?xu6<90;6?u2152965><58>?64383>7}:9=81>=64=067>4gb3ty:8>4?:3y>515=:9201<:;:0ce?xu6j6?>7;|q203<72;q6=98521:8942f283?7p}>4683>7}:9==1>=64=06b>4?23ty:854?:3y>51>=:9201<:n:0c3?xu6<00;6?u215;965><58>j64b83>7}:9=i1>=64=072>4?33ty:8i4?:3y>51b=:9201<;>:0;6?xu6<58?:65183>7}:9<:1>=64=072>4gb3ty:944?:3y>504=90901<;6:32;?xu6=:0;6?u2141965><58?26<7;;|q211<72;q6=8:521:8943>283>7p}>5483>7}:9=64=07:>4g73ty:9;4?:3y>500=:9201<;6:0ca?xu6=>0;6?u2145965><58?2628km7p}>6183>7}:976?3ty:9o4?:3y>50d=:9201<8?:0;7?xu6=j0;6?u214a965><58<;6<7:;|q21a<72;q6=8j521:8940728k;7p}>5d83>7}:9=64=043>4ge3ty:9k4?:3y>50`=:9201<8?:0cf?xu6>10;6?u217395<5<58<36?>7;|q227<72;q6=;<521:8940?283?7p}>6283>7}:9?91>=64=04;>4?23ty::94?:3y>532=:9201<87:0c3?xu6><0;6?u2177965><58<366683>7}:9?=1>=64=04;>4ga3ty::k4?:3y>53?=90901<8i:32;?xu6>h0;6?u217c965><587p}>6b83>7}:9?i1>=64=04e>4g73ty::i4?:3y>53b=:9201<8i:0ca?xu6>l0;6?u217g965><585181894102;:37p}>7083>7}:9>;1>=64=054>4?33ty:;?4?:3y>524=:9201<98:0;6?xu6?:0;6?u2161965><58=<67483>7}:9>?1>=64=054>4gb3ty:;;4?:3y>520=:9201<98:0ce?xu6?l0;6?u216:95<5<58=n6?>7;|q23<<72;q6=:7521:8941b283?7p}>7`83>7}:9>k1>=64=05f>4?23ty:;o4?:3y>52d=:9201<9j:0c3?xu6?j0;6?u216a965><58=n68783>7}:9>l1=4=4=0:5>76?3ty:4=4?:3y>5=6=:9201<69:0;7?xu6080;6?u2193965><582=6<7:;|q2<7<72;q6=5<521:894>128k;7p}>8283>7}:9191>=64=0:5>4ge3ty:494?:3y>5=2=:9201<69:0cf?xu60<0;6?u2197965><582=65<6std<;>h50;3xyk10<90;6>=6=4>{|l4311=83;pqc984983>4}zf>=?57>51zm322f290:wp`875`94?7|ug=<8n4?:0y~j213l3:1=vsa766f>5<6std<;9h50;3xyk10=90;6?=6=4>{|l4301=83;pqc985983>4}zf>=>57>51zm323f290:wp`874`94?7|ug=<9n4?:0y~j212l3:1=vsa767f>5<6std<;8h50;3xyk10>90;6<=6=4>{|l4331=83;pqc986983>4}zf>==57>51zm320f290:wp`877`94?7|ug=<:n4?:0y~j211l3:1=vsa764f>5<6std<;;h50;3xyk10?90;691<7?t}o5431<728qvb:985;295~{i?>==6=4>{|l4321=83;pqc987983>4}zf>=<57>51zm321f290:wp`876`94?7|ug=<;n4?:0y~j210l3:1=vsa765f>5<6std<;:h50;3xyk10090;62=6=4>{|l43=1=83;pqc988983>4}zf>=357>51zm32>f290:wp`879`94?7|ug=<4n4?:0y~j21?l3:1=vsa76:f>5<6std<;5h50;3xyk10190;63=6=4>{|l43<1=83;pqc989983>4}zf>=257>51zm32?f290:wp`878`94?7|ug=<5n4?:0y~j21>l3:1=vsa76;f>5<6std<;4h50;3xyk10i90;6k=6=4>{|l43d1=83;pqc98a983>4}zf>=j57>51zm32gf290:wp`87``94?7|ug=5<6std<;lh50;3xyk10j90;6h=6=4>{|l43g1=83;pqc98b983>4}zf>=i57>51zm32df290:wp`87c`94?7|ug=5<6std<;oh50;3xyk10k90;6i=6=4>{|l43f1=83;pqc98c983>4}zf>=h57>51zm32ef290:wp`87b`94?7|ug=5<6std<;nh50;3xyk10l90;6n=6=4>{|l43a1=83;pqc98d983>4}zf>=o57>51zm32bf290:wp`87e`94?7|ug=5<6std<;ih50;3xyk10m90;6o=6=4>{|l43`1=83;pqc98e983>4}zf>=n57>51zm32cf290:wp`87d`94?7|ug=5<6std<;hh50;3xyk10n90;6l=6=4>{|l43c1=83;pqc98f983>4}zf>=m57>51zm32`f290:wp`87g`94?7|ug=5<6std<;kh50;3xyk1?890;6=:182xh00991<7?t}o5;41<728qvb:6?5;295~{i?1:=6=4>{|l4<51=83;pqc970983>4}zf>2;57>51zm3=6f290:wp`881`94?7|ug=37l3:1=vsa792f>5<6std<4=h50;3xyk1?990;65;295~{i?1;=6=4>{|l4<41=83;pqc971983>4}zf>2:57>51zm3=7f290:wp`880`94?7|ug=3=n4?:0y~j2>6l3:1=vsa793f>5<6std<4{|l4<71=83;pqc972983>4}zf>2957>51zm3=4f290:wp`883`94?7|ug=3>n4?:0y~j2>5l3:1=vsa790f>5<6std<4?h50;3xyk1?;90;6{|l4<61=83;pqc973983>4}zf>2857>51zm3=5f290:wp`882`94?7|ug=3?n4?:0y~j2>4l3:1=vsa791f>5<6std<4>h50;3xyk1?<90;6=6=4>{|l4<11=83;pqc974983>4}zf>2?57>51zm3=2f290:wp`885`94?7|ug=38n4?:0y~j2>3l3:1=vsa796f>5<6std<49h50;3xyk1?=90;6{|l4<01=83;pqc975983>4}zf>2>57>51zm3=3f290:wp`884`94?7|ug=39n4?:0y~j2>2l3:1=vsa797f>5<6std<48h50;3xyk1?>90;6{|l4<31=83;pqc976983>4}zf>2=57>51zm3=0f290:wp`887`94?7|ug=3:n4?:0y~j2>1l3:1=vsa794f>5<6std<4;h50;3xyk1??90;691<7?t}o5;31<728qvb:685;295~{i?1==6=4>{|l4<21=83;pqc977983>4}zf>2<57>51zm3=1f290:wp`886`94?7|ug=3;n4?:0y~j2>0l3:1=vsa795f>5<6std<4:h50;3xyk1?090;6{|l4<=1=83;pqc978983>4}zf>2357>51zm3=>f290:wp`889`94?7|ug=34n4?:0y~j2>?l3:1=vsa79:f>5<6std<45h50;3xyk1?190;6{|l4<<1=83;pqc979983>4}zf>2257>51zm3=?f290:wp`888`94?7|ug=35n4?:0y~j2>>l3:1=vsa79;f>5<6std<44h50;3xyk1?i90;6{|l44}zf>2j57>51zm3=gf290:wp`88``94?7|ug=3mn4?:0y~j2>fl3:1=vsa79cf>5<6std<4lh50;3xyk1?j90;6{|l44}zf>2i57>51zm3=df290:wp`88c`94?7|ug=3nn4?:0y~j2>el3:1=vsa79`f>5<6std<4oh50;3xyk1?k90;6{|l44}zf>2h57>51zm3=ef290:wp`88b`94?7|ug=3on4?:0y~j2>dl3:1=vsa79af>5<6std<4nh50;3xyk1?l90;6{|l44}zf>2o57>51zm3=bf290:wp`88e`94?7|ug=3hn4?:0y~j2>cl3:1=vsa79ff>5<6std<4ih50;3xyk1?m90;6{|l4<`1=83;pqc97e983>4}zf>2n57>51zm3=cf290:wp`88d`94?7|ug=3in4?:0y~j2>bl3:1=vsa79gf>5<6std<4hh50;3xyk1?n90;6{|l44}zf>2m57>51zm3=`f290:wp`88g`94?7|ug=3jn4?:0y~j2>al3:1=vsa79df>5<6std<4kh50;3xyk1>890;6=:182xh01991<7?t}o5:41<728qvb:7?5;295~{i?0:=6=4>{|l4=51=83;pqc960983>4}zf>3;57>51zm3<6f290:wp`891`94?7|ug=25<6std<5=h50;3xyk1>990;65;295~{i?0;=6=4>{|l4=41=83;pqc961983>4}zf>3:57>51zm3<7f290:wp`890`94?7|ug=2=n4?:0y~j2?6l3:1=vsa783f>5<6std<5:90;6{|l4=71=83;pqc962983>4}zf>3957>51zm3<4f290:wp`893`94?7|ug=2>n4?:0y~j2?5l3:1=vsa780f>5<6std<5?h50;3xyk1>;90;6{|l4=61=83;pqc963983>4}zf>3857>51zm3<5f290:wp`892`94?7|ug=2?n4?:0y~j2?4l3:1=vsa781f>5<6std<5>h50;3xyk1><90;6=6=4>{|l4=11=83;pqc964983>4}zf>3?57>51zm3<2f290:wp`895`94?7|ug=28n4?:0y~j2?3l3:1=vsa786f>5<6std<59h50;3xyk1>=90;6{|l4=01=83;pqc965983>4}zf>3>57>51zm3<3f290:wp`894`94?7|ug=29n4?:0y~j2?2l3:1=vsa787f>5<6std<58h50;3xyk1>>90;6{|l4=31=83;pqc966983>4}zf>3=57>51zm3<0f290:wp`897`94?7|ug=2:n4?:0y~j2?1l3:1=vsa784f>5<6std<5;h50;3xyk1>?90;691<7?t}o5:31<728qvb:785;295~{i?0==6=4>{|l4=21=83;pqc967983>4}zf>3<57>51zm3<1f290:wp`896`94?7|ug=2;n4?:0y~j2?0l3:1=vsa785f>5<6std<5:h50;3xyk1>090;6{|l4==1=83;pqc968983>4}zf>3357>51zm3<>f290:wp`899`94?7|ug=24n4?:0y~j2??l3:1=vsa78:f>5<6std<55h50;3xyk1>190;6{|l4=<1=83;pqc969983>4}zf>3257>51zm3l3:1=vsa78;f>5<6std<54h50;3xyk1>i90;6{|l4=d1=83;pqc96a983>4}zf>3j57>51zm35<6std<5lh50;3xyk1>j90;6{|l4=g1=83;pqc96b983>4}zf>3i57>51zm35<6std<5oh50;3xyk1>k90;6{|l4=f1=83;pqc96c983>4}zf>3h57>51zm35<6std<5nh50;3xyk1>l90;6{|l4=a1=83;pqc96d983>4}zf>3o57>51zm35<6std<5ih50;3xyk1>m90;6{|l4=`1=83;pqc96e983>4}zf>3n57>51zm35<6std<5hh50;3xyk1>n90;6{|l4=c1=83;pqc96f983>4}zf>3m57>51zm3<`f290:wp`89g`94?7|ug=2jn4?:0y~j2?al3:1=vsa78df>5<6std<5kh50;3xyk1f890;6=:182xh0i991<7?t}o5b41<728qvb:o?5;295~{i?h:=6=4>{|l4e51=83;pqc9n0983>4}zf>k;57>51zm3d6f290:wp`8a1`94?7|ug=j5<6std5;295~{i?h;=6=4>{|l4e41=83;pqc9n1983>4}zf>k:57>51zm3d7f290:wp`8a0`94?7|ug=j=n4?:0y~j2g6l3:1=vsa7`3f>5<6std{|l4e71=83;pqc9n2983>4}zf>k957>51zm3d4f290:wp`8a3`94?7|ug=j>n4?:0y~j2g5l3:1=vsa7`0f>5<6std{|l4e61=83;pqc9n3983>4}zf>k857>51zm3d5f290:wp`8a2`94?7|ug=j?n4?:0y~j2g4l3:1=vsa7`1f>5<6stdh50;3xyk1f<90;6=6=4>{|l4e11=83;pqc9n4983>4}zf>k?57>51zm3d2f290:wp`8a5`94?7|ug=j8n4?:0y~j2g3l3:1=vsa7`6f>5<6std{|l4e01=83;pqc9n5983>4}zf>k>57>51zm3d3f290:wp`8a4`94?7|ug=j9n4?:0y~j2g2l3:1=vsa7`7f>5<6std90;6{|l4e31=83;pqc9n6983>4}zf>k=57>51zm3d0f290:wp`8a7`94?7|ug=j:n4?:0y~j2g1l3:1=vsa7`4f>5<6std91<7?t}o5b31<728qvb:o85;295~{i?h==6=4>{|l4e21=83;pqc9n7983>4}zf>k<57>51zm3d1f290:wp`8a6`94?7|ug=j;n4?:0y~j2g0l3:1=vsa7`5f>5<6std{|l4e=1=83;pqc9n8983>4}zf>k357>51zm3d>f290:wp`8a9`94?7|ug=j4n4?:0y~j2g?l3:1=vsa7`:f>5<6std{|l4e<1=83;pqc9n9983>4}zf>k257>51zm3d?f290:wp`8a8`94?7|ug=j5n4?:0y~j2g>l3:1=vsa7`;f>5<6std{|l4ed1=83;pqc9na983>4}zf>kj57>51zm3dgf290:wp`8a``94?7|ug=jmn4?:0y~j2gfl3:1=vsa7`cf>5<6std{|l4eg1=83;pqc9nb983>4}zf>ki57>51zm3ddf290:wp`8ac`94?7|ug=jnn4?:0y~j2gel3:1=vsa7``f>5<6std{|l4ef1=83;pqc9nc983>4}zf>kh57>51zm3def290:wp`8ab`94?7|ug=jon4?:0y~j2gdl3:1=vsa7`af>5<6std{|l4ea1=83;pqc9nd983>4}zf>ko57>51zm3dbf290:wp`8ae`94?7|ug=jhn4?:0y~j2gcl3:1=vsa7`ff>5<6std{|l4e`1=83;pqc9ne983>4}zf>kn57>51zm3dcf290:wp`8ad`94?7|ug=jin4?:0y~j2gbl3:1=vsa7`gf>5<6std{|l4ec1=83;pqc9nf983>4}zf>km57>51zm3d`f290:wp`8ag`94?7|ug=jjn4?:0y~j2gal3:1=vsa7`df>5<6std=:182xh0j991<7?t}o5a41<728qvb:l?5;295~{i?k:=6=4>{|l4f51=83;pqc9m0983>4}zf>h;57>51zm3g6f290:wp`8b1`94?7|ug=i5<6std5;295~{i?k;=6=4>{|l4f41=83;pqc9m1983>4}zf>h:57>51zm3g7f290:wp`8b0`94?7|ug=i=n4?:0y~j2d6l3:1=vsa7c3f>5<6std{|l4f71=83;pqc9m2983>4}zf>h957>51zm3g4f290:wp`8b3`94?7|ug=i>n4?:0y~j2d5l3:1=vsa7c0f>5<6std{|l4f61=83;pqc9m3983>4}zf>h857>51zm3g5f290:wp`8b2`94?7|ug=i?n4?:0y~j2d4l3:1=vsa7c1f>5<6stdh50;3xyk1e<90;6=6=4>{|l4f11=83;pqc9m4983>4}zf>h?57>51zm3g2f290:wp`8b5`94?7|ug=i8n4?:0y~j2d3l3:1=vsa7c6f>5<6std{|l4f01=83;pqc9m5983>4}zf>h>57>51zm3g3f290:wp`8b4`94?7|ug=i9n4?:0y~j2d2l3:1=vsa7c7f>5<6std90;6{|l4f31=83;pqc9m6983>4}zf>h=57>51zm3g0f290:wp`8b7`94?7|ug=i:n4?:0y~j2d1l3:1=vsa7c4f>5<6std91<7?t}o5a31<728qvb:l85;295~{i?k==6=4>{|l4f21=83;pqc9m7983>4}zf>h<57>51zm3g1f290:wp`8b6`94?7|ug=i;n4?:0y~j2d0l3:1=vsa7c5f>5<6std{|l4f=1=83;pqc9m8983>4}zf>h357>51zm3g>f290:wp`8b9`94?7|ug=i4n4?:0y~j2d?l3:1=vsa7c:f>5<6std{|l4f<1=83;pqc9m9983>4}zf>h257>51zm3g?f290:wp`8b8`94?7|ug=i5n4?:0y~j2d>l3:1=vsa7c;f>5<6std{|l4fd1=83;pqc9ma983>4}zf>hj57>51zm3ggf290:wp`8b``94?7|ug=imn4?:0y~j2dfl3:1=vsa7ccf>5<6std{|l4fg1=83;pqc9mb983>4}zf>hi57>51zm3gdf290:wp`8bc`94?7|ug=inn4?:0y~j2del3:1=vsa7c`f>5<6std{|l4ff1=83;pqc9mc983>4}zf>hh57>51zm3gef290:wp`8bb`94?7|ug=ion4?:0y~j2ddl3:1=vsa7caf>5<6std{|l4fa1=83;pqc9md983>4}zf>ho57>51zm3gbf290:wp`8be`94?7|ug=ihn4?:0y~j2dcl3:1=vsa7cff>5<6std{|l4f`1=83;pqc9me983>4}zf>hn57>51zm3gcf290:wp`8bd`94?7|ug=iin4?:0y~j2dbl3:1=vsa7cgf>5<6std{|l4fc1=83;pqc9mf983>4}zf>hm57>51zm3g`f290:wp`8bg`94?7|ug=ijn4?:0y~j2dal3:1=vsa7cdf>5<6std=:182xh0k991<7?t}o5`41<728qvqpsO@By;4a6=nk8j959i}ABA5{GHYqvLM \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngc b/cpld/XC95144XL/MXSE.ngc index 69d0d17..46db088 100644 --- a/cpld/XC95144XL/MXSE.ngc +++ b/cpld/XC95144XL/MXSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$52e4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGKM8UTc>?006g?DJB9VUd~=>?14f8EIC6WVey<=>>6e9BH@7XWfx;<=?8d:COA4YXg{:;<<6l;@NF5Zgil9:;_`lg456795NNE17?DHC<=1JBI;;;@LG21=FFM2?7L]}fe9BWw`XKeaTECX>7:CPvcYI8j1J_hPnnv3457c3HYyjR``t12354?89B[CUE48;556OPFR@?578>3HUM_O2>3?;8EZ@TJ5;?245N_GQA843912KTJ^L317<:?DYA[K6:;374A^DPF97?601JSK]M<0;=<>GXNZH7=374A^DPF947601JSK]M<33==>GXNZH7>?0m;@]EWG:5;3;556OPFR@?668?3HUM_O2=>99B[CUE4:437LQISC>7:==FWOYI0807;@]EWG:1611JSK]M<6<;?DYA[K63255N_GQA8<8c3HUM_OQ>0^KAQCb_H@VBa=FWOYIS<GXNZHT=>QFBTDg?DYA[KU:8RGMUGf8EZ@TJV;>SDLZFe9B[CUEW8UBNXHk;@]EWGY60VCIYKj4A^DPFZ7>W@H^Jn5N_GQA[4YNJ\Lo7LQISC]14ZOE]On0MRH\B^02[LDRNm1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEWDFK]8>7OOLTs68F@TU=2HN^_>9;CGQV5tb3KOY^=|P_np3456a3KOY^=|P_np34566n2HN^_>}_^mq4567:<1II_\>6:@FVW7um2HN^_?}_^mq4567n2HN^_?}_^mq45679o1II_\>r^]lv5678;?0NH\]249AAWT4=2HN^_::;CGQV0??e:@FVWYXign;<=>>c:@FVWYXg{:;<=j4BDPQ[Ziu89:;=i5MESP\[jt789:9h6LJRS]\kw67899o7OK]R^]lv5678=n0NH\]_^mq4567=m1II_\P_np34561>2HN^_|i9:@FVWtaWG:j7OK]Rsd\J5713KOY^|j;CGQVwtXff~;<=?i;CGQVwtXff~;<=?>8:@VWZOINF;0O95L17O0?F>J<2IJOY<4CD18GIM>3JF@>UQFOCg8GIM5PVCDNRGMUG58GIMXNZHh7NBD_GQA[LDRN>1H@FQFOCa8GIMXAFHTEO[I3:AOV6=DF]30OBCBIUVF@2=DZLK_II<4CR38@0=C\HI@:6J[ABI23>BSIJAyj:5KT@AHvw`CT4:4>7H]34?68AVY7l2OXS=QPaof3456b3LYT?0d9FWZ4XWhdo<=>?1d9FWZ4XWhdo<=>?259FWZ5c3LYT?RQnne2345c?_LzlvZTb{|f0?0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2<>0d8AVYJimnTSljk0123[H~hzVXnxb{<5<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k012254??20c8AVYJimnTSljk0123[Zgil9:;>=e:GP[TY6Wfx;<=>;e:GP[TY6Wfx;<=>:e:GP[TY6Wfx;<=>9e:GP[TY6Wfx;<=>8e:GP[TY6Wfx;<=>7e:GP[TY6Wfx;<=>6e:GP[Zgcl9:;<1?1e:GP[Zgcl9:;<1<1e:GP[Zgcl9:;<1=1e:GP[Zgcl9:;<1:15:GWEFMc3L^JOFQnne2345c5Jr3`8AwYDdbUBB[?:;Dp\J526HK4:DGG@53@DBX^ZNTD18MKP23@EJOYj4INC@PZkrp9:;=e:KLEFRXe|r;<=>;e:KLEFRXe|r;<=>:e:KLEFRXe|r;<=>9e:KLEFRXe|r;<=>8e:KLEFRXe|r;<=>7e:KLEFRXe|r;<=>66:KLEFRu>2CDNH\]e:KLF@TUWge<=>>f:KLF@TUWge<=>>1g9JKGCUZVddx=>?13d8MJDBZ[Uecy>?0017?LIEE=1BCO];;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;8:6G@CR372>OHKZ;>:6G@CR351>OHKZ8>7DALS278MJET<<1BCN]:5:KLGV023@EH_:;4INAP<0=NGJY286G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?k;HMO4Zkrp9:;>2CD^H_}5:KLVR6c3@EY[=Qbuy2345c=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=<5[ilglqqGXWfx;<=>=8:Vji`ir|K;;7YgbenwwFZYhz9:;<?1038Plkbg|~ISRa}0123647<\`gncxzM_^mq4567;j1^_H\PVHQJFIC43_IH56XFEV]W]UC43_ZJo6X_A^]bja6789n0Z]OP_`lg45679k1]\LQPos2345e<^YKTSb|?0122g>PWIVUd~=>?03a8RUGXWfx;<=>o6X_A^]lv5678??0Z]O}f49UTDtul2\[M|Pnnv3457b3_ZJ~Qaou23447c3_co^LCLSVP50nc<^`nYM@M\WS47o4c<^`nYM@M\WS47o7c<^`nYM@M\WS47o6c<^`nYM@M\WS47o1c<^`nYM@M\WS47o0c<^`nYM@M\WS47o3c<^`nYM@M\WS47o2c<^`nYM@M\WS47o=7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|t99\ekb789:27Road12344??299\ip~789:87n`{2:ap7>`tjk1moQaou2345e`tjVddx=>?003g?cueWge<=>?1368mjdj<2cdn~74n@FlqqJB8h1eMIaztMG35g=iIMe~xAK?10`8jDBh}}FN<<>1b9mEVYig}:;<<h7cO\_omw4566=j1eM^Qaou2344003gKdyyAJc:lBkprHMVEIYK;4nCGQV3=iJLXY=45aBDPQ[CUEm2dII_\PFR@\KGSA12dII_\PIN@f?kDBZ[UBCOQFBTD7?kEF[m1eOL]PCmi\MKP6?2dHM^QA089mGDUXGK_Mo6`LAR]mkq6788n0bNO\_omw45669?1eHYOLK`9m@QGDCVLXNk5aDUC@OZ@TJVEIYKo4nEVBGNYNGKl0bIZNCJ]JKGYNJ\Ln7cJ[ABI\[jt789:m7cJ[ABI\[jt789::j6`KT@AH[Ziu89:;>;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?179m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046>8028jAir|FOTbbz?013:0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNi5aLEQ\MJDXGK_Mo6`CDR]bja6788n0bAJ\_`lg45669m1e@I]Paof34575k2dGH^Qaou2344b?00a8jIBTWfx;<=?>c:lO@VYhz9:;=?m4nMFP[jt789;8o6`CDR]lv5679=i0bAJ\_np34572k2dGH^Q`r12353ehKLZUd~=>?19a8jIBTWfx;<=?64:lOS@32:lLr`tkip:Tmcj?012057=iGoy`lw?_`lg4567<880bBxjrmcz4Zgil9:;<8?=;oMuawjfq9Ujbi>?014:?kIqm{fju_O24?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU23gXJ_<;4nSCP60=iZHY896`]AR66?kTF[<30b_O\_N@VB1=iZLY27c\JS^KAQC0hUGD]N;6`]OLUF5g=iZFG\IRAMUG68jPBT02d^H^QISCf8jPBTWOYISDLZF99mQAUXAFHo7c[KS^KLFZIE]Oi0bXJ\_`lg4567l2d^H^Qnne23457c3g_O_Road12347ehRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKn0b[CN_HMA[JDRNm1eZ@OP_`lg4567m2d]ALQPaof34566k2d]ALQPos2345bhQEHUTc>?011g?kPJIVUd~=>?05f8jSKFWVey<=>?5e9mRHGXWfx;<=>9d:lUIDYXg{:;<=9k;oTNEZYhz9:;<5j4nWOB[Ziu89:;5n5aVLC\ekb789:o7cXBA^cm`56788n0b[CN_`lg4567:m1eZ@OPaof34564l2d]ALQnne23452c3g\FMRoad12340bhQXH?0b[^N149mRUG502d]\LQISCf8jSVFWOYISBLZF99mRUGXAFHo7cX_A^KLFZOE]O90bZK8;oUF[CUEk2d\IRH\B^KAQC5C<528qXm;4<4d82e<<6;=<>9=4>b3f`k53j3;0b>:l:79'71g=;=?0q^o::26f>4g>289?:8;?:0`1``=c90>1<7?51zQb2?53m3;j57?<47764?7e:mi0zY=>2;295?7=:lop_l8535g95d?=9:>=98>51c0gg>"4:m0:5<5+1`c905cd3|@:8i7)==1;3:0>\>>38p>7;5}%3:4?5582cj=7>5;n1;4?6=3`9=:7>5;n632?6=3`9oo7>5;n1g5;h143?6=3f9h47>5;n14=?6=3`9o?7>5;h1;6?6=3f9=47>5;n145?6=3f9hm7>5;h635;n1a3?6=3f9>o7>5;n156?6=3`92h7>5;h;g>5<>i41o0;66a>i40k0;66a<9183>>o38k0;66a<7483>>i6k10;6)?nc;3`3>h6ik0;76a>c783>!7fk3;h;6`>ac82?>i6l80;6)?nc;3`3>h6ik0976a>d183>!7fk3;h;6`>ac80?>i6ko0;6)?nc;3`3>h6ik0?76a>cd83>!7fk3;h;6`>ac86?>i6km0;6)?nc;3`3>h6ik0=76a>cb83>!7fk3;h;6`>ac84?>i6kk0;6)?nc;3`3>h6ik0376a>c`83>!7fk3;h;6`>ac8:?>i6k00;6)?nc;3`3>h6ik0j76a>c483>!7fk3;h;6`>ac8a?>o2;3:1(;:k64?6=,8kh68<4n0ca>7=ab866>h6ik0876g;d;29 4gd2<80b5$0c`>04>6`>ac84?>o313:1(d=ab866>h6ik0i76g;5;29 4gd2<80bn7>5$0c`>04>6`>ac8e?>o213:1(42<3`??6=4+1`a917=i9hh1=854i5g94?"6ij0>>6`>ac822>=e;<>1<7?m:5`91c}O;;h0(><>:`58^<0=9r91q)?60;114>o>k3:17b?;0;29?j57k3:17b=>3;29?l142900e>j<:188k4432900e9>n:188k4b42900c?9<:188k4602900e<850;&2ef<6=2d:mo4?;:k20?6=,8kh6<;4n0ca>4=ab821>h6ik0976g>1;29 4gd28?0bab821>h6ik0>76gk:18'5de=9<1e=ll56:9jg?6=,8kh6<;4n0ca>2=5<#9hi1=85a1``9e>=n03:1(ac8g?>o6m3:1(5<51;294~"4:808>n5G3558L64e3f;j47>5;|`0fg<72<0;6=u+3339bd=O;==0D>>o>?3:17do7:188k4e32900qo=l5;291?6=8r.8><4ia:J002=O;;h0(<>l:19j=g<722cj?7>5;h;4>5<0183>>o6880;66g>0383>>o68:0;66g>0583>>o68<0;66g>0783>>i6110;66sm11;94??=83:p(><>:2g8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17d??3;29?l77<3:17d??5;29?l77>3:17b?68;29?xd68h0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=?d;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f66b29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f66a29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f67729086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?74H264?M55j2cmj7>5;h334?6=3f;247>5;|`204<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`207<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`206<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`201<72=0;6=u+33397d=O;==0D>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj:i;6=4=:183!5593;;h6F<469K77d0183>>i6110;66sm3b694?2=83:p(><>:2c8L6203A99n6gif;29?l7783:17d??1;29?j7>03:17pl>d583>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>d483>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7c>3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg7c?3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg7c03:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5ag=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=il50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`131<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`130<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`133<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`132<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`13=<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb21g>5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e;:o1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<3g83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xd4<90;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4<80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4<;0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=;3;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f623290?6=4?{%115?5f3A9?;6F<2c9jbc<722c:<=4?::k244<722e:554?::a742=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`05<<72<0;6=u+33397g=O;==0D>5<5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e;8?1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<1783>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd49>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo?=5;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?=d;291?6=8r.8><4i2B88:5G33`8mc`=831b==>50;9l5<>=831vn<5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<=?:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a567=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a564=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a565=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj88<6=4<:183!5593;2m6F<469K77d5<3290;w)==1;1b?M53?2B8>o5ffg83>>o6890;66g>0083>>i6110;66sm13;94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd6:h0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>2c83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg75k3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900q~850;6xZ3=:9921==?4=23:>c`<5:;j6kk4}r594?2|V>16==651108967>28:;70=>b;df?xu?290?wS64=02;>464349:57??1:?05f54z\:?87703;;863<158245=:9;o1jk5rs`83>0}Yi27:<54>049>74?=99801>?k:gg8944b28:;7p}m:180[d<58:36<>9;<120?`a3tyh6=4;{_a8946>2ol01>=k:023?875l3lm7p}k:187[b<58:26<>?;<10`?`a34;9h7??0:pa?6=;4;m0:<<5213f955755?=99801>=k:021?875l3;;>6s|1083>2}Y9816==751118966c2ol01>m?:gg897122oo01>=j:gd8944e2ol0q~?<:18:[7434;;57??4:?04`:85fd9>76c=99:01<<::gg8945728:;7p}>4;29g~X6<27:<44>049>75b=99:01<:>:gg896da28:;70?k4;df?87c=3lm70<88;de?854n3;;<63>308ea>;6;:0mj63>358eb>{t9?0;64uQ179>55?=99<01>>i:gg894242oo01>m=:gg894b02oo01?98:gg896272oo01<098245=:;821==>4}r50>5<4sW=870=l6;df?85en3lm7p}6c;297~X>k278no467:?0g0<>?2wx==950;0xZ46034;;m7?68:p55>=838p1<>7:0;;?877i3lm7p}>0883>7}:9931=464=02b>4673ty:>94?:3y]572<588h6<77;|q260<72;q6=?;518:8945428:;7p}>2783>7}:9;<1=464=004>4673ty:>:4?:3y>571=90201<<7:gd8yv7503:1>v3>2982===:9;31==>4}r31=?6=:r7:>44>999>57g=99:0q~?=a;296~;6:h0:555213`955652z?26g<61116=?m51128yv75l3:1>v3>2e82===:9;31jk5rs00f>5<5s4;9i7?68:?26=<6891v<358245=z{89;6=4={<304?7>027:>;4if:p567=838p1<=>:0;;?875>3;;<6s|12094?4|58996<77;<31=50;0x9454283370?=a;de?xu6;=0;6?u212695<><588<6kh4}r374?6=:rT:8=5215695<>52z?204<61116=9:51128yv73:3:1>v3>4382===:9=>1jk5rs060>5<5s4;??7?68:?201<6881v<7::18185303lh70=l5;c0?xu61?0;6?u235;95d><5:hi6l64}r3g7?6=:rT:h>521e`95<>52z?2`1<61116=i651128yv7c=3:1>v3>d482===:9m31jk5rs0f5>5<5s4;o:7?68:?2`<<6891vdc8245=z{8n36=4={<3g027:hl4if:p5a?=838p14?:3y]625<5;=j6<77;|q131<72;q6>::518:8971?28:;7p}=7483>7}::>?1=464=35b>c`52z?133<61116>:75fg9~w7102909w0<87;3:<>;5?00:<=5rs35;>5<5s48<47?68:?13d<6891v?96:18184013;2463=7`8244=z{::h6=4={_13g>;4980:555rs22g>5<5s49;h7?68:?0540;de?xu48o0;6?u231d95<><5:;;6<>?;|q055<72;q6?<>518:8967628:;7p}<1283>7}Y;8901>?8:0;;?xu49=0;6?u230695<><5:;>6kh4}r121?6=:r78=84>999>741=no1v>?9:181856>3;2463<168245=z{:;36=4={<120278=h4>019~w67>2909w0=>9;3:<>;49?0mj6s|30c94?4|5:;j6<77;<12b?`a3ty8=o4?:3y>74d=90201>?i:023?xu49j0;6?u230a95<><5:;m6<>>;|q05a<72;q6?7}:;8o1=464=236>4673ty8=k4?:3y>74`=90201>?9:023?xu4;j0;6?u235695<><5:;n6kh4}r10`?6=:r78?i4>999>712=99:0q~=02788?4if:p716=838p1>:?:0;;?853:3;;<6s|35394?4|5:>:6<77;<170?7792wx?9<50;0x9625283370=;3;334>{t;=91<74??349?87hi;|q0fg<72;q6?ol51b6896e22h20q~=md;296~;4jk0j?63li:0;;?85d<3;;<6s|3b294?4|5:i;6<77;<1`7?`a3ty8o<4?:3y>7f7=90201>m<:023?xu4k;0;6?u23b095<><5:i?6<>>;|q0g6<72;q6?n=518:896e32ol0q~=l5;293~;4k<0:o9521e49b`=:9m21jk522669b`=:;:l1jk5213d9b`=:9::1jk5rs2a4>5<5s49h977m;<1`2?7>02wx?i=50;0xZ6b4349io7hj;|q74d<72=qU8=o4=061>cc<5:>:6kk4=011>cco5+33395a4v<54;'5<6=;;:0e4m50;9j750=831d>n950;9l7`3=831b?;;50;9l7a>=831d?nm50;9l72?=831b?=:50;9j36<722e8n:4?::k0=f<722c?4?::m0`0<722c9;>4?::m1ec<722e8n=4?::m2g=<72-;jo7?l7:l2eg<732e:o;4?:%3bg?7d?2d:mo4>;:m2`4<72-;jo7?l7:l2eg<532e:h=4?:%3bg?7d?2d:mo4<;:m2gc<72-;jo7?l7:l2eg<332e:oh4?:%3bg?7d?2d:mo4:;:m2ga<72-;jo7?l7:l2eg<132e:on4?:%3bg?7d?2d:mo48;:m2gg<72-;jo7?l7:l2eg5=ab826>h6ik0:76gi:18'5de=9;1e=ll52:9ja?6=,8kh6<<4n0ca>6=07dm50;&2ef<6:2d:mo4:;:ka>5<#9hi1=?5a1``92>=ni3:1(10e44?:%3bg?753g;jn764;h:94?"6ij0:>6`>ac8:?>o0290/=lm5139m5dd=i21b:7>5$0c`>446`>ac8`?>o6l3:1(c=ab826>h6ik0:<65f1883>!7fk3;97c?nb;32?>o603:1(2:l2eg<6<21i?9650;394?6|,:8:6kj4H264?M55j2emo7>5;|`2b7<72<0;6=u+3339bd=O;==0D>>o>?3:17do7:188k4e32900qo?6d;291?6=8r.8><4ia:J002=O;;h0(<>l:19j=g<722cj?7>5;h;4>5<<1<7=50;2x 6462o30D>:8;I11f>o>?3:17do7:188k4e32900qo<4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3th9=>4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e9mn1<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f66429086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4i8594?=ni10;66a>c583>>{e:>h1<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f7>e29086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4$02`>5=n1>0;66gn8;29?j7d<3:17pl=b483>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl=b`83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd4m?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4j80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4l?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6nl0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6no0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5890;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=8e;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f61f29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6b>29086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn>l7:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>l6:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f6c?29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6c>29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6cf29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6ce290>6=4?{%115?5e3A9?;6F<2c9jbc<722c:<=4?::k244<722c:k;I173>N4:k1bjh4?::m2==<722wi?o:50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?o;50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?o850;794?6|,:8:6>l4H264?M55j2cmj7>5;h334?6=3`;;=7>5;h336?6=3f;247>5;|`174<72:0;6=u+33397<=O;==0D>5<5<7>52;294~"4:80:5<53;294~"4:80856F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;926=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm22c94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm22`94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5;j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=3g83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4383:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4393:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?:=:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f72229086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>9850;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi>9950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`10=<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj;>n6=4<:183!5593;2m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:<81<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e:<91<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl=5583>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5=>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<:8;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo<:9;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f73f29086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn?;k:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a60c=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a60`=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`126<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;<26=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm27c94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm27`94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5>j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=a383>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5i=0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4i2B88:5G33`8mc`=831b==>50;9l5<>=831vn?o6:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a6dg=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>ll50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`1e6<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1==j4H264?M55j2cmi7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`1=<<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1=d<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:f>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:1l1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl=9183>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4>93:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?7=:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f7?429086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>4:50;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a6<3=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>4850;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`1=2<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`13a<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1<6<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1<1<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:;>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3::>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:b>5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;=n6=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm26d94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5090;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<71;290?6=8r.8><4=831vn?6=:187>5<7s-99=7?6b:J002=O;;h0ekh50;9j556=831b==?50;9l5<>=831vn<7i:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7=m;I173>N4:k1bjk4?::k245<722c:<<4?::k247<722e:554?::a5d2=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi><;50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`165<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16<<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16d<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16g<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16f<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16a<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16`<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`153<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`152<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`15=<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:8h1<7:50;2x 6462:k0D>:8;I11f>oan3:17d??0;29?l7793:17b?68;29?xd59j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=1e83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd59l0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=1g83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4593:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?<=:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a675=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>?:50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`160<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:;4?:283>5}#;;;1?45G3558L64e3`lm6=44i023>5<5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8oo6=4=:183!5593;;h6F<469K77d0183>>o6880;66a>9983>>{e9o;1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e9l:1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e9l;1<7:50;2x 646283i7E=;7:J06g=nno0;66g>0183>>o6880;66a>9983>>{e9l81<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>e283>1<729q/???53`9K711<@:8i7dhi:188m4672900e<>>:188k4??2900qo?j4;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f4c229086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=h850;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a5`1=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=h650;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`2a<<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`0ga<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0g`<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0gc<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0`5<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`0`4<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<3290;w)==1;1b?M53?2B8>o5ffg83>>o6890;66g>0083>>i6110;66sm1g594?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g:94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g;94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1gc94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g`94?3=83:p(><>:2`8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd6nj0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>fe83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7a=3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo<4=831vn?>m:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a65e=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65b=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65c=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65`=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a646=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a647=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a644=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a652=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>=;50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`143<72:0;6=u+33397<=O;==0D>5<5<54;294~"4:80:5o5G3558L64e3`lm6=44i023>5<5<54;294~"4:808m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{t>3:1>vP9;<007?`a3ty<6=4={_58975e2ol0q~650;0xZ==::=;1jk5rs883>7}Y12798:4if:pe?6=:rTj70<;d;de?xue2909wSl4=370>c`60?=no1vi4?:3y]`>;5=o0mj6s|e;296~Xb348=97hi;|q24?6=:rT:<63=308245=z{8;1<728:;7p}>7;296~X6?279?44>019~w4>=838pR<64=31e>4673ty:57>52z\2=>;5<<0:<=5rs0c94?4|V8k01?:m:023?xu6j3:1>vP>b:?114<6891v?;|q2`?6=:rT:h63=5e8245=z{8o1<7l95fg9>64o5112897?a2oo01?69:023?87f:3ln70<=0;df?845l3ln70<=2;de?87bi3ln70?jc;de?87ai3ln703:19v386;3`0>;51k0mi63=2b8ea>;6mm0mi63=058eb>{t1j0;6nuQ9b9>5c4=1>16=4j5969>33<>?279<<467:?156<>?27:hi467:?046<>?279;o467:?1?279m=467:?03d4=0d7>467348;n7hi;|q2=`<72;q6=4j59c9>5d2=9020q~?6f;296~;61o0:55521`1955652z?2e5<61116=l=51138yv7f93:1>v3>a082===:9h91==<4}r3b6?6=:r7:m?4>999>5d2=99:0q~?n3;296~;6i:0:55521`69bc=z{8i>6=4={_3`1>;5;=0:555rs0a5>5<5sW;87S?l6:p5f>=839pRk5Q1b:8970e2ol0q~?l9;296~X6k016>>m518:8yv7di3:1>vP>c`9>614=9020q~?lb;296~X6kk16>96518:8yv7dk3:1>vP>cb9>61c=9020q~?ld;296~X6km16>8:518:8yv7dm3:1>vP>cd9>60g=9020q~?lf;296~X6ko16>;>518:8yv7c83:1>vP>d19>630=9020q~?k1;296~X6l816>;m518:8yv7c;3:1jvP>d29>6g3=nl16>l:5fg9>6585fg9>5d5=no16>?l5fd9>67c=nl16>5`d=nl16=hk5fd9>7fb=nl16=kl5fg9>65d=99:01?>k:gg8yv7ck3:18v3=0182===:;lh1jk523c49bc=:;jo1jh5rs0fg>5<5s4;oh7?l4:?2bc<58o<6kh4}r3f4?6=:r7:i=4>999>5`5=99;0q~?j1;296~;6m80:55521d695567>52z?2a7<61116=h;51128yv7b;3:1>v3>e282===:9l31==?4}r3f0?6=:r7:i94>999>5`0=no1ve78245=z{8o=6=4={<3f2?7>027:i:4>019~w4c02909w0?j7;3:<>;6m10mj6s|1d:94?4|58o36<77;<3f=?7782wx=ho50;0x94cf283370?j1;de?xu6mk0;6?u21d`95<><58o:6<>>;|q2af<72;q6=hm518:894c22ol0q~?jd;296~;6mm0:55521d09bc=z{8on6=4={<3fa?7>027:i?4>019~w4ca2909w0?jf;3:<>;6m10:<=5rs0d3>5<5s4;m<7?68:?2a<fe8eb>{t9o<1<74??34;mo7hi;|q2b2<72;q6=k9518:894`e28:;7p}>f983>7}:9o21=464=0da>4663ty:j44?:3y>5c?=90201<58l>6<>?;|q2bg<72;q6=kl518:894`d28:;7p}>fb83>7}:9oi1=464=0dg>4673ty:ji4?:3y>5cb=90201v3>fd82===::9:1jk5rs0de>5<5s4;mj7?68:?145<6891v?>>:18184793;h863=b`8eb>{t:981<7d><5;:j6<77;|q146<72;q6>==518:8976>2ol0q~6=4={<031?7>0279<54>009~w7612909w0;58>0mj6s|21594?4|5;:<6<77;<03=650;0x976?283370{t:931<74??348;m7hi;|q14g<72;q6>=l518:8976028:;7p}=0b83>7}::9i1=464=327>4673ty965b=90201?>9:023?xu58l0;6?u221g95<><5;:<6<>>;|q14c<72;q6>=h518:8976?2ol0q~<>0;296~;5990:55522179bc=z{;;:6=4={<025?7>0279<84>019~w7752909w0<>2;3:<>;58h0:<=5rs330>5<6>r79=>4>c59>72c=nl16>>?5fg9>664=nl16>>75fg9>66g=nl16>>h5fg9>616=nl16>9;5fg9>610=nl16>9l5fg9>61e=nl16>8?5fg9>604=nl16>895fg9>60>=nl16>8j5fg9>60c=nl16>;=5fg9>632=nl16>;75fg9>63g=nl1v??;:181846;3k370<=7;3:<>{t:8?1<74??348:47hi;|q153<72;q6><8518:8974328:;7p}=1683>7}::8=1=464=305>4673ty9=54?:3y>64>=90201??k:gd8yv4613:1>v3=1882===::;?1jk5rs33b>5<5s48:m7?68:?15`e;334>{t:8i1<74??348:j7??0:p64b=838p1??k:0;;?84593;;<6s|20g94?4|5;;n6<77;<016?7782wx>{t:;:1<74??348:47??0:p677=838p1?<>:0;;?845?3lm7p}=2383>7}::;81=464=300>c`52z?166<61116>?:5fg9~w7432909w0<=4;3:<>;5:<0:<=5rs306>5<5s48997?68:?163?850;0x9741283370<=7;334>{t:;21<74??348:h7??0:p67?=838p1?<6:0;;?84613;;<6s|23c94?4|5;8j6<77;<02e?7782wx>?l50;0x974e283370<>b;de?xu5:j0;6?u223a95<><5;;i6<>>;|q16a<72;q6>?j518:8977d2ol0q~<=e;296~;5:l0:555220a955652z?174<61116>>:5fg9~w7562909w0<<2;3:<>;5;:0:<=5rs311>5<5s488?7?68:?171<6891v?=7:18184413;2463=3b8eb>{t::31<74??3488n7??0:p66g=838p1?=m:0;;?844k3;;<6s|22g94?4|5;9m6<77;<076?`a3ty9?k4?:3y>616=90201?:>:023?xu5<90;6?u225395<><5;>96<>?;|q101<72;q6>9;518:8972?2ol0q~<;5;296~;552z?102<61116>9651128yv43i3:1>v3=4c82===::=o1jk5rs36a>5<5s48?o7?68:?10a<6891v?:l:181843l3;2463=4d8245=z{;?;6=4={<065?7>0279994if:p607=838p1?;=:0;;?842;3;;<6s|24094?4|5;?86<77;<060?7782wx>8850;0x9730283370<:a;de?xu5=>0;6?u224:95<><5;?26<>?;|q11=<72;q6>87518:8973f28:;7p}=5b83>7}::c`h7>52z?11`<61116>8h51128yv42m3:1>v3=5g82===::?:1==>4}r056?6=:r79:>4>999>630=no1v?8<:181841<3;2463=648245=z{;0279:;4>019~w70?2909w0<99;3:<>;5>j0mj6s|27;94?4|5;;o50;0x970e283370<9c;334>{t:>91<73ln7p}=7c83>47|5;=i67??0:?1e2<68816>465fg9>672ol01:gg8977228:;70<>7;df?87bn3ln70?j3;334>;6n?0mj63>f68ea>;58:0mj63=0g8ea>{t:>i1<7d><5;296<77;|q13a<72;q6>:j518:897>f2ol0q~<8e;296~;5?l0:55522909bc=z{;=m6=4={<04b?7>02794<4if:p6=6=838p1?6?:0;;?84?:3;;<6s|29394?4|5;2:6<77;<0;6?7792wx>5=50;0x97>4283370<7a;334>{t:1>1<74??3485;518:8971b28:;7p}=8783>7}::1<1=464=3:2>4673ty94:4?:3y>6=1=90201?9i:gd8yv4?03:1>v3=8982===::>l1==>4}r0;=?6=:r79444>999>6=7=99;0q~<7a;296~;50h0:55522929556515y>6=d=9j>01?oj:gd897g52ol01?o8:021?84>l3ln70<7e;de?840l3ln70<74;de?84?03ln70?n0;df?84503ln70<>6;df?84613lm70?kf;de?87a83;;<63>f08ea>;6n?0:<=521g:9b`=::991==>4=333>cc52z?1999~w7>c2909w0<7d;3:<>;50l0:<=5rs3:f>5<5s483i7?68:?1=2<6891v?6i:18184?n3;2463=958eb>{t:0:1<74??3482>7??0:p6<7=838p1?7>:0;;?84>?3;;=6s|28094?4|5;396<77;<0:7?`a3ty95>4?:3y>6<5=90201?7;:023?xu51=0;6?u228695<><5;3>6<>?;|q1=0<72;q6>4;518:897?12ol0q~<66;296~;51?0:55522859bc=z{;336=4={<0:0279584if:p66<5;386<>?;|q1=a<72;q6>4j518:897?128:;7p}=9d83>7}::0o1=464=3;2>c`52z?1=c<61116>4?51128yv4f83:1=cc<5;336<>?;<0;7?`b348387??0:?1<<<4if:?2`c<68916=k>5113894c72oo01;5980mi6s|2`394?4|5;k;6l64=3c0>4??3ty9m?4?:3y>6d4=90201?on:gd8yv4f<3:1>v3=a582===::h31jk5rs3c6>5<5s48j97?68:?1e=<6891v?o9:18184f>3;2463=ac8245=z{;k<6=4={<0b3?7>0279m>4>019~w7g?2909w0;5i00:<=5rs3c:>5<5s48j57?68:?1ed<6891v?on:18184fi3;2463=ac8eb>{t:hh1<74??348j?7hi;|q1ef<72;q6>lj518:897gb28:;7p}=ag83>7}Y:hl01?ln:0;;?xu5j<0;6?u22c795<><5;hj6<>?;|q046<72:qU>n94=220>4e3349hj7hj;|q041<72c`<5;;i6<>?;<3fg?778279>9;<0b3?778279m54if:?1=`<0;6;uQ377896b>28:;70=m9;de?85b03ln70=m3;df?85c83;;<6s|36;94?4|V:=270=89;3`0>{t;>h1<7<1<5:=j6<77;|q03a<72;q6?:75a99>72c=9020q~=6c;296~X41j16?o;5fd9~w6d72909wS=m0:?0f4<6111v>l=:18185e93ln70=m6;3:<>{t;k91<74??349i:7??0:p7g2=838p1>l;:0;;?85e>3;;=6s|3c794?4|5:h>6<77;<1a2?77:2wx?o950;0xZ6d0349i57?68:p7g>=838p1>l7:0;;?85e13;;<6s|3ba94?4|V:ih70=k1;3:<>{t;jn1<74??349o<7hi;|q0g`<72;q6?nk518:896b728::7p}7}:;jl1=464=2f2>4673ty8h=4?:3y>7a6=90201>j>:gd8yv5c=3:1>vP7a0=9020q~=k8;296~X4l116?i7518:8yv5cj3:1>vP7`g=nl1v>k::181[5b=278i;4>999~w6c02909w0=j6;df?85bj3;246s|3d:94?4|5:o36<77;<1ff?7782wx?h750;0x96c>283370=jb;335>{t;lk1<74??349nn7??2:p05g=83?pR9>n;<1g=?`a349i47hj;<1f=?`b349i87hj;|a70d=83;86;o575yK77d<,:8:6<<<;%3:4?5582c2o7>5;h32`?6=3`;?<7>5;h33a?6=3`9=97>5;n54>5<91<75`15794?=n;0i1<75f13694?=h9<81<75f41c94?=n;mh1<75`12794?=h:hl1<75`39`94?=h91>1<75`1g83>>i5?3:17o=;8;295?6=8r.8><4id:J002=O;;h0ckm50;9~f406290>6=4?{%115?`f3A9?;6F<2c9j=g<722cj?7>5;h;4>5<=1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=4j50;794?6|,:8:6ko4H264?M55j2.:5<>of03:17b?l4;29?xd61:0;6>4?:1y'777=n01C?994H20a?l?02900el650;9l5f2=831vn?950;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm1g83>6<729q/???5f89K711<@:8i7d78:188md>=831d=n:50;9~f42229086=4?{%115?`>3A9?;6F<2c9j=2<722cj47>5;n3`0?6=3th:=n4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e91>1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=>;50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm14094?3=83:p(><>:gc8L6203A99n6g6b;29?lg42900e4950;9je=<722e:o94?::a5=d=83?1<7>t$202>cg<@:><7E==b:k:f?6=3`k86=44i8594?=ni10;66a>c583>>{e9:i1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=;>50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm1`f94?5=83:p(><>:g;8L6203A99n6*>0b83?l?02900el650;9l5f2=831vn:180>5<7s-99=7h6;I173>N4:k1/==m50:k:3?6=3`k36=44o0a7>5<52;294~"4:80:5<52;294~"4:80:5<0183>>i6110;66sm17194?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm17694?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd6k80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6k;0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=7c;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f6>c29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6>b29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=8:50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`210<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`213<72:0;6=u+33397<=O;==0D>5<5<;7>53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8936=4=:183!5593;;h6F<469K77d0183>>i6110;66sm12`94?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e91<1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>8683>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>8983>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>8883>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7?i3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo?m3;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?m8;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg7e13:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5gb=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a5gc=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8h>6=4<:183!5593;2m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e9k=1<7:50;2x 646283i7E=;7:J06g=nno0;66g>0183>>o6880;66a>9983>>{e9hl1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>b183>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5j<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jh0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jo0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4>9c9K711<@:8i7dhi:188m4672900e<>>:188k4??2900qo?;7;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?;9;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg73i3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn<:m:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<:l:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<:k:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a51c=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`215<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e;=0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl<5;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`0N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb07:>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e99983>>{e99983>>{e99983>>{e9:8;I11f>oan3:17d??0;29?j7>03:17pl>5d83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd6=o0;694?:1y'777=;h1C?994H20a?l`a2900e<>?:188m4662900c<77:188yg>f29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f=d=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a<7E==b:kea?6=3f;247>5;|`;`?6=:3:15<5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e0o0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>9g83>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a183>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a083>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a383>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a283>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7f<3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f4g129086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=l950;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a6d<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1f?6=;3:1N4<>1C??l4igd94?=n99:1<75`18:94?=zj;i1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e:m0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5m3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?h50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`04?6=<3:1N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb2394?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{t9o0;6?uQ1g9>5c<6k=1v?950;33[40348<697hj;<303?`a34;847hj;<3;2?`a34;3;7hj;<3a5<3s48<6l64=0;0>d><58<;64l4=2:95<>5<5s48j6<77;<0f>4673ty9n7>52z?1f?7>0278<7??0:p6f<72;q6>n4>999>6c<6891v?j50;0x97b=90201>>51138yv4b2909w0{t;:0;6?u23582===:;>0mj6s|3583>7}:;<0:5552368245=z{:?1<7<5:21==?4}r15>5<5s49<6<77;<1;>c`l3k37067:gd894032ol014e33ty3;7>52z?43?g?34236<77;|q;=?6=;r7<;77m;<355??e342m6<77;|q;e?6=:r73m7?68:?;a?7782wx4o4?:3y>009~w=e=838p15m518:89=c=9980q~6k:1818>c2833706i:023?xu?m3:1>v37e;3:<>;?n3lm7p}6c;2954}Y1j16=;?5969>32<>?27:5i467:?2=6<>?279;778;<3e><1<58>>6494=03`><1<582?6494=016><1<58?96494=0:a><1<589h6494=043><1<58ko6494=0`2><152z\24`=:98i1m55rs03`>5<1s4;:o7?l4:?2f6019>5d`=99:01<:8:gg89=d=nl1v?;<36=?`a34;j:7hi;<0e>c`52z\270=:9:?1=n:4}r302?6=:r7:?84n8:?27g<6111v<=8:181874?3;2463>3c8eb>{t9:21<74??34;8m7hi;|q27<<72;q6=>7518:8945f28:;7p}>3`83>7}:9:k1=464=01a>4673ty:?n4?:3y>56e=9j>01<=8:023?xu6;m0;6?u212a9e==:9:o1=464}r374?6=;rT:8=522cd9bc=:9h91jk5rs066>5<5sW;?963>4482g1=z{8>=6=4={<371?g?34;?47?68:p511=838p1<:8:0;;?873l3;;<6s|15;94?4|58>26<77;<37b?`a3ty:8l4?:3y>51g=90201<:j:gd8yv73j3:1>v3>4c82===:9=o1==>4}r37g?6=:r7:8n4>999>506=99:0q~?;d;296~;6n6=4={<37a?7>027:8k4>019~w42a2909w0?;f;3:<>;6=90mj6s|14294?4|58?;6<77;<37>7?l4:p505=838p1<;=:`:8943028337p}>5583>7}:9<>1=464=074>c`97>52z?210<61116=8851128yv72>3:1>v3>5782===:9<=1==>4}r365e8eb>{t94??34;>h7??0:p50d=838p1<;m:0;;?872n3lm7p}>5b83>7}:94663ty:9i4?:3y>50b=90201<;j:023?xu6=l0;6?u214g95<><58?m6<>?;|q225<72;q6=;>51b68943328:;7p}>6083>7}:9?;1=n:4=3a3>4673ty::?4?:3y>537=i116=;:518:8yv71;3:1>v3>6282===:9?>1==>4}r3;0?6=:rT:495219695f252z?2<1999~w4>12909w0?76;3:<>;60h0mj6s|19594?4|582<6<77;<3;=?`a3ty:454?:3y>5=>=90201<66:023?xu6000;6?u219;95<><582j6<>?;|q2128:;7p}>8b83>7}:91h1m55219f95<>53z?2=6<6k=16=5l59c9>56e=1k1v<7::18a85303lh70?91;c0?8102h901<7k:`18971=i:16=5:5a29>563=i:16=8<5a29>5=d=i:16=>m5a29>536=i:1v<7k:18487>l3;h863>b`8ea>;6l33i70?n7;3:<>{t90l1<74??34;j?7??0:p5d6=838p1a083>7}:9h;1=464=0c7>4673ty:m?4?:3y>5d4=90201<58k>6kh4}r3b0?6=:r7:m94>999>5d3=99:0q~?n5;296~;6i<0:55521`4955652z?2e3<61116=l95fg9~w4gc290iw0?nd;3`0>;6k80mi63>bc8ea>;6jj0mj63>ag8eb>;6v3>ae8b<>;6j90:555rs0ce>5<5s4;jj7?68:?2f5<6891v:18g87e93;h863>c38eb>;6j10mj63>be8ea>;6jl0mj63>b18eb>;6<00mj63>4e8eb>;4<3;;<63>5c8ea>;?i3ln70?n1;df?84b2ol0q~?m2;296~;6j80j463>b682===z{8h86=4={<3a7?7>027:nh4>019~w4d32909w0?m4;3:<>;6j>0:<<5rs0`6>5<5s4;i97?68:?2f3<58h>6kh4}r3a=?6=:r7:n44>999>5g`=no1vbg8245=z{8hi6=4={<3af?7>027:n;4>019~w4dd2909w0?mc;3:<>;6j=0mj6s|1cf94?4|58ho6<77;<3a0?7782wx=ok50;0x94db283370?m7;334>{t9kl1<74??34;i97??0:p5f6=838p1:0;;?87d:3;;<6s|1b194?2|58l1m5521969=g=:9:?15o521b095<>52z\1ec=::j:1=464}r0a1?6=:r79n84>999>6g`=99:0q~52z?1fc<61116>n>5fg9~w6022909wS=95:?0e3493i7?68:p7=e=838p1>6l:0;;?85?m3lm7p}<8e83>7}:;1n1=464=2:f>4673ty85n4?:2y]7cc53z\0`g=:91n1jh5219:9b`=z{=:j6=4;{_63e>;6>90j463<8b8245=:9<<1jk5r}c161?6=k38i6>=tH20a?!5593li7)?60;114>i38:0;66a<7g83>>o4><0;66a83;29?l`?2900e:650;9j3a<722c9n=4?::k1f0<722c9nl4?::m036<722c:<:4?::`00=<7280;6=u+3339ba=O;==0D>5<55;294~"4:80mm6F<469K77d>o>?3:17do7:188k4e32900qo<4ia:J002=O;;h0e4l50;9je6<722c2;7>5;hc;>5<N4<>1C??l4i8`94?=ni:0;66g67;29?lg?2900c50z&0644?::k:3?6=3`k36=44o0a7>5<5;hc0>5<>i6k=0;66sm33g94?3=83:p(><>:gc8L6203A99n6g6b;29?lg42900e4950;9je=<722e:o94?::a7c<72:0;6=u+3339b<=O;==0D>>i6k=0;66sm7583>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl85;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg4d<3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4d=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4d>3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn9=50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?5;50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?5850;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a766=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:52;294~"4:80:5<<>:02g?M53?2B8>o5ffd83>>i6110;66sm7b83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5jm0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jl0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=b983>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl=b883>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5j:0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5j=0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl;0;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo:?4;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo:?5;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg50<3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo9k:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi4>4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:52;294~"4:80:5<6=4::183!55939i7E=;7:J06g=nno0;66g>0183>>o6880;66g>0383>>i6110;66sm32094?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e;:91<7=50;2x 646283j7E=;7:J06g=nno0;66g>0183>>i6110;66sm32694?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm32794?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm32494?3=83:p(><>:2`8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd4;>0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17p}v3d><5;h=6l64=3`2>d><5>?1=464=2:6>cc<5:9;6kh4=929b`=:?k0mi63=be8ea>;5j10mi63=b28ea>;4;<0mi6s|7583>7}:?=0:5552748245=z{>=1<7=t=257>c`<51?1=464=215>c`999~w2d=838p1:l518:892e=99:0q~9k:180[1c342:6kh4=909b`=z{>o1<7o15o528082===z{1:1<7<51;1==>4}r:1>5<5s4=o6<77;<:6>c`4??342>6<>?;|q;0?6=:r73?7?68:?;1?7792wx484?:3y><1<61116484>039~wc>=833pRk64=25e><1<5;h:6494=3`5><1<5;hi6494=6;9=2=:?l02;63<2d8:3>;383ln7p}>0683>6}Y99=01>69:gd8965728:;7p}>9483>=}:;=21jn5236d9e6=::k;1m>522c49e6=::kh1m>52788b7>;0m3k870==e;c0?xu5j90;6>uQ2c2897d32ol01>=<:gd8yv4e93:1>v3=b082g1=:;:91==>4}r0a6?6=:r79n<46b:?1f1<6111v?l<:18184e;3;2463=b58245=z{;h>6=4<{_0a1>;5k<0mi63=b88eb>{t:k<1<74e3348h87hj;|q1f2<72;q6>o859c9>6g?=9020q~53z\1fd=::ko1jk523209bc=z{;hi6=4={<0af?7d<278??4>019~w7dd2909w0n=50;0x97e1283370=<4;df?xu5k=0;6?u22b695<><5;i=6kh4}r0`1?6=:r79o84>999>6f0=99:0q~==e;296~;4:l0:o9524169b`=z{:8m6=4={<11a?g?3498<7?68:p767=839p1>=8:0;;?xu4;;0;6?u232095<><5:9=6<>?;|q076<72;q6?>=518:8965128::7p}<3583>7}:;:>1=464=215>4653ty8?84?:3y>763=90201>=8:023?xu4;?0;6?u232495<><5:9<6kh4}r151?6==rT8:852758ea>;3;3ln70:?5;de?850<3;;<6s|36194?4|V:=870=84;3:<>{t;>l1<74??3ty8484?:3y>7=3=90201>69:023?xu38:0;6?uQ4118916228337p};0583>7}:<9>1=464=526>4673twi?8o50;36>d?=j=qC??l4$202>4453-;2<7==0:m25a<722c?<>4?::k03c<722c:4k4?::m24`<722e8:84?::ka5?6=3`9o?7>5;h371?6=3f9<=7>5;h;:>5<>d4<10;6<4?:1y'777=nm1C?994H20a?j`d2900qo=jc;291?6=8r.8><4ia:J002=O;;h0e4l50;9je6<722c2;7>5;hc;>5<5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xddj3:1?7>50z&0645}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rbb194?5=83:p(><>:g;8L6203A99n6g67;29?lg?2900c3A9?;6F<2c9j=2<722cj47>5;n3`0?6=3th85=4?:283>5}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rb0d94?5=83:p(><>:g;8L6203A99n6g67;29?lg?2900c50z&0645}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rb353>5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xd>;3:1?7>50z&064750;194?6|,:8:6k74H264?M55j2c2;7>5;hc;>5<5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xd4lk0;6>4?:1y'777=n01C?994H20a?l?02900el650;9l5f2=831vn>7l:180>5<7s-99=7h6;I173>N4:k1b5:4?::kb5;|`020<72:0;6=u+3339b<=O;==0D>5<0;66gn8;29?j7d<3:17pli4;297?6=8r.8><4i9:J002=O;;h0e4950;9je=<722e:o94?::a63`=8391<7>t$202>c?<@:><7E==b:k:3?6=3`k36=44o0a7>5<0;66gn8;29?j7d<3:17pl<3983>6<729q/???5f89K711<@:8i7d78:188md>=831d=n:50;9~fdd=8391<7>t$202>c?<@:><7E==b:k:3?6=3`k36=44o0a7>5<55;294~"4:80mm6F<469K77d>o>?3:17do7:188k4e32900qo?:1;297?6=8r.8><4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3thnm7>53;294~"4:80m56F<469K77d<,8:h6=5f9683>>of03:17b?l4;29?xd6><0;6>4?:1y'777=n01C?994H20a?!77k3:0e4950;9je=<722e:o94?::a530=8391<7>t$202>c?<@:><7E==b:&24f<73`3<6=44i`:94?=h9j>1<75rb04a>5<4290;w)==1;d:?M53?2B8>o5+11a94>o>?3:17do7:188k4e32900qo?86;297?6=8r.8><4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3th:;:4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e9>21<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f41>29086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4$02`>4=n1>0;66gn8;29?j7d<3:17plk2;297?7=;rB8>o5+33395<4>i48k0;66l<4e83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xufn3:1>vPnf:?00a<6891vo>50;0xZg6<5:>o6kh4}r13f?6=:rT853;397~N4:k1/???51808md`=831bn=4?::m04g<722h88i4?:283>5}#;;;1?984H264?M55j2cmj7>5;h334?6=3f;247>5;|qbb?6=:rTjj63<4e8245=z{k:1<7>d44?:1y'777=;=<0D>:8;I11f>oan3:17d??0;29?j7>03:17p}nf;296~Xfn2788i4>019~wg6=838pRo>4=26g>c`52z\04g=:;=n1=464}|``b?6=;3;1?vF<2c9'777=9080elh50;9jf5<722e8<7E==b:keb?6=3`;;<7>5;n3:52z\bb>;4:k:gd8yv57j3:1>vP<0c9>71b=9020qpl=6d83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg1c29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wiml4?:283>5}#;;;1?45G3558L64e3`lm6=44i023>5<5<5<7>52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2g1>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{eil0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4;k0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd>=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg40:3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5f=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5b<3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5a83:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188ygec29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~ffc=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:52;294~"4:80:5<0183>>i6110;66smc483>7<729q/???511f8L6203A99n6gie;29?j7>03:17pll6;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~ff7=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::ag7<72:0;6=u+33397<=O;==0D>5<5<0183>>i6110;66smd783>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188ygb?29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wij;4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:7>52;294~"4:80:5<<>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5=3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo<9:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f4>729096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f4>629086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn<6=:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5=5=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=:l50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`23f<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`23a<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<7>55;294~"4:808n6F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj8=?6=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm16794?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e9?21<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>6883>0<729q/???518a8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd6>h0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=9b;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9c;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9d;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9e;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9f;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=80;292?6=8r.8><4=831vnhm50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wiii4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:52;294~"4:80:5<9983>>{en80;6:4?:1y'777=;m1C?994H20a?l`a2900e<>?:188m4662900e<>=:188m4642900e<>;:188k4??2900qo=ic;291?6=8r.8><43A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?kk50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?kh50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi8=>50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`744<72:0;6=u+33397<=O;==0D>5<5<;>7>53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;7>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;6>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;5>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;4>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;;>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;:>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;b>5<0290;w)==1;1g?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66g>0283>>o68=0;66a>9983>>{e;0h1<7=50;2x 646283j7E=;7:J06g=nno0;66g>0183>>i6110;66sm3g094?1=83:p(><>:2f8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17d??3;29?l77<3:17b?68;29?xd4n:0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n=0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n>0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n10;694?:1y'777=;h1C?994H20a?l`a2900e<>?:188m4662900c<77:188yg5a13:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo=ia;290?6=8r.8><4=831vn>o8:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>o7:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>o6:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>on:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>om:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>ol:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a7db=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`0ec<72=0;6=u+33397d=O;==0D>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj88;6=4::183!5593;2o6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{elh0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xdcm3:187>50z&064<4i2B88:5G33`8mc`=831b==>50;9j557=831d=4650;9~fa`=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::aa5<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`f5?6=:3:15<5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rbd194?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sme583>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188ygc2290?6=4?{%115?5f3A9?;6F<2c9jbc<722c:<=4?::k244<722e:554?::a`g<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:54;294~"4:808m6F<469K77d0183>>i6110;66smb883>7<729q/???511f8L6203A99n6gie;29?j7>03:17plma;291?6=8r.8><4>9b9K711<@:8i7dhi:188m4672900e<>>:188m4652900c<77:188ygde29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~fge=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:53;294~"4:80856F<469K77d<>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e98:1<7;50;2x 646283h7E=;7:J06g=nno0;66g>0183>>o6880;66g>0383>>i6110;66sm10694?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd69<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69>0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6910;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6900;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69h0;684?:1y'777=;k1C?994H20a?l`a2900e<>?:188m4662900e<>=:188k4??2900qo?>b;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg7693:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f47429086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831v5<5s4;m6l64=3495<>4??348=6kh4}r07>5<5s48?6<77;<06>4673ty997>52z?11?7>0279:7??0:p32<72999>53b=nl16=;75fg9>576=no16=<;5fd9~w<6=838p14>51b6892b=99:0q~7>:18b8?720=01>jm:85896?d20=01>68:858960220=01>=7:858970a20=01<;>:8589dd=1>165?4>999~w<5=838p14=51b6892b=no1v4:50;6x9<5=i1165=4n8:?25a<1<5k=15:52cc8:3>;d?33<70m<:8589g`=1>16?4>5969>5c<>?27:<1<5:926494=`a9=2=:98n15:52e`8:3>;6><02;63>678:3>;6>k02;63>778:3>;6?>02;63>798:3>;6?002;63>7d8:3>;>:3ln7p}69;29<~X>127m:7hj;<3;5?`a34;178ea>{tik0;6?u2ac82g1=:ih0:<=5rs`a94?4|5hi1=n:4=`c9bc=z{hn1<7=t=`a9e==:ik0j463ne;3:<>{tj80;6?uQb09>b1f2<6k=16ok4nf:?g0?77827no7hj;<1eg?77:278j?4if:?0b1cc<5kh1jh5rsc:94?4|5k=1m552bd82===z{k31<7<5ki1==>4}r`b>5<5s4hj6<77;<`g>c`4??34ho6<>?;|qag?6=:r7io7?68:?aa?`a3tyih7>52z?a`?7>027ii7??0:pf6<72hq6nk4>c59>gccc<5:lo6kh4=2d1>467349m?7hj;46734nm6kk4=cc9bc=z{j:1<74e334n;6o>4=e49bc=:n90mi63cc<5l91jh52b`8245=z{j>1<76=47{4e334n:6o>4=e:9bc=:mo0mi63;4n>0mi63j2;df?8df28::7p}l8;296~;d?3k370mn:0;;?xud13:1>v3l9;3:<>;di3;;<6s|b783>=}:kk0:o952d38a4>;a93;;<63;4n?0mi63ke;335>;b93ln70ln:021?xudk3:1>v3lb;c;?8eb28337p}ld;296~;dl3;2463le;334>{tl:0;6>u2d18bb>;c<3;2463k6;334>{tl<0;6>u2d08bb>;c>3;2463k8;334>{tl>0;6?u2d38bb>;c03;246s|d883>0}:km0mi63l9;df?8e22oo01n?5fd9>`a<6111vio50;0x9ag=90201h:51128yvbe2909w0jm:0;;?8bd28:;7p}kc;296~;ck3;2463kd;334>{tll0;6?u2dd82===:lk0mj6s|dg83>7}:lo0:5552e48245=z{l:1<7<5l?1jk5rsd394?4|5l;1=464=d795574??34nh6kh4}rg0>5<5s4o86<77;4663tyn87>52z?f0?7>027oh7hi;|qf1?6=:r7n97?68:?gf?7782wxi;4?:3y>gc<48k16o?4if:pa2<72;q6h=4<0c9>g3`4<48k16ol4if:pa<<72;q6h?4<0c9>g`ad<6k=16=;h5fd9>53?=99;01<:0;;?xubk3:1>v3jc;3:<>;a93lm7p}jd;296~;bl3;2463i1;335>{tml0;6?u2ed82===:n80:<>5rsdd94?4|5ll1=464=g395544??34l:6<>;;|qe0?6=4e334nj6kk4=c;9b`=z{o?1<7=t=g795f2<5l>1jk52bb8eb>{tn>0;6?u2f58:3>;a>3;246s|11g94?2|V8:n70??e;3`0>;4nj0mj631083>7}:98;1=464=031>4673ty:=?4?:3y>544=90201<58;96kh4}r321?6=:r7:=84>999>54g=no1v3;2463>1`8245=z{8;<6=4={<323?7>027:=l4>009~w47?2909w0?>8;3:<>;69h0:5<5s4;:57?68:?25g<6891v1c8eb>{t98h1<74??34;:=7??0:p54b=838pR:0;;?xu69o0;6?u210d95<><588:6kh4}r314?6=:r7:>=4>999>577=99:0q~?6483>7}:9??1=n:4=0:0>c`53z?220c59>7<1=nl1v<88:181871>3k370?9a;3:<>{t9?21<74??34;=m7hi;|q22<<72;q6=;7518:8940f28:;7p}>6c83>d}:9?h1=n:4=2:b>c`<5;91==<4=057>c`<58<36kh4=24f>cc<5:3>6kk4=2ca>cc<58;m6kh4=032>c`52z?22g999~w40c2909w0?9d;3:<>;6?;0mj6s|17g94?4|58{t9>:1<74??34;<>7??2:p527=838p1<9>:0;;?870;3;;<6s|16094?4|58=96<77;<347?`a3ty:;>4?:3y>525=90201<9;:023?xu6?=0;6?u216695<><58=>6<>?;|q233<721q6=:851b6896>f28:;70<::gd894122ol01>8k:gg896?42oo01>on:gg8947728:97p}>7683>2}:9><1m55216595f2<5;91==?4=24a>cc<5:3?6kk4=2c:>cc<58;;6<>>;|q23=<72>q6=:95a99>52>=9j>01?=5fg9>73e=nl16?465fd9>7d>=nl16=<>5fg9~w41>290;4>o0mi63<978ea>;4i>0mi63>118245=z{8=j6=4={<34=?g?34;7b83>7}:9>i1=464=05g>4673ty:;h4?:4y>52c=9j>01?:5fg9>5=4=no16=:l51128947328:;7p}>7g83>7}:9>o1m55219195<>52z?2<5<61116=5?51128yv7?93:1>v3>8082===:9181==>4}r3;6?6=:r7:4?4>999>5=5=99:0q~?7e;296~X60l16?h<5fg9~w4>a2908wS?7f:?0=<;6:90:019~w7172909w0<80;3`0>;5>l0mj6s|26394?5|5;=;6l64=34e>d><5;=96<77;|q07=<72:q6?>651b6896`d28::70=i2;337>{t;:31<7=t=21:>4e3349mo7??0:?0b7<68=1v>=n:18085413k370=<8;c;?854j3;246s|37794?4|V:<>70=95;3`0>{t;?k1<7d><5:=;6<77;|q02g<72;q6?;l518:8961728:;7p}<6b83>7}:;?i1=464=253>c`52z?02a<61116?:>51138yv51m3:1>v3<6d82===:;>:1==<4}r15b?6=:r78:k4>999>726=9990q~=81;290~X4?816?965fb9>7`e=i:16=5<5sW93;63<8682g1=z{:226=4={<1;3?g?3493m7?68:p7<6=838pR>7?;<1:4?7d<2wx?4<50;0x96?72h201>7m:0;;?xu41:0;6?u238195<><5:3j6<>?;|q0=1<72;q6?4:518:896?f2ol0q~=65;296~;41<0:555238c955752z?0=3<61116?4o51118yv5>?3:1>v3<9682===:;0k1==<4}r1:999>70q~=69;296~;4100:555238`955652z?0=d<61116?4l5fg9~w6?d2909wS=6c:?0=f<6k=1v>o>:18185f;3;2463{t;h81<74??349j?7??0:p7d2=838p1>7l:`:896g228337p}6}:;h81jh523d39b`=:;hl1=464}r1b3?6=:r78m:4>999>7de=no1v>o7:18185f03;24630278mk4if:p7dg=838p1>on:0;;?85fl3lm7p}7}:;hh1=464=2cg>4673ty8mn4?:3y>7de=90201>oj:023?xu4im0;6?u23`f95<><5:km6<>>;|q0e`<72;q6?lk518:896ga28:;7p}7}Y;m901?9=:gg8yv5cj3:1>vP7ad=9j>0q~=j0;296~;4m;0:55523d69b`=z{:o:6=4={<1f5?7>0278i?4>019~w6c42909w0=kb;c;?85b<3;246s|3da94?5|V:oh70=jc;3`0>;bl3ln7p}7}:;li1m5523g295<>52z?0af<>j278jl4>999~w6`52909w0=i2;3:<>;4n00mj6s|3g194?4|5:l86<77;<1e<5:l36<>>;|q0b3<72;q6?k8518:896`f2ol0q~=i7;296~;4n>0:55523gc955752z?0b=<61116?k751128yv5a13:1>v34}r1ef?6=:r78j=4ie:?747<6111v>hl:18185ak3;2463;038eb>{t;on1<74??34>;=7hi;|q0b`<72;q6?kk518:891672ol0q~=if;296~;4no0:55524129556;<7>52z?745<611168=?51128yv2793:1>v3;0082===:<981==>4}r637?6=:rT?<>5232`9b`=zuk9>?7>57;0e>64|@:8i7)==1;c7?!7>8399<6a<0783>>o5k>0;66a<0583>>oa03:17b==2;29?j55>3:17d7n:188f62?290:6=4?{%115?`c3A9?;6F<2c9lbf<722wi??<50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm2g694?3=83:p(><>:gc8L6203A99n6*>0b83?l?e2900el=50;9j=2<722cj47>5;n3`0?6=3th8>;4?:483>5}#;;;1jl5G3558L64e3`3i6=44i`194?=n1>0;66gn8;29?j7d<3:17pl=d183>6<729q/???5f89K711<@:8i7)??c;28m<1=831bm54?::m2g1<722wi>i?50;194?6|,:8:6k74H264?M55j2.:5<50z&0645;|`1`1<72:0;6=u+3339b<=O;==0D>>i6k=0;66sm2e794?5=83:p(><>:g;8L6203A99n6*>0b83?l?02900el650;9l5f2=831vn?j9:180>5<7s-99=7h6;I173>N4:k1/==m50:k:3?6=3`k36=44o0a7>5<53;294~"4:80m56F<469K77d<,8:h6=5f9683>>of03:17b?l4;29?xd5ll0;6>4>:2yK77d<,:8:6<7=;hce>5<=7E=;7:J06g=nno0;66g>0183>>i6110;66s|ag83>7}Yio16?9j51128yvd72909wSl?;<17`?`a3ty8o6<77;|a6ab=8391=7=tH20a?!5593;2>6gnf;29?ld72900c>>m:188f62c29086=4?{%115?53>2B88:5G33`8mc`=831b==>50;9l5<>=831vlh50;0xZd`<5:>o6<>?;|qa4?6=:rTi<63<4e8eb>{t;9h1<75<42808wE==b:&064<61;1bmk4?::ka4?6=3f9;n7>5;c17`?6=;3:15<5<7}Yj916?9j5fg9~w66e2909wS=?b:?00a<6111vqoo5+33395<4>i48k0;66l<4e83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xufn3:1>vPnf:?00a<6891vo>50;0xZg6<5:>o6kh4}r13f?6=:rT86}O;;h0(><>:0;1?lga2900eo>50;9l75d=831i?9j50;194?6|,:8:6>:9;I173>N4:k1bjk4?::k245<722e:554?::pec<72;qUmk5235f95567}Y;9h01>:k:0;;?x{e:m31<7=51;1xL64e3-99=7?62:kbb?6=3`h;6=44o22a>5<o6=4<:183!55939?:6F<469K77d:k:023?xue83:1>vPm0:?00a"4:80:5?5fag83>>oe83:17b=?b;29?g53l3:1?7>50z&064<4?:188k4??2900q~oi:181[ga349?h7??0:pf5<72;qUn=5235f9bc=z{::i6=4={_13f>;45<5<5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj;o86=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm2d794?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5m>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f7ce29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>ih50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?=950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`04=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`04<<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3da>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3d`>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3dg>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3df>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3de>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb223>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb222>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb221>5<>290;w)==1;1f?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66g>0283>>o68=0;66g>0483>>o68?0;66a>9983>>{e;;21<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<2883>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl<2`83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xu>i3:19vP6a:?067;4n8:?06144ie:pb=<72jqUj5523309=2=::o>15:523349=2=::m:15:522e39=2=::m815:522e19=2=::m>15:522e79=2=::m<15:522e59=2=z{83>6=4;{<177o<;<0e0?g43499:7o<;|q1g2<72;qU>n94=3d;>c`55z?1`5<6k=16>i65ag9>6`7=99:01?ji:gg897`f2oo0q~p1?j<:0a7?84ci3h;701}::m>1=n:4=3fa>g6<5;o<6kh4=3df>cc55z?1`0<6k=16>im5b19>6`?=no16?=75fg9>6c`=nl1v?mj:18684c>3;h863=de8a4>;5mk0mj63<068245=:;9:1jh5rs3ae>5<3s48o;7?l4:?1``h>50;1x97b>2hl01?k>:0;;?84b;3;;<6s|2d094?5|5;nj6lh4=3g0>4??348n97??0:p6`2=839p1?jm:`d897c2283370{t:l<1<7=t=3f`>d`<5;o<6<77;<0f=?7782wx>h650;1x97bc2hl01?k6:0;;?84bj3;;<6s|2dc94?4|5;nn6lh4=3ga>4??3ty9in4?:3y>6a6=i116>ih518:8yv4bl3:1>v3=d08b<>;5l1085<5s48o>7o7;<0g=?57j2wx>hh50;0x97b42h201?jn:22a?xu5n90;6?u22e69e==::mh1?=l4}r0e5?6=:r79h84n8:?1`f<48k1v?h=:18184c>3k370{t:o91<7d><5;nn6>>m;|q1b1<72:q6>k:51b6896622oo01>>7:gg8yv4a=3:1>v3=f58b<>;5n?0:555rs3d4>5<5s48m877m;<0e02wx>k750;7x96422ol01?h7:023?84a>3ln70=?2;3:<>;4:10mj6s|2gc94?4|5;lj6<77;<136?`a3ty9jo4?:3y>6cd=90201>>=:023?xu5nj0;6?u22ga95<><5::96<>>;|q1ba<72;q6>kj518:8966528:97p}=fd83>7}::oo1=464=221>4643ty9jk4?:3y>6c`=90201>>=:027?xu4890;6?u231295<><5::96<>:;|q044<72;q6?=?518:8966528:=7p}<0583>7}Y;9>01>>::0;;?xu48?0;6?uQ3148966f28337p}<0683>7}:;9=1=464=22b>c`52z?04=<61116?=751128yv5713:1>v3<0882===:;9k1==>4}r116?6=;rT8>?5233095f2<5:836<>?;|q066<72;q6??<59c9>773=9020q~==4;296~;4:=0:5552337955652z\063=:;;<1=n:4}r113?6=:r78>;46b:?06d<6111v><7:18185503;2463<2`8eb>{t;;31<74??3499m7??0:~f60429096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f63729096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f63629086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?8k50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?8h50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?;>50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`01=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`01<<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:7>52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<;57>52;294~"4:80:5<52;294~"4:80:5<9983>>{en:0;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd38j0;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd1i3:1>7>50z&064<68k1C?994H20a?l`b2900c<77:188yg0>29096=4?{%115?77j2B88:5G33`8mcc=831d=4650;9~f3>=8381<7>t$202>46e3A9?;6F<2c9jb`<722e:554?::a22<72;0;6=u+333955d<@:><7E==b:kea?6=3f;247>5;|`51?6=:3:15<5<5290;w)==1;33f>N4<>1C??l4igg94?=h9021<75rb7194?4=83:p(><>:02a?M53?2B8>o5ffd83>>i6110;66sm6383>7<729q/???511`8L6203A99n6gie;29?j7>03:17pl91;296?6=8r.8><4>0c9K711<@:8i7dhj:188k4??2900qo8?:181>5<7s-99=7??b:J002=O;;h0ekk50;9l5<>=831vn8h50;094?6|,:8:6<>m;I173>N4:k1bjh4?::m2==<722wi9h4?:383>5}#;;;1==l4H264?M55j2cmi7>5;n3:h7>52;294~"4:80:5<81<7<50;2x 64628:i7E=;7:J06g=nnl0;66a>9983>>{e?80;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd083:1>7>50z&064<68k1C?994H20a?l`b2900c<77:188yg0a29096=4?{%115?77j2B88:5G33`8mcc=831d=4650;9~f3c=8381<7>t$202>46e3A9?;6F<2c9jb`<722e:554?::a2a<72;0;6=u+333955d<@:><7E==b:kea?6=3f;247>5;|`5g?6=:3:15<5<5290;w)==1;33f>N4<>1C??l4igg94?=h9021<75rb7494?4=83:p(><>:02a?M53?2B8>o5ffd83>>i6110;66sm39394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm41594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3ec94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3e094?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3b;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36a94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36094?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3b`94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3ed94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3cc94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37694?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3e594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm39:94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3`294?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3dg94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm39d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm38394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36494?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22494?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22794?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm27594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm27394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm24`94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm24794?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25194?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22f94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm23d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66s|2183>7}:;4`<5:?m6kk4}r04>5<5s49>n7<8;<157?`b3ty?87>52z\70>;2k3ln7p};5;296~X3=27>h7hj;|q72?6=:rT?:63:e;df?xu3?3:1>vP;7:?6b?`b3ty?47>52z\7<>;183ln7p};9;296~X3127==7hj;|q7e?6=:rT?m6392;df?xu3j3:1>vP;b:?57?`b3ty?o7>52z\7g>;1<3ln7p};d;296~X3l27=97hj;|q7a?6=:rT?i6396;df?xu3n3:1>vP;f:?53?`b3ty><7>52z\64>;103ln7p}:1;296~X2927=57hj;|q67?6=:rT>?639a;df?xu2<3:1>vP:4:?5f?`b3ty>97>52z\61>;1k3ln7p}:6;296~X2>27=h7hj;|q63?6=:rT>;639e;df?xu203:1>vP:8:?5b?`b3ty>57>52z\6=>;083ln7p}:a;296~X2i27<=7hj;|q6f?6=:rT>n6382;df?xu2k3:1?v3<5585?827l3<018m518:8yv3c2908w0=:4;58916c2>169i4>999~w0c=839p1>;;:99>05b=027>i7?68:p1c<72:q6?8:59:?74a<>34?m6<77;|q54?6=;r78994n;<63`?g<5?:1=464}r42>5<4s49>87l4=52g>g=:>80:555rs7094?5|5:??6n5241f9g>;1:3;246s|6283>6}:;<>1h63;0e8g?80428337p}94;297~;4==0n70:?d;g8932=9020q~8::180852<3l019>k:g9>20<6111v;850;0x916c28:01;8518:8yv002908w0=:4;32?827l3;:7088:0;;?xu103:1?v3<55827>;38m0:?6398;3:<>{t>00;6?u2346951=:>00:555rs7c94?4|5:??6<84=7c95<>8:?5g?7>02wx:i4?:3y>05b=9016:i4>999~w3c=838p19>k:0c893c=9020q~8i:181827l3;i708i:0;;?xu083:1>v3;0e82g>;083;246s|7083>6}:;<>1=i5241f95a=:?80:555rs6094?5|5:??64c<5>81=464}r50>5<2s49>879<;<63`?14349>n79<;<161?14349>?77n;|q;2?6=:r789o487:?010<0l2wx5i4?:3y]=a=:1l0mi6s|9d83>7}:;50;4x963320i019>k:8a8963e20i01>;::g:896342o201l>518:8yvg62909wSo>;cc34k96<77;|qe6?6=:rTm>63i3;df?xua;3:1>v3<5`8a5>;a;3;246s|11594?4|5:??6<>8;<161?77?2wx==k50;0x963e28:n70=:a;33a>{t98n1<747c349>m7?>d:p572=838p1>;;:007?852j3;986s|12794?4|5:?i6<=:;<16e?74n2wx=9>50;0x963328>;70=:b;374>{t9=?1<7422349>m7?;5:p504=838p1>;m:071?852i3;3j6s|19694?4|5:?i6<6;;<16e?7?m2wx=n;50;0xZ4e23489j7?68:p5f0=838pR02wx=n650;0xZ4e?3488:7?68:p5f?=838pR02wx=no50;0xZ4ef3488h7?68:p5fd=838pR02wx=nm50;0xZ4ed348?57?68:p5fb=838pR02wx=nk50;0xZ4eb348>97?68:p5f`=838pR02wx=i>50;0xZ4b7348==7?68:p5a7=838pR;<053?7>02wx=i=50;0x963328n870:?d;3g7>{t:;l1<74e23489j7hj;|q170<72;q68=j51b4897522oo0q~<<6;296~;38m0:o5522249b`=z{;9<6=4={<63`?7d1279?:4ie:p66b=838p19>k:0ab?844l3ln7p}=4283>7}:<9n1=nl4=360>cc52z?74a<6kj16>975fd9~w72a2909w0:?d;3``>;505b=9jl01?;m:gg8yv4193:1>v3;0e82`5=::?;1jh5rs344>5<5s4>;h7?k1:?122:=50;0x96332;=870:?d;047>{t:j;1<77ga349>97k:3ce?852=38i<6s|2b594?4|5=:o6?m8;<167?4d?2wx?=:50;0x916c2::?70=:3;130>{t;9<1<7661349>?7=?6:p75e=838p1>;;:22`?85283ln7p}<1283>7}:;<>1?<=4=27;>cc7>52z?016<4:;16?875fg9~w6412909w0=:3;112>;4=80mj6s|35d94?4|5:?>6:64=272>4??3ty89=4?:3y>706=90201>;>:023?xu4=?0;6?u234796gg<5:?26<77;|q012<72;q6?86518:8963>28:;7p}<5b83>7}Y;8>:0;;?xu4=m0;6?u234g95<><5:<;6kh4}r16a?6=:r789k4>999>736=99:0q~=91;296~;4>90:55523739b`=z{:<96=4={_156>;4>=0:555rs247>5<5s49=?7?68:?02170=:5;151>;4>>0:555rs24;>5<5sW9=463<6882===z{:<26=4={<16e?51=278:44ie:p727=838pR>9>;<146?7>02wx?:<50;0x963f2:=:70=82;df?xu4?<0;6?uQ3678961128337p}<7783>7}:;cc52z\032=:;>21jh5rs25;>5<5s49>m7=83:?03=<6111v>96:181[501278;n4>999~w61d2909w0:?d;14=>;4?j0mi6s|39294?4|V:2;70=71;3:<>{t;1;1<761a3493=7hj;|q0<7<72;qU?5<4=2:0>cc52z?01d<4?o16?5=518:8yv5??3:1>vP<869>7=>=9020q~=78;296~;4=h084:5239:9b`=z{:2i6=4={_1;f>;40o0:555rs2:e>5<5s49>n7=7b:?050;0xZ6?73492=7?68:p7<7=838p1>;n:2;3?85>93ln7p}<9e83>7}Y;0n01>7j:gg8yv5>m3:1?v3;0e80=f=:;4??3ty85k4?:3y]7<`<5:k;6<77;|q0e5<72;q6?8o538a896g72oo0q~=m7;296~X4j>16?oo518:8yv5ei3:1>v3;0e80f2=:;kk1jh5rs2a;>5<5sW9h463mn;<1`f?7>02wx?nl50;0x916c2:o>70=lb;df?xu4kj0;6?uQ3ba896b528337p}7}:<9n1?nm4=2f1>cc52z\0`6=:;m>1jh5rs2f7>5<4s49>87=k3:?01d<4l:16?i:518:8yv5c=3:1>vP7a1=9020q~=k7;296~;38m08h8523e59b`=z{:n36=4={_1g<>;4lh0:555rs2fb>5<5s4>;h7=k8:?0`d{t;mo1<7jm;<1gb?`b3ty8ii4?:3y]7`b<5:on6<77;|q0a`<72;q6?8o53da896cb2oo0q~:?6;296~X38?168=9518:8yv27?3:1>v3<548746=:<9=1jh5rs52;>5<5sW>;463;088ea>{t<931<716434>;57?68:p05d=838pR9>m;<63g?`b3ty?702=<9k019>k:52b?852j3>;m63;0b82===zugo3>7>52zJ06g=zfl286=4={I11f>{im1>1<73:1=vF<2c9~j`>0290:wE==b:ma=>=83;pD>o5rnd:a>5<6sA99n6sae9a94?7|@:8i7p`j8e83>4}O;;h0qck7e;295~N4:k1vbh6i:182M55j2wei4>50;3xL64e3tdn5<4?:0yK77d7>51zJ06g=zfl386=4>{I11f>{im0>1<7?tH20a?xhb1<0;6>3:1=vF<2c9~j`?0290:wE==b:ma<>=83;pD>o5rnd;a>5<6sA99n6sae8a94?5|@:8i7p`j9e83>6}O;;h0qck6e;297~N4:k1vbh7i:180M55j2weil>50;1xL64e3tdnm<4?:2yK77d7>53zJ06g=zflk86=4<{I11f>{imh>1<7=tH20a?xhbi<0;69uG33`8ykcf>3:1>vF<2c9~j`g02908wE==b:mad>=83>pD>o5rndca>5<5sA99n6sae`a94?4|@:8i7p`jae83>7}O;;h0qckne;296~N4:k1vbhoi:181M55j2weio>50;0xL64e3tdnn<4?:2yK77d7>53zJ06g=zflh86=49{I11f>{imk>1<7=tH20a?xhbj<0;63:1>vF<2c9~j`d0290:wE==b:mag>=83o5rnd`a>5<6sA99n6saeca94?4|@:8i7p`jbe83>6}O;;h0qckme;297~N4:k1vbhli:180M55j2wein>50;1xL64e3tdno<4?:2yK77d7>53zJ06g=zfli86=4<{I11f>{imj>1<7=tH20a?xhbk<0;6>uG33`8ykcd>3:1=vF<2c9~j`e0290:wE==b:maf>=83;pD>o5rndaa>5<6sA99n6saeba94?7|@:8i7p`jce83>4}O;;h0qckle;295~N4:k1vbhmi:182M55j2weii>50;3xL64e3tdnh<4?:0yK77d7>53zJ06g=zfln86=4={I11f>{imm<1<70;6?uG33`8ykcc03:1>vF<2c9~j`b>2909wE==b:maag=838pD>o5rndfg>5<5sA99n6saeeg94?4|@:8i7p`jdg83>6}O;;h0qckj0;297~N4:k1vbhk>:180M55j2weih<50;1xL64e3tdni>4?:2yK77d53zJ06g=zflo>6=4={I11f>{iml<1<70;6?uG33`8ykcb03:1>vF<2c9~j`c>2909wE==b:ma`g=83;pD>o5rndgg>5<6sA99n6saedg94?7|@:8i7p`jeg83>4}O;;h0qcki0;295~N4:k1vbhh>:182M55j2weik<50;3xL64e3tdnj>4?:0yK77d54zJ06g=zfll>6=4>{I11f>{imo<1<70;6vF<2c9~j``>290:wE==b:macg=838pD>o5rnddg>5<6sA99n6saegg94?4|@:8i7p`jfg83>4}O;;h0qch?0;296~N4:k1vbk>>:182M55j2wej=<50;0xL64e3tdm<>4?:0yK77d52zJ06g=zfo:>6=4>{I11f>{in9<1<70;6vF<2c9~jc6>290:wE==b:mb5g=839pD>o5rng2g>5<6sA99n6saf1g94?4|@:8i7p`i0g83>4}O;;h0qch>0;296~N4:k1vbk?>:182M55j2wej<<50;0xL64e3tdm=>4?:0yK77d52zJ06g=zfo;>6=4>{I11f>{in8<1<7=tH20a?xha9>0;6vF<2c9~jc7>290:wE==b:mb4g=838pD>o5rng3g>5<6sA99n6saf0g94?4|@:8i7p`i1g83>4}O;;h0qch=0;296~N4:k1vbk<>:182M55j2wej?<50;0xL64e3tdm>>4?:0yK77d52zJ06g=zfo8>6=4>{I11f>{in;<1<7:tH20a?xh6i=0;6=83;pD>o5rn0ca>5<6sA99n6sa1`a94?7|@:8i7p`>ae83>4}O;;h0qc?ne;295~N4:k1vb50;3xL64e3td:n<4?:0yK77d7>51zJ06g=zf8h86=4>{I11f>{i9k>1<7?tH20a?xh6j<0;6o5rn0`g>5<6sA99n6sa1cg94?7|@:8i7p`>bg83>4}O;;h0qc?l0;295~N4:k1vb:182M55j2we=n<50;3xL64e3td:o>4?:0yK77d51zJ06g=zf8i=6=4>{I11f>{i9j=1<7?tH20a?xh6k10;6o5rn0ae>5<6sA99n6sa1e394?7|@:8i7p`>d383>4}O;;h0qc?k3;295~N4:k1vb51zJ06g=zf8n36=4>{I11f>{i9m31<7?tH20a?xh6lh0;6o5rn0g3>5<6sA99n6sa1d394?7|@:8i7p`>e383>4}O;;h0qc?j3;295~N4:k1vb51zJ06g=zf8o36=4>{I11f>{i9l31<7?tH20a?xh6mh0;6o5rn40b>5<6sA99n6sa53`94?7|@:8i7p`:2b83>4}O;;h0qc;=d;295~N4:k1vb8?=4?:0yK77d51zJ06g=zf<9m6=4>{I11f>{i==:1<7?tH20a?xh2<80;6o5rn464>5<6sA99n6sa55:94?7|@:8i7p`:4883>4}O;;h0qc;;a;295~N4:k1vb8:m:182M55j2we99m50;3xL64e3td>8i4?:0yK77d51zJ06g=zf<>m6=4>{I11f>{i=<:1<7?tH20a?xh2=80;6o5rn474>5<6sA99n6sa54:94?7|@:8i7p`:5883>4}O;;h0qc;:a;295~N4:k1vb8;m:182M55j2we98m50;3xL64e3td>9i4?:0yK77di7>51zJ06g=zf{I11f>{i=?:1<7?tH20a?xh2>80;6o5rn444>5<6sA99n6sa57:94?7|@:8i7p`:6883>4}O;;h0qc;9a;295~N4:k1vb88m:182M55j2we9;m50;3xL64e3td>:i4?:0yK77d51zJ06g=zf<{I11f>{i=>:1<7?tH20a?xh2?80;6o5rn454>5<6sA99n6sa56:94?7|@:8i7p`:7883>4}O;;h0qc;8a;295~N4:k1vb89m:182M55j2we9:m50;3xL64e3td>;i4?:0yK77d51zJ06g=zf<=m6=4>{I11f>{i=1:1<7?tH20a?xh2080;64290:wE==b:m1=2=83;pD>o5rn4:4>5<6sA99n6sa59:94?7|@:8i7p`:8883>4}O;;h0qc;7a;295~N4:k1vb86m:182M55j2we95m50;3xL64e3td>4i4?:0yK77d51zJ06g=zf<2m6=4>{I11f>{i=0:1<7?tH20a?xh2180;6:3:1=vF<2c9~j0?4290:wE==b:m1<2=83;pD>o5rn4;4>5<6sA99n6sa58:94?7|@:8i7p`:9883>4}O;;h0qc;6a;295~N4:k1vb87m:182M55j2we94m50;3xL64e3td>5i4?:0yK77d51zJ06g=zf<3m6=4>{I11f>{i=h:1<7?tH20a?xh2i80;6o5rn4c4>5<6sA99n6sa5`:94?7|@:8i7p`:a883>4}O;;h0qc;nb;295~N4:k1vb8ol:182M55j2we9lj50;3xL64e3td>mh4?:0yK77d51zJ06g=zf{I11f>{i=k;1<7?tH20a?xh2j;0;6o5rn4`;>5<6sA99n6sa5c;94?7|@:8i7p`:b`83>4}O;;h0qc;mb;295~N4:k1vb8ll:182M55j2we9oj50;3xL64e3td>nh4?:0yK77d51zJ06g=zf{I11f>{i=j;1<7?tH20a?xh2k;0;6o5rn4a;>5<6sA99n6sa5b;94?7|@:8i7p`:c`83>4}O;;h0qc;lb;295~N4:k1vb8ml:182M55j2we9nj50;3xL64e3td>oh4?:0yK77d51zJ06g=zf{I11f>{i=m;1<7?tH20a?xh2l;0;6o5rn4f;>5<6sA99n6sa5e;94?7|@:8i7p`:dc83>4}O;;h0qc;kf;295~N4:k1vb8k=:182M55j2we9h=50;3xL64e3td>i;4?:0yK77d51zJ06g=zf{I11f>{i=l31<7?tH20a?xh2mk0;6o5rn4d5>5<6sA99n6sa5g594?7|@:8i7p`:f983>4}O;;h0qc9ne;295~N4:k1vb:oi:182M55j2we;o>50;3xL64e3td7>51zJ06g=zf>h86=4>{I11f>{i?k>1<7?tH20a?xh0j<0;63:1=vF<2c9~j2d0290:wE==b:m3g>=83;pD>o5rn6`a>5<6sA99n6sa7cg94?7|@:8i7p`8bg83>4}O;;h0qc9l0;295~N4:k1vb:m>:182M55j2we;n<50;3xL64e3td4?:0yK77d51zJ06g=zf>i<6=4>{I11f>{i?jh1<7?tH20a?xh0kj0;6o5rn6f7>5<6sA99n6sa7e794?7|@:8i7p`8d783>4}O;;h0qc9k7;295~N4:k1vb:j7:182M55j2we;i750;3xL64e3td51zJ06g=zf>nh6=4>{I11f>{i?mn1<7?tH20a?xh0lo0;6o5rn6g6>5<6sA99n6sa7d494?7|@:8i7p`8e683>4}O;;h0qc9j8;295~N4:k1vb:k6:182M55j2we;ho50;3xL64e3td51zJ06g=zf>oo6=4>{I11f>{i?ll1<7?tH20a?xh0n90;6o5rn6d5>5<6sA99n6sa7g594?7|@:8i7p`8f983>4}O;;h0qc9i9;295~N4:k1vb:hn:182M55j2we;kl50;3xL64e3td51zJ06g=zf>ln6=4>{I11f>{i?ol1<7?tH20a?xh?890;6793:1=vF<2c9~j=65290:wE==b:m<55=83;pD>o5rn925>5<6sA99n6sa81594?7|@:8i7p`70983>4}O;;h0qc6?9;295~N4:k1vb5>n:182M55j2we4=l50;3xL64e3td351zJ06g=zf1:n6=4>{I11f>{i09l1<7?tH20a?xh?990;6693:1=vF<2c9~j=75290:wE==b:m<45=83;pD>o5rn934>5<6sA99n6sa80:94?7|@:8i7p`71c83>4}O;;h0qc6>c;295~N4:k1vb5j8:182M55j2we4i650;3xL64e3td3h44?:0yK77d51zJ06g=zf1nh6=4>{I11f>{i0mo1<7?tH20a?xh?lo0;6b83:1=vF<2c9~j=c5290:wE==b:m<`5=83;pD>o5rn9g5>5<6sA99n6sa8d594?7|@:8i7p`7e983>4}O;;h0qc6jb;295~N4:k1vb5kk:182M55j2we4hk50;3xL64e3td3ik4?:0yK77d51zJ06g=zf1l96=4>{I11f>{i0o91<7?tH20a?xh?n<0;6a>3:1=vF<2c9~j=`0290:wE==b:m=83;pD>o5rn9da>5<6sA99n6sa8ga94?7|@:8i7p`7fe83>4}O;;h0qc6ie;295~N4:k1vb5hi:182M55j2we5=>50;3xL64e3td2<<4?:0yK77d7>51zJ06g=zf0:=6=4>{I11f>{i19=1<7?tH20a?xh>800;6290:wE==b:mffg=83;pD>o5rncag>5<6sA99n6sabbg94?7|@:8i7p`mcg83>4}O;;h0qclk0;295~N4:k1vboj<:182M55j2weni:50;3xL64e3tdih84?:0yK77d51zJ06g=zfkn36=4={I11f>{ijm31<7?tH20a?xhelh0;6o5rncg3>5<6sA99n6sabd394?7|@:8i7p`me383>7}O;;h0qclj3;295~N4:k1vbok;:182M55j2wenh;50;0xL64e3tdii;4?:0yK77d51zJ06g=zfko36=4={I11f>{ijl31<7?tH20a?xhemh0;6vF<2c9~jgcd2909wE==b:mf`b=838pD>o5rncd3>5<6sA99n6sabg394?7|@:8i7p`mf383>4}O;;h0qcli3;295~N4:k1vboh;:182M55j2wenk;50;3xL64e3tdij;4?:0yK77d51zJ06g=zfkl36=4>{I11f>{ijo31<7?tH20a?xhenh0;6?uG33`8ykdaj3:1>vF<2c9~jg`d2909wE==b:mfcb=838pD>o5rnb23>5<6sA99n6sac1394?7|@:8i7p`l0383>4}O;;h0qcm?3;295~N4:k1vbn>;:182M55j2weo=;50;3xL64e3tdh<;4?:0yK77d51zJ06g=zfj:26=4>{I11f>{ik9k1<7?tH20a?xhd8k0;6o5rnb32>5<6sA99n6sac0094?7|@:8i7p`l1283>4}O;;h0qcm>4;295~N4:k1vbn?9:182M55j2weo<950;3xL64e3tdh=54?:0yK77d51zJ06g=zfj;h6=4>{I11f>{ik8n1<7?tH20a?xhd9l0;6o5rnb07>5<6sA99n6sac3794?7|@:8i7p`l2783>4}O;;h0qcm=7;295~N4:k1vbn<7:182M55j2weo?750;3xL64e3tdh>l4?:0yK77d51zJ06g=zfj8h6=4>{I11f>{ik;n1<7?tH20a?xhd:l0;6o5rnb17>5<6sA99n6sac2794?7|@:8i7p`l3783>4}O;;h0qcm<9;295~N4:k1vbn=n:182M55j2weo>l50;3xL64e3tdh?n4?:0yK77d51zJ06g=zfj9n6=4>{I11f>{ik:l1<7?tH20a?xhd<80;6o5rnb6a>5<6sA99n6sac5f94?7|@:8i7p`l4d83>4}O;;h0qcm;f;295~N4:k1vbn;?:182M55j2weo8?50;3xL64e3tdh9?4?:0yK77d?7>51zJ06g=zfj??6=4>{I11f>{ik0;6290:wE==b:mg0g=83;pD>o5rnb7g>5<6sA99n6sac4g94?7|@:8i7p`l5g83>4}O;;h0qcm90;295~N4:k1vbn8>:182M55j2weo;<50;3xL64e3tdh:84?:0yK77d51zJ06g=zfj<<6=4>{I11f>{ik?31<7?tH20a?xhd>h0;6o5rnb53>5<6sA99n6sac6394?7|@:8i7p`l7383>4}O;;h0qcm83;295~N4:k1vbn9;:182M55j2weo:;50;3xL64e3tdh;;4?:0yK77d51zJ06g=zfj=36=4>{I11f>{ik>31<7?tH20a?xhc>l0;6vF<2c9~ja162909wE==b:m`24=838pD>o5rne56>5<5sA99n6sad6494?4|@:8i7p`k7683>7}O;;h0qcj88;296~N4:k1vbi96:181M55j2weh:o50;0xL64e3tdo;o4?:3yK77d52zJ06g=zfm=o6=4={I11f>{il>o1<7?tH20a?xhc?o0;6?uG33`8ykb?83:1>vF<2c9~ja>62909wE==b:m`=4=838pD>o5rne:6>5<5sA99n6sad9494?7|@:8i7p`k8683>4}O;;h0qcj78;295~N4:k1vbi66:182M55j2weh5o50;3xL64e3tdo4o4?:0yK77d51zJ06g=zfm2o6=4>{I11f>{il1o1<7?tH20a?xhc0o0;683:1=vF<2c9~ja?6290:wE==b:m`<2=83;pD>o5rne;;>5<6sA99n6sad8;94?7|@:8i7p`k9c83>4}O;;h0qcj6c;295~N4:k1vbi7k:182M55j2wvqpNOCz3150sO@Cy3yEFWstJK \ No newline at end of file +$53;4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGIL=>0MCJ:4:CM@32374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW1>DFK];>7OOLT378FDESz=1II_\:;CGQV50=5:@FVW713KOY^<|j;CGQV4tXWfx;<=>i;CGQV4tXWfx;<=>>f:@FVW7uWVey<=>?249AAWT5=2HN^_=:;CGQV13DBZ[UTc>?010g?GCUZVUd~=>?02f8F@TUWVey<=>?4e9AAWTXWfx;<=>:d:@FVWYXg{:;<=89;CGQVw`>3KOY^hPN1c8F@TUzoUE<<84BDPQvwcE6>D90O5C;;BC@P7=DM:1H@F74CMI1\ZOHJl1H@F1H@FQISCa8GIMXNZHTEO[I7:AOOZOHJj1H@FQFOC]JFP@43JFY?6MAT89@KHKN\]OO;6M]E@VF@7=D[?1H`ho}1g9@h`gu9VUjbi>?01d8Gicfz8Ujbi>?0132?A3Bf|h6:2<5J5:GP85823LY7=3;4ER>1:0=B[59596K\<5<7?@UX8m1N_R>P_`lg4567m2OXS=QPaof34566m2OXS=QPaof34565m2OXS=QPaof34564m2OXS=QPaof34563m2OXS=QPaof34562m2OXS=QPaof34561<2OXS95JS^0g?@UX:VUjbi>?01g8AVY5WVkeh=>?00g8AVY5WVkeh=>?0368AVY4l2OXS>QPaof3456b3LYT?RQnne23457b3LYT?RQnne2345433LYT8i5JS^6\[dhc89:;i6K\_5]\ekb789::i6K\_5]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=6=5<=B[VGjhiQPaef3456XWhdo<=>?1`9FWZKflmUTmij?012\[dhc89:;=<74ER]NeabXWhno<=>?_^cm`56798k0I^QBaef\[dbc89:;SRoad123547>3LYTAljk_^cg`5678VUjbi>?033b?@UXEhnoSRokd1234ZYffm:;i;DQ\UZ7Xg{:;<=?>f:GP[TY6Wfx;<=>>2d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>d9FWZYflm:;<=2;>49FPDELl2O_MNEPaof3456b3L^JOFQnne23457b3L^JOFQnne23454b3L^JOFQnne23455b3L^JOFQnne23452b3L^JOFQnne23453b3L^JOFQnne2345023LUBCOo4E^KLFZOE]O80I=4Es0a?@tXKeaTECX>5:Gq[K633OIGG?5ID59E@FC43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ21>OFKZ8>7DOLS268MGSA12CEEY][AUG0?LHQ=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?10d8MJDBZ[Uecy>?000e?LIEM[XTbbz?01300>OHJD>0EBL\4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=?5[ilglqqGXWfx;<=>>0038Plkbg|~JSRa}0123647<\`gncxzN_^mq4567;8;0XdcjotvB[Ziu89:;8?5038Plkbg|~JSRa}0123247<\`gncxzN_^mq4567?8;0XdcjotvB[Ziu89:;4?999Wmhch}}Hi7YgbenwwFUtb9:1_e`k`uu@Sv`YXg{:;<=?;;UknajssJYxnSRa}0123542<\`gncxzMPsg\[jt789:9==5[ilglqqDXWfx;<=>>1:Vji`ir|KUTc>?01325>Rnele~xOQPos23454692^bahaztC]\kw67899h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1]\Lm4VQC\[dhc89:;h6X_A^]bja6789;i7[^N_^mq4567k2\[MRQ`r12344e<^YKTSb|?0121g>PWIVUd~=>?02a8RUGXWfx;<=>;c:TSEZYhz9:;<8m4VQC\[jt789:=96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669m1]ei\NMBQTV32lm2\bh_OBCRUQ21m6m2\bh_OBCRUQ21m5m2\bh_OBCRUQ21m4m2\bh_OBCRUQ21m3m2\bh_OBCRUQ21m2m2\bh_OBCRUQ21m1m2\bh_OBCRUQ21m0m2\bh_OBCRUQ21m?9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON<2RD^?84XRVOMG12RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0?010;?Zkrp9:;<>5lnu08gv5?01310>ohjd>0ebl|9:lB@jssDL:j7cOKotvOA57e3gKOcxzCE132f>hFLf@H>>2c9mEAir|EO;=>k4n@FlqqJB8VEIYK74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKi0bL]PIN@\KGSAj2dJ_R``t1235f=iIZUecy>?003`?kGTWge<=>>2b9mEVYig}:;<<=l;oCP[kis89::8n5aAR]mkq6788?h7cO\_omw4566>>1eMb{{ODa8jDir|FOTCO[I5:lAAWT13gHN^_?6;o@FVWYA[Ko0bOK]R^DPFZIE]O30bOK]R^KLF`=iJLXYSDAM_H@VB1=iKHYo7cMNS^AooZOI^8=0bNO\_O2:?kEF[VEIYKm4nBCP[kis89::h6`LAR]mkq6788;=7cJ[ABIb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^Jh5aDUC@OZYhz9:;hCagFNSb|?012f?kBnfEOTc>?0135?kBnfFO<7cJfnNG23>hCagEN>:5aDhlLA6d>2028jAir|FOTbbz?013055=iLfCHQaou23442682dOcxz@E^llp5679<;;7cJ`uuMF[kis89:::<>4nEmvpJCXff~;<=?8119m@jssGLUecy>?00:24>hCg|~DIR``t1235<2hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679hKLZUd~=>?1868jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNi5aUEQ\MJDXGK_Mo6`ZDR]bja6789n0bXJ\_`lg45679m1eYI]Paof34565k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7d:lUIDYXg{:;<=7l;oTNEZgil9:;hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_M?6`XE69mS@YA[Ki0bZKPFR@\MGSA;2xja55wc8734}jzHIz:>>o4@Az2=44g1289?:;>m:0`451}i;<>1=6`<5485?!52;39?i6s\968012<6i?0:?9890c82f2713m;2=7>51;3xW<>=;<=1=l85126525d=9k=:86x[31d94?7=938m8v]68;163?7f>3;88;8?b;3a342<,:9=6<6k;%3b3?26?2h:5<4?:51914v<55;'5=e=;8n0e4=50;9l7=?=831b?;h50;9l05`=831b?h;50;9l7`7=831d?i;50;9j7=6=831d?i?50;9l7=4=831b?im50;9j7=d=831d?:?50;9l72g=831d?i=50;9j047=831d?h950;9l7f6=831d?;;50;9l73d=831b?l850;9j5;n1ga?6=3`o<6=44o2;3>5<5<5<5<6=4+1`;95f25<#9h31=n:4n0c;>4=5<#9h31=n:4n0c;>6=5<#9h31=n:4n0c;>0=5<#9h31=n:4n0c;>2=5<#9h31=n:4n0c;><=5<#9h31=n:4n0c;>g=a8870>h6i10;76g;3;29 4g>2=>0b5$0c:>1254i2d94?"6i00?86`>a987?>o4m3:1(j50;&2e<<3<2d:m549;:k0g?6=,8k269:4n0c;>2=a8870>h6i10376g2=>0b5$0c:>12a98`?>o4>3:1(c=a8870>h6i10:<65f4`83>!7f13>?7c?n8;32?>o313:1(40<3k9>h7>51c87f?3asA9886*<1d8:=>\?03;p?7s+19a974b<0;66g>i6900;66g;1283>>i6l90;66a=7183>>iak3:17d?9:18'5d?=9<1e=l650:9j51<72-;j57?:;o3b65f1083>!7f13;>7c?n8;18?l`=83.:m44>5:l2e=<332cn6=4+1`;950=i9h21965fd;29 4g>28?0ba8821>h6i10376gn:18'5d?=9<1e=l659:9j=?6=,8k26<;4n0c;>d=5<#9h31=85a1`:9`>=n9l0;6)?n9;36?k7f03o07d?k:18'5d?=9<1e=l65f:9a707=83;1<7>t$23f>c4<@:?;7E=<4:me5?6=3th89?4?:083>5}#;8o1?>;4H273?M54<2e:m84?::a7f2=83?1<7>t$23f>``<@:?;7E=<4:&244<73`2o6=44i8794?=n000;66g6d;29?j7d93:17pl0<729q/?5<52;294~"49l0:5<52;294~"49l0:5<5;hdb>5<;?;I100>oa<3:17dh::188mc0=831bj:4?::ke9483>>{eno0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>>n:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`04g<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04f<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04a<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<=3:17pl>3783>7<729q/?=3:17pl>3683>7<729q/?=3:17pl>3983>7<729q/?=3:17pl>3883>1<729q/?5;hd6>5<6=44}c1`=?6=:3:1=;;hd0>5<6=44}c1`e?6=:3:1=;;hd0>5<6=44}c1`f?6=:3:1=;;hd0>5<6=44}c1`g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;jn1<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4b629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4b529086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:h>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h84?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0f5>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6l>0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?k8;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a627=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a624=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a625=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a622=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a623=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9;;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb354>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=;6;291?6=8r.8=h4=c:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c173?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;=21<7=50;2x 67b2:>m7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl<4883>7<729q/?=3:17pl<4`83>7<729q/?=3:17pl<4c83>6<729q/?<6F<359jb1<722cm97>5;n3:1?6=3th88i4?:583>5}#;8o1>o5G3428L6533`l?6=44ig794?=nn?0;66a>9483>>{e;8;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=>5;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f671290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<6F<519K7626F<519K7626F<519K7626F<519K762=3:17pl<1b83>0<729q/?5;|`057<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm30694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg76i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg75:3:197>50z&05`<5k2B89=5G3268mc2=831bj84?::ke2?6=3`l<6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb007>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb006>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6:?0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:10;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn<<6:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25g<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm10f94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25c<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm13394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xu1290?wS84=gf9b3=:;8<1j9523059b6=z{>0;69uQ7:?e`?`0349::7h:;<1274?=n:1v44?:5y]=>;al3l270=>1;d6?875;3l?7p}n:186[g<5on1jl523049b2=:;8k1j>521319b0=z{k0;6>uQb:?e`?`e349:=7h;;|q`>5<3sWi01kk5f59>710=n<16=?<5f59~wa<72=qUh63ie;d6?853>3l?70?=2;d6?xub290?wSk4=gg9b3=:;=<1j;521309b3=z{o0;69uQf:?ea?`0349?:7h8;<316?`03ty:=7>57z\25>;am3l370=?a;d7?85d13l870<82;d0?853?3l?70?=0;d7?xu6;3:15vP>3:?ea?`>349;n7h<;<1`e?`434;o>7h:;<047?`4349?;7h:;<32e?`434;997h:;|q20?6=krT:863ie;db?857i3l>70?<6;d0?85d03l>70?k1;d0?87c:3l?70<85;d7?85303l>70?=6;d0?87503l?70?=9;d7?xu6>3:15vP>6:?ea?`e349;o7h<;<30e;297~X6m27mh7h:;<121?`23ty=97>53z\51>;4ko0m?63{t0l0;6>uQ8d9>7f2=0016?nk5889~wce=838pRkm4=gd95<34?234lm6k:4}rdf>5<5s4ln6<7:;c352z\25<=:9;;1=4;4}r32e?6=:r7:=l4>949>57>=n<1v1b8e1>{t98i1<74?234;:h7h;;|q25a<72;q6=e;296~;69l0:585210d9b0=z{8;m6=4={<32b?7>=27:>=4i5:p576=838p1<7p}>2383>7}:9;81=4;4=03f>c252z?266<61<16=;6:00m96s|13794?4|588>6<7:;<32f?`33ty:>;4?:3y>570=90?01v3>2682=0=:98n1j;5rs00;>5<5s4;947?65:?25c283>70?>c;d7?xu6;<0;6?uQ1278945>283>7p}>3783>7}:9:<1=4;4=01:>c352z?272<61<16=>75f59~w45?2909w0?<8;3:1>;6;00m:6s|18094?4|5:?:6k?4=2af><352z?017<6i<16?n:59e9~w4b72909wS?k0:?2`=<61<1v:18187c93;2963>d48e1>{t9m81<74?234;o:7h;;|q2`6<72;q6=i=5187894b12o?0q~?k4;296~;6l=0:58521e:9b0=z{8n>6=4={<3g1?7>=27:h:4i4:p5a0=838p17p}>d683>7}:9m=1=4;4=0f;>c252z\135=::>=1=4;4}r045?6=:r79;<4>949>623=n<1v?9=:181840:3;2963=768e0>{t:>91<74?2348<:7h;;|q131<72;q6>::5187897112o?0q~<85;296~;5?<0:58522659b0=z{;==6=4={<042?7>=279;:4i6:p75?=838pR>>6;<13a?7>=2wx?=o50;0x966f283>70=?e;d7?xu48k0;6?u231`95<3<5::o6k:4}r13g?6=:r78949>75b=n<1v>>k:181857l3;2963<0d8e1>{t;8:1<7744=90?01>?;:g68yv56;3:1>v3<1282=0=:;8>1j85rs236>5<5s49:97?65:?05g70=>3;d7?xu49>0;6?u230595<3<5:;h6k:4}r12949>74e=n<1v>?6:18185613;2963<1b8e2>{t;8k1<74?2349:o7h8;|q05g<72;q6?c;296~;49j0:58523019b0=z{:>>6=4={<17`?7>=278=o4i4:p710=838p1>:9:0;6?853l3l>7p}<4683>7}:;==1=4;4=26`>c252z?00=<61<16?9l5f59~w62>2909w0=;9;3:1>;4j6<7:;<17`?`13ty88o4?:3y>71d=90?01>:l:g78yv53k3:1>v3<4b82=0=:;=n1j95rs2a7>5<5s49h87?l1:?0g`<>l2wx?n850;0x96e320?01>m::0;6?xu4k>0;6?u23b69949>7fb=n<1v>m6:18185d13;2963{t;jk1<74?2349ho7h:;|q0gg<72;q6?nl5187896ec2o<0q~=lc;296~;4kj0:58523bf9b1=z{:in6=48{<1`a?7d927:h>4i3:?2`094i3:?26050;0x96eb21n01>mi:0;6?xu4lj0;6?uQ3ea896e22o90q~:>3;290~X39:16=>95f29>71g=n:16=?95f29~yg26>3:1?94l8;g4M54<2.8=h4>cg9Y<=<5s;0?6p*>8b805a=n0l0;66g<0283>>i5k=0;66a>o4>l0;66a>i4l<0;66a<8383>>o4880;66g95;29?j5d83:17d=n5;29?l26;3:17d=j4;29?l7c83:17b=ke;29?l4083:17b28i?7c?n8;28?j7d;3:1(28i?7c?n8;08?j7dl3:1(28i?7c?n8;68?j7dj3:1(28i?7c?n8;48?j7d13:1(28i?7c?n8;:8?j7d?3:1(28i?7c?n8;c8?j7d:3:1(a8826>h6i10976gj:18'5d?=9;1e=l653:9j`?6=,8k26<<4n0c;>1=5<#9h31=?5a1`:93>=n13:1(6`>a98b?>o1290/=l75139m5d>=j21b=h4?:%3b=?753g;j47m4;h3g>5<#9h31=?5a1`:9`>=n9j0;6)?n9;31?k7f03o07d?m:18'5d?=9;1e=l65f:9j5d<72-;j57?=;o3b5$0c:>445<#9h31=?5a1`:957=a8826>h6i10:?65f1183>!7f13;97c?n8;37?>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo?jf;291?6=8r.8=h4jf:J015=O;:>0(<>>:19j5;h::>5<=;;h::>5<:188yg4683:1?7>50z&05`5;|`2`d<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm31294?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn>6=:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`13=<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm29:94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?7k:180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<7>52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb2ge>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2`b>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2fe>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0da>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0d`>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0dg>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd40>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ih0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ik0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>6<:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn>k=:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a7f7=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a7f4=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8j<4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j?4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j94?:483>5}#;8o1>n5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?j7>=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl0<729q/?5;|`16`<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K762=3:17pl=3083>6<729q/?3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>>950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi>>650;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c00=?6=;3:1=;;hd7>5<=3:17pl=3e83>7<729q/?=3:17pl=3d83>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi>9<50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c077?6=:3:1=;;hd0>5<6=44}c070?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=?1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl=4983>6<729q/?29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f72f29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th98o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c07a?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=l1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:<:1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<:1;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f73329086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th9984?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th99;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb374>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;?;I100>oa<3:17dh::188k4?22900qo<:b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<:c;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a60b=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9:=4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb342>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb341>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd5>:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo<96;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a631=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a63>=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3;e>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:h;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c0b1?6=;3:1=;;hd7>5<=3:17pl=a683>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>l>50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`15;|`1=0<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm28:94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4>k3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg4?j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>5m50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c0;`?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:1o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<7f;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f7?729086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th95<4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c0:6?6=;3:1=;;hd7>5<=3:17pl=9583>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6?:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6>:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`1<7<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1<6<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K7626=4=:183!56m3;;>6F<519K7626F<519K7621<75ff483>>i61<0;66sm26`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg40k3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>:j50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c04a?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl=7g83>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7j:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7i:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb0c2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:881<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=a;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>5;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a640=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm20:94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn??6:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a64g=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9=o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c02g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:8o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>f;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a676=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9><4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb301>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;91<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<=4;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f4bd29086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi=h950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3fe?6=:3:1=;;hd0>5<6=44}c3ff?6=:3:1=;;hd0>5<6=44}c3fg?6=:3:1=;;hd0>5<6=44}c3f`?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl>ed83>7<729q/?=3:17pl>de83>7<729q/?=3:17pl>dd83>1<729q/?5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`2a5<72=0;6=u+30g96g=O;<:0D>=;;hd7>5<>i61<0;66sm1d394?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7b:3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=h=50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`2a1<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1d494?2=83:p(>?j:0;;?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=k6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k9;290?6=8r.8=h4>999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi?io50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3e5?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9o91<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4`329096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`229096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`129096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`029096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`?290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<1<75ff483>>i61<0;66sm1gc94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7a:3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn?>?:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm21;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21`94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21a94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21f94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd58;0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn?><:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`141<72=0;6=u+30g95<><@:?;7E=<4:ke0?6=3`l>6=44ig494?=h90?1<75rb326>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo969K706<@:9?7dh;:188mc3=831d=4;50;9~f76029086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3ty=6=4={_4897572o>0q~950;0xZ2=:::21j95rs983>7}Y0279?h4i4:p=?6=:rT270<;4;d7?xuf2909wSo4=36b>c2606=n=1vn4?:3y]g>;5=?0m86s|d;296~Xc348>o7h;;|qf>5<5sWo01?8=:g68yv772909wS??;<01a?`23ty:=7>52z\25>;5>?0m96s|1683>7}Y9>16>>85f49~w4>=838pR<64=31`>c37p}>a;296~X6i279854i5:p5g<72;qU=o5225g9b0=z{8i1<7m7h:;|q2a?6=:rT:i63=618e1>{t><0;6<=1m16=hh59e9>5l?5f49>6d2=n=16>485f29>6<1=n<16>4m5f29>6=5=n<16=4h5f29>64b=n:16>?o5f29>64`=n=16=h95f29>5`?=n=16=k95f29>65d=n:1v;650;7x93>=9j;01?77:g18974>2o9010q~6j:18`[>b34;nj766;<3:e?>>34<36574=0df>=?<5;;;6574=0fb>=?<5::;6574=35;>=?<5;236574=3;g>=?<5:286k=4}r3:6?6=;r789<4i1:?2ac<>=27:5l465:p570949>5d6=n<1v<7k:18187>l3;2963>a18e2>{t90o1<74?234;j<7h8;|q2=c<72;q6=4h5187894g62o?0q~?n0;296~;6i90:58521`39b1=z{8i96=4={_3`6>;5;80:585rs0a0>5<5sW;87S?l3:p5f3=839pRk5Q1b78970?2o>0q~?l6;296~X6k?16>>751878yv7d?3:1>vP>c69>66`=90?0q~?l8;296~X6k116>9;51878yv7d13:1>vP>c89>61d=90?0q~?la;296~X6kh16>8?51878yv7dj3:1>vP>cc9>601=90?0q~?lc;296~X6kj16>8j51878yv7dl3:1>vP>ce9>635=90?0q~?le;296~X6kl16>;751878yv7c83:1jvP>d19>6g4=n:16>l?5f59>65=5f59>5d6=n=16>?65f29>67d=n:16><95f59>5`>=n:16=hl5f29>7a0=n:16=k65f59>65>=n<16>=o5f29~w4b>290?w0?id;3:1>;4n=0m863;4l>0m?6s|1ec94?4|58nj6;<3eg?`43ty:ho4?:3y>5ag=1m16=h851878yv7ck3:1>v3>db82=0=:9l>1j95rs0fg>5<5s4;oh7?65:?2a52wx=ik50;0x94bb283>70?j1;d6?xu6lo0;6?u21ed95<3<58o96k;4}r3f4?6=:r7:i=4>949>5`0=n?1v:18187b93;2963>e28e0>{t9l81<74?234;n?7h:;|q2a6<72;q6=h=5187894c32o?0q~?j4;296~;6m=0:58521d79b1=z{8o>6=4={<3f1?7>=27:i;4i5:p5`1=838p1e983>7}:9l21=4;4=0ff>c052z?2a<<61<16=h<5f59~w4cf2909w0?ja;3:1>;6lo0m86s|1d`94?4|58oi6<7:;<3gb?`23ty:in4?:3y>5`e=90?01v3>ee82=0=:9l<1j95rs0gf>5<5s4;ni7?65:?2a5949>5cg=n=1vf88e0>{t9o>1<74?234;m47h:;|q2b0<72;q6=k;5187894`?2o<0q~?i6;296~;6n?0:58521g:9b2=z{8l<6=4={<3e3?7>=27:j?4i5:p5c>=838p17p}>f883>7}:9o31=4;4=0db>c352z?2bd<61<16=k<5f59~w4`e2909w0?ib;3:1>;6nm0m86s|1ga94?4|58lh6<7:;<3e`?`23ty:jh4?:3y>5cc=9j;01?l8:g68yv7an3:1>v3>fd8:`>;58>0:585rs323>5<5s48;<7?65:?143=?50;0x9766283>706k84}r037?6=:r79<>4>949>652=n=1v?>;:181847<3;2963=048e1>{t:9?1<74?2348;:7h:;|q143<72;q6>=85187897602o>0q~=279<<4i5:p65g=838p1?>n:0;6?847;3l>7p}=0c83>7}::9h1=4;4=327>c052z?14f<61<16>=;5f59~w76c2909w0;58;0m86s|21g94?4|5;:n6<7:;<036?`23ty965`=90?01?>8:g78yv4683:1=;u220295f7<5:2<6k=4=30f>c2<5;8m6k=4=315>c2<5;9<6k=4=31`>c2<5;9o6k=4=361>c2<5;>86k=4=36;>c2<5;>26k=4=36f>c2<5;>m6k=4=377>c2<5;?>6k=4=37b>c2<5;?i6k=4=343>c2<5;<:6k=4=345>c2<5;<<6k=4}r025?6=:r79==46d:?161<61<1v??=:181846:3;2963=148e0>{t:891<74?23489=7h:;|q151<72;q6><:5187897442o?0q~<>5;296~;59<0:585220c9b1=z{;;=6=4={<022?7>=279>?4i4:p641=838p1??8:0;6?846j3l?7p}=1983>7}::821=4;4=33a>c352z?15<<61<16>a;3:1>;59l0m96s|20`94?4|5;;i6<7:;<02b?`23ty9=n4?:3y>64e=90?01?v3=1e82=0=::8?1j85rs33f>5<5s48:i7?65:?16170<=0;d7?xu5:90;6?u223295<3<5;8:6k:4}r015?6=:r79><4>949>674=n<1v?<=:181845:3;2963=228e0>{t:;91<74?2348987h:;|q160<72;q6>?;51878977f2o?0q~<=6;296~;5:?0:58522049b0=z{;8<6=4={<013?7>=279=:4i5:p67>=838p1?<7:0;6?84603l?7p}=2883>7}::;31=4;4=33;>c052z?16d<61<16><75f59~w74e2909w0<=b;3:1>;5900m96s|23f94?4|5;8n6<7:;<005?`33ty9>h4?:3y>67`=90?01?=?:g78yv45n3:1>v3=3182=0=:::;1j85rs316>5<5s488:7?65:?17<>850;0x9750283>70<<8;d6?xu5;>0;6?u222:95<3<5;926k;4}r00f?6=:r79?n4>949>66`=n=1v?=l:181844l3;2963=3d8e1>{t::n1<74?23488j7h:;|q104<72;q6>9<5187897222o>0q~<;2;296~;5<:0:58522569b0=z{;>86=4={<070?7>=279884i5:p611=838p1?:7:0;6?843j3l?7p}=4983>7}::=31=4;4=36b>c352z?10d<61<16>9l5f49~w72c2909w0<;e;3:1>;5=80m86s|25g94?4|5;>m6<7:;<064?`23ty98k4?:3y>606=90?01?;>:g78yv42;3:1>v3=5582=0=::<=1j95rs377>5<5s48>97?65:?1138;50;0x9731283>70<:7;d6?xu5=00;6?u224c95<3<5;?o6k:4}r06e?6=:r799o4>949>60e=n<1v?;m:181842k3;2963=5e8e1>{t:4?2348=?7h;;|q125<72;q6>;?5187897052o?0q~<91;296~;5>;0:58522719b0=z{;<>6=4={<052?7>=279:44i4:p630=838p1?88:0;6?84103l>7p}=6683>7}::?21=4;4=34:>c352z\135=:;ml1j>5rs35;>5<69r79;54>c09>6dg=n:16>4h5f49>6d2=n?16>4;5f59>65<5f29>62b=n=16=4k5f29>644=n<16><:5f29>5`e=n:16=h>5f49>5c5=n=16=k:5f29>656=n=16>=m5f29~w71>2909w0<88;;g?840n3;296s|26c94?4|5;=j6<7:;<0;3?`33ty9;o4?:3y>62d=90?01?9i:g68yv40k3:1>v3=7b82=0=::>o1j95rs35g>5<5s48:k50;0x971b283>70<8f;d5?xu5090;6?u229295<3<5;2<6k;4}r0;5?6=:r794<4>949>62d=n=1v?6=:18184?:3;2963=7c8e1>{t:191<74?23485:51878971d2o>0q~<75;296~;50<0:585226a9b0=z{;2=6=4={<0;2?7>=279;h4i6:p6=1=838p1?68:0;6?840l3l>7p}=8983>42|5;236;<0bf?`33482j7h;;<0b0?`03482m7h<;<0;f?`33486=>=1m16>4:51878yv4?i3:1>v3=8`82=0=::1h1j85rs3:a>5<5s483n7?65:?1=15m50;0x97>d283>70<61;d7?xu50m0;6?u229f95<3<5;2m6k;4}r0;a?6=:r794h4>949>6<2=n?1v?6i:18184?n3;2963=918e0>{t:0:1<74?23482=7h:;|q1=4<72;q6>4?5187897?52o?0q~<62;296~;51;0:58522819b1=z{;386=4={<0:7?7>=279594i4:p6<3=838p1?7::0;6?84>:3l?7p}=9783>7}::0<1=4;4=3:`>c352z?1=2<61<16>5j5f59~w7??2909w0<68;3:1>;50m0m96s|28;94?4|5;326<7:;<0:4?`23ty95l4?:3y>6j3:1>v3=9c82=0=::1o1j95rs3;`>5<5s482o7?65:?1<`4j50;3284>l3;h=63=a28e7>;50h0m?63=948e1>;5090m?63=808e1>;50?0m?63>9b8e7>;5:?0m?63=1d8e0>;6lj0m963>ee8e2>;6lm0m?63>f28e2>;6n?0m?63=018e2>;58l0m?6s|28g94?4|5;3o64j4=3c3>4?23ty95k4?:3y>6<`=90?01?o8:g68yv4f93:1>v3=a082=0=::h<1j95rs3c1>5<5s48j>7?65:?1e0l=50;0x97g4283>70949>6d0=n<1v?o9:18184f>3;2963=a68e1>{t:h=1<74?2348j47h;;|q1e=<72;q6>l65187897g72o>0q~;5j>0:585rs3`1>5<5s48i>7?65:?1f250;1xZ7e3349;<7?l1:?0`=4?:by]755<5;k?6k;4=3c6>c2<5;3i6k:4=3:`>c2<5;2m6k:4=331>c2<5;;h6k:4=0gg>c2<58o:6k:4=0d2>c2<5;:m6k=4}r16f?6=:r78<=46d:?1eg<61<1v>8j:185[51m278i?4i5:?0g76=;<1;6?7d92wx?5:50;0x96>521301>6<:0;6?xu40?0;6?u23909=a=:;1=1=4;4}r1b1?6=:rT8m8523cg9b6=z{:h26=4={_1a=>;4jh0:585rs2`a>5<5s49im7h<;<1ab?7>=2wx?om50;0x96dd283>70=mf;d6?xu4jm0;6?u23cf95<3<5:hm6k84}r1aa?6=:r78nh4>949>7g`=n>1v>m?:181[5d8278o?4>949~w6e62909w0=l1;3:1>;4k;0m96s|3e794?4|V:n>70=ka;3:1>{t;m<1<74?2349o57h;;|q0`2<72;q6?i95187896b>2o<0q~=k8;296~;4l10:58523ec9b0=z{:n26=4={<1g=?7>=278hl4i4:p7ac=838pR>jj;<1gb?7>=2wx?h?50;0xZ6c6349n>7?65:p7`2=838pR>k;;<1e7?`43ty8ih4?:3y]7`c<5:om6<7:;|q0b5<72;q6?hh5f29>7c2=90?0q~=i1;296~;4n80:58523g69b0=z{:l96=4={<1e6?7>=278j94i6:p7c5=838p1>h<:0;6?85a<3l<7p};1283>0}Y<8901>k=:g6896e62o901>h=:g1896dc2o90qpl<6583>45=><0=jvF<359'74c=9820(<6l:23g?l>b2900e<:188m60b2900c;750;9j20<722e:?l4?::k0e0<722c:=44?::m202<722c?=>4?::k0a1<722e:>l4?::m1ef<722e8594?::m2<5<722e:j7>5;n04>5<:183!56m3l97E=:0:J071=hn80;66sm14f94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a2<<72<0;6=u+30g9ac=O;<:0D>=;;h:g>5<>o>l3:17b?l1;29?xd61h0;684?:1y'74c=mo1C?8>4H217?!7793:0e5j50;9j=0<722c357>5;h;g>5<5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188mt$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762>i6k80;66sm1g83>6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th:=<4?:283>5}#;8o1ih5G3428L6533-;;=7>4i9;94?=n1m0;66a>c083>>{e91:1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=?o50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm15594?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a5=1=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e9:;1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=8;50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm1`c94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<=3:17pl>3283>7<729q/?=3:17pl>8883>7<729q/?=3:17pl8a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a50`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a536=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<1<75ff483>>i61<0;66sm1cg94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm1cd94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5>=3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?4850;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?4950;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c37=?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9=k1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9=h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?;c;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f44d29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:>i4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>h4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>k4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb013>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e9181<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?73;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?74;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?75;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5=0=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th:n=4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:n84?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c3a2?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k21<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k31<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?ma;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?mb;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5ge=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1c094?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd6j:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn5<7s-9:i7?68:J015=O;:>0ek:50;9jb0<722cm:7>5;n3:1?6=3th:mn4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0cg>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:k81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:ki1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi=>m50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=>k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`27c<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<;6=4=:183!56m3;;>6F<519K762:6=4=:183!56m3;;>6F<519K76296=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4283>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=9;50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c30`?6=;3:1=;;hd7>5<=3:17pl>5983>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=8m50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<n6=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4g83>7<729q/?=3:17pl>5183>7<729q/?=3:17pl>5083>7<729q/?=3:17pl>5383>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=8:50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<i1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd0m3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg1a29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f=6=83?1<7>t$23f>7e<@:?;7E=<4:ke0?6=3`l>6=44ig494?=nn>0;66a>9483>>{e080;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?n0;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5d7=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb0c0>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6i=0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900q~?i:181[7a34;m6;|q13?6=99qU>:522682g4=:1j0m963>488e0>;62b8e0>;6:m0m?63>838e0>;60:0m?63>b48e1>;5j;0m?63>3d8e1>;6=00m?63>528e0>;0m3l870?6c;d0?xu503:1>v3=7;:g?84>283>7p}95;29e~X1=27:5l46d:?4e?`334;=<7h;;<3a2?`234;8j7h:;<363?`334;?i7h:;<5e>c5<583m6k=4}r4:>5<5sW<27086:0a2?xu013:1>v399;;g?81f283>7p}8b;297~;1132o70?:d;:g?8>6283>7p}8c;296~;0k3;296370;d6?xu0l3:1>v38d;3:1>;?83l=7p}8e;296~;0m3;296370;d4?xu0n3:1>v38f;3:1>;?93l>7p}70;296~;?83;296371;d7?xu?m3:1=?uQ8d9>50b=0016:4479:?2=d=?<582m6574=0d9<<=:9:k144521039<<=:91:1445213c9<<=:9==144521959<<=:9:;144521479<<=:9hk144521`g9<<=z{0k1<7;69802h6s|10394?0|58;:6;<3a4?`434;i57h:;<3bg?`234;8o7h<;<5g>c57>53z\257=:?h0m963>5g8e7>{t9831<76t^03:?85>=3l?70?m6;d7?84e?3l870?70?;e;d7?87f;3l?7p}>2`83>7}Y9;k01<n4>949>566=n=1v<2g8e0>{t9;o1<74?234;9j7h:;|q26c<72;q6=?h5187894572o?0q~?<1;296~;6;80:o<5213a9b0=z{8996=4={<305??c34;8?7?65:p563=839pR<=:;<0ag?`334;j<7h;;|q27d<72;qU=>o4=01b>4e63ty:?o4?:3y>56g=1m16=>j51878yv74k3:1>v3>3b82=0=:9=81j85rs01f>5<5s4;8i7?65:?201h50;0x945a283>70?;3;d7?xu6<90;6?u215295<3<58>86k;4}r375?6=:r7:8<4>949>513=n<1v<:=:181873:3;2963>3e8e0>{t9=91<74?234;?87h:;|q201<72;q6=9:5187894222o>0q~?;5;296~;6<<0:585212f9b0=z{8><6=4={_373>;6<>0:o<5rs06;>5<5s4;?;77k;<37g?7>=2wx=9750;0x942>283>70?;c;d7?xu6i6k;4}r37f?6=:r7:8o4>949>51e=n<1v<:k:181873?32o70?:4;3:1>{t9=o1<74?234;>>7h;;|q20c<72;q6=9h5187894352o?0q~?:0;296~;6=90:58521469b1=z{8?:6=4={<365?7>=27:994i6:p504=838p1<;=:0;6?872;3l>7p}>5283>7}:9<91=4;4=077>c397>52z?210<6k816=975f49~w4312909w0?:7;3:1>;6=j0m96s|14594?4|58?36<7:;<36f?`33ty:954?:3y>50?=90?01<;m:g78yv7213:1>v3>5`82=0=:95<5s4;>n7?65:?21f949>536=n<1v<6?:181[7?827:4=4>c09~w4>62909w0?70;;g?87?>3;296s|19094?4|58296<7:;<3;2?`33ty:4>4?:3y>5=5=90?01<6::g68yv7?<3:1>v3>8582=0=:91?1j85rs0:6>5<5s4;397?65:?2<3028i:70?72;d6?xu6010;6?u21959=a=:9131=4;4}r3;b?6==r79;77k;<3;b?7d927:4:47d:?274503=0m16=8m51878yv7>:3:1nv3<508e5>;6=m0296399;;6?87>i33>70<8:87894>720?01<i3:1:v3>9`82g4=:9k=1j>521529b6=:95215d9b6=:090m86s|18`94?4|583j65j4=0c7>4?23ty:5n4?:3y>5l3:1>v3>9e82=0=:9h;1j95rs0;f>5<5s4;2i7?65:?2e470?n4;d6?xu6i90;6?u21`295<3<58k96k:4}r3b5?6=:r7:m<4>949>5d4=n<1va28e1>{t9h91<74?234;j87h;;|q2ed<720q6=lo51b3894db2o9012o>01v3>a`8:`>;6im0:585rs0c`>5<5s4;jo7?65:?2ea70?:0;d0?81d2o901<7j:g18yv7fn3:1>v3>ad8:`>;6j=0:585rs0`3>5<5s4;i<7?65:?2fg70?m4;d5?xu6j;0;6?u21c095<3<58h86k:4}r3a7?6=:r7:n>4>949>5g2=n=1vb38e0>{t9k<1<74?234;io7h;;|q2f2<72;q6=o95187894dd2o?0q~?m8;296~;6j10:58521c19b0=z{8h26=4={<3a=?7>=27:n<4i4:p5gg=838p17p}>bc83>7}:9kh1=4;4=0`7>c352z?2ff<61<16=o<5f49~w4dc2909w0?me;3:1>;6jo0m96s|1b294?3|58l15i521929;6jo0:585rs3c`>5<5sW8jo63=be82=0=z{;h96=4={<0a6?7>=279nn4i5:p6g1=838p1?l8:0;6?84el3l=7p}=bb83>7}::ki1=4;4=3`g>c252z\02`=:;0<1j>5rs2;7>5<5sW92863<9682=0=z{:3>6=4={<1:1?7>=2785:4i4:p7<0=838p1>79:0;6?85>?3l>7p}6}Y;h?01<=<:g18944b2o90q~=j4;297~X4m=16=575f29>5=2=n:1v9?<:187[26;27:9846d:?0=04$0:`>67c3f>;o7>5;n1;5;n46>5<>o1n3:17d<6F<359lb4<722wi?5650;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm2`g94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a6g5=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e:k21<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi:o4?:483>5}#;8o1ik5G3428L6533`2o6=44i8794?=n000;66g6d;29?j7d93:17pl80;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg5629086=4?{%12a?cb3A9><6F<359'557=82c357>5;h;g>5<5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb7594?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd5k80;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>;50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5k50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5h50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`07<<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo8k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn;k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`1fd<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1fg<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3`3>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb3`2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e;;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38l0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=8d;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f3`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a31<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`41?6=:3:1=;;hd0>5<6=44}c55>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb6594?3=83:p(>?j:3a8L6373A9886gi4;29?l`22900ek850;9jb2<722e:584?::a76d=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8?n4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c10`?6=:3:1=;;hd0>5<6=44}c10a?6=:3:1=;;hd0>5<6=44}c10b?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg5383:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831v>?50;0x967=9j;01;95f59~w65=838p1>?5889>77<61<1v>:50;0x967=1m16?84>949~w33=83lpR;;4=629=a=:>k02h63=b98:`>;5j:02h63=ad8:`>;1?3;2963<8d8e7>;4;00m86382;d0?80c2o901?ln:g1897d22o901?l?:g18965b2o90q~89:181801283>7088:g78yv0>2908w0=8d;d7?810283>70=5<5s4;<4e>c5=b<5?o1=4;4}r4g>5<5s4c301::5f29~w26=838p1:>51b38923=n:1v:?50;0x926=0m16;>4>949~w24=838p1:<51878925=n<1v::50;0x93`=90?01:95f59~w23=838p1::51878921=n<1v:850;0x923=90?01:95f79~w21=838p1:851878921=n>1vhj50;;xZ`b<5:236574=3cf>=?<5;h86574=3`;>=?<5?h14452718;=>;4;>03563<2;d0?xuak3:1?vPic:?0;>:g3896>?20?01?oj:87897d420?01?l7:87893d=1<16;=465:?072<>=2wx>lj50;1xZ7gc348i=7h;;<10g?`33ty9mh4?:3y>6dc=9j;01>=l:g78yv4fn3:1>v3=ad8;`>;5j80:585rs3`3>5<5s48i<7?65:?1f4o<50;1xZ7d5348h>7h<;<0a2?`33ty9n>4?:3y>6g5=9j;01?m>:g18yv4e<3:1>v3=b28;`>;5j?0:585rs3`6>5<5s48i97?65:?1f3o950;1xZ7d0348in7h;;<10f?`33ty9n54?:3y>6g>=9j;01>=m:g78yv4e13:1>v3=b98;`>;5jk0:585rs3`b>5<5s48im7?65:?1fgn>50;0x97e4283>70=949>6f5=n<1v>=8:181854?3;h=63;0e8e7>{t;:21<7958e9>7=>=0m16?9>51878yv54j3:1>v3<3c82=0=:;:l1j85rs21`>5<5s498o7?65:?07c2wx?>j50;0x965c283>70=;6k;4}r10b?6=:r78?k4>949>716=n=1v>8j:186[51m27=:7h<;<16>c5<5=:n6k:4=25g>c352z\03f=:;>n1=4;4}r1;52z?0<=<>l2784k4>949~w6>b2909w0=7e;3:1>;40o0m96s|41a94?4|V=:h70:?e;3:1>{t<9n1<74?234>;i7h:;|a735=83;>6l75b5yK762<,:;n64?::m02`<722cj:7>5;h1gg?6=3`;8m7>5;n14e?6=3`2i6=44i9c94?=h;h?1<75f12694?=h;l>1<75f19c94?=n;>i1<75`38294?=h;031<75`1g83>>i4n<0;66l<5083>4<729q/?:188yg5a=3:197>50z&05`5<0e5750;9j=a<722e:o<4?::aff<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qol;:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`0=<<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qo??3;297?6=8r.8=h4je:J015=O;:>0e5750;9j=a<722e:o<4?::aad<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<0e5750;9j=a<722e:o<4?::a714=8391<7>t$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th8:h4?:283>5}#;8o1ih5G3428L6533`226=44i8f94?=h9j;1<75rb2;3>5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xdb13:1?7>50z&05`;m50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xd4<80;6>4?:1y'74c=ml1C?8>4H217?l>>2900e4j50;9l5f7=831vnl>50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg73>3:1?7>50z&05`5;|`gb?6=;3:1N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl>6083>6<729q/?5<:188yg70:3:1?7>50z&05`5;|`236<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm16694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn<9::180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762<,8::6<5f8883>>o>l3:17b?l1;29?xdd?3:1?7?53zJ071=#;8o1=5k4i`694?=ni<0;66a<0983>>d4=?0;6>4?:1y'74c=;=l0D>;?;I100>oa<3:17dh::188k4?22900q~o;:181[g3349>:7h:;|qb1?6=:rTj963<578e0>{t;921<73;296srbb494?5=939pD>=;;%12a?7?m2cj87>5;hc6>5<5<4290;w)=>e;17b>N4=91C?>:4ig694?=nn<0;66a>9483>>{ti=0;6?uQa59>700=n<1vl;50;0xZd3<5:?=6k:4}r1353;397~N4;=1/?5}#;8o1?9h4H273?M54<2cm87>5;hd6>5<6=44}rc7>5<5sWk?70=:6;d6?xuf=3:1>vPn5:?013:7?65:~ff2=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=6c83>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pl<9283>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg5fk3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?ho50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?hl50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}cc0>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb267>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb9594?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm27d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3`g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3df94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3g;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66smc383>7<729q/?=3:17pll3;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::af`<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`ab?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{ejh0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xdej3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722win;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3thi;7>53;294~"49l09m6F<519K7621<75ff483>>i61<0;66smc883>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pljb;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo6;:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?=50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb3694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4229086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi>;4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c34g?6=:3:1=;;hd0>5<6=44}c34`?6=;3:1=;;hd7>5<=3:17pl>7g83>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=:650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=:750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c35=?6=:3:1=;;hd0>5<6=44}c35e?6=:3:1=;;hd0>5<6=44}c35f?6=:3:1=;;hd0>5<6=44}c35g?6=:3:1=;;hd0>5<6=44}c35`?6=:3:1=;;hd0>5<6=44}c35a?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg71n3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn<9?:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`234<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm17794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f40129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th8;94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;84?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;:4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;54?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;44?:783>5}#;8o1>i5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?l`?2900c<7::188ygc629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f`4=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::aa6<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`f0?6=:3:1=;;hd0>5<6=44}cg6>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbd494?1=83:p(>?j:3g8L6373A9886gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<6=44}c631?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg27>3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi8=950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c63e?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e<9h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n0;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n2;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n3;293?6=8r.8=h4=e:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<>i61<0;66sm3`694?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd4nk0;6:4?:1y'74c=:l1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;hd;>5<6F<519K7626F<519K7626F<519K7626F<519K7626F<519K76250z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn9><:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<52;294~"49l0:5<52;294~"49l0:5<7>52;294~"49l0:5<52;294~"49l0:5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm3c494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5e?3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn>l7:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm10794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f47129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3thhj7>52;294~"49l0:5<t$23f>4653A9><6F<359jb6<722e:584?::a`3<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`g3?6=:3:1=;;hd0>5<6=44}cf;>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbe;94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygbf290?6=4?{%12a?4e3A9><6F<359jb1<722cm97>5;hd5>5<6=44}cf3>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{el80;694?:1y'74c=:k1C?8>4H217?l`32900ek;50;9jb3<722e:584?::a`7<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<6F<519K76250;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722win<4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rbc094?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygd429086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi==;50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb02:>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd68h0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68k0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68j0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68l0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68o0;684?:1y'74c=:j1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;n3:1?6=3th:==4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c332?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e99=1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>0983>6<729q/?4e63ty9>7>52z?2b??c348=6<7:;|q17?6=:r79?7?65:?12?`33ty987>52z?10?7>=27997h:;|q11?6=:r7997?65:?12?`23ty=57>55z?5b?7>=27::44i3:?220<7<6k816:k4i5:p<6<72hq64?479:?0a14?23ty397>52z?;1?7d927=j7h;;|q;2?6=az\;e>;4n<03563nc;::?8e721301om5889>f=>34;m6574=020>=?<5lk1445227f9<<=:0<03563<438;=>;f932270?>2;::?8ba21301<8>:9;8940521301<88:9;8941521301<9<:9;8941321301<9::9;8941f213015:5f29~w=d=832pR5l4=d`9b6=:9>n1j95216:9b6=:9?n1j>521769b0=:98>1j85211g9b6=z{0o1<7;t=8d95<3<58c3<58;>6k;4=02a>c54e6343m6k;4}rc2>5<5s4k:6;<;e>c2g<7}:ij02h63m3;3:1>{til0;6?u2ad82=0=:j80m96s|ag83>7}:io0:5852b38e0>{tj90;6?u2b182=0=:j;0m96s|b083>7}:j80:5852b28e0>{tj;0;6?u2b382=0=:j:0m96s|a983>d}:j=0:o<52c58b1>;d13l?70k<:g1891612o>01>hm:g7896`d2o901i=5f49>`14?23tyi:7>52z?a2?7>=27i;7h:;|qb=?6=1r7i47?l1:?`1?g234ii6k:4=d79b6=:<9<1j8523g`9b3=:;oo1j>52d98e7>;fn3l>7p}m9;296~;e033o70lm:0;6?xuei3:1>v3ma;3:1>;ej3l>7p}na;29<~;ek3;h=63l6;c6?8ec2o>01h:5f29>051=n:168=>5f29>`24?23tyii7>52z?aa?7>=27ij7h:;|qbf?6=0r7h<7?l1:?`3?g234o=6k;4=52;>c5<5:lm6k=4=e19b3=:l?0m?63nf;d4?xud93:1>v3l0;;g?8e4283>7p}l2;296~;d:3;2963l3;d6?xud03:1?v3l5;c7?8e>283>70mm:g78yvef2908w0m9:`689fd=90?01nj5f49~wfe=838p1n95a59>ga<61<1vnk50;7x9f4=n:16nh4i3:?ae?`434h=6k=4=e095<34?234n26k;4}rf3>5<5s4n;6<7:;c34?234n96k;4}rf0>5<5s4n86<7:;c24?234nj6k;4}rf6>5<5s4n>6<7:;c24?234nj6k84}rf4>5<5s4n<6<7:;c24?234n:6k84}rf:>5<5s4n26<7:;c24?234n;6k;4}rfa>5<5s4i?6>>7;<`4>c266?34hi6k:4}rfg>5<5s4i=6>>7;<`e>c266?34i86k:4}rfe>5<2s4nm6;<35f?`434;=97h9;<321?`134;;o7h<;|qf4?6=:r7oj77k;4?23tyn=7>52z?f5?7>=27n:7h;;|qf6?6=:r7n>7?65:?f2?`13tyn?7>52z?f7?7>=27n:7h7;|qf0?6=:r7n87?65:?f2?`03tyn97>52z?f1?7>=27n:7h6;|qf=?6=4e634im6k=4=`g9b6=z{lk1<7=t=dc95f7<5m31j952b08e0>{tmj0;6?u2e88;=>;bj3;296s|11194?2|V8:870??3;3`5>;38<0m863{t99>1<70q~??6;296~;68?0:58521159b0=z{8:<6=4={<333?7>=27:<54i5:p55?=838p1<>6:0;6?877?3l?7p}>0`83>7}:99k1=4;4=02e>c252z?24g<61<16==h5f49~w46d2909w0??c;3:1>;68o0m:6s|11f94?4|58:o6<7:;<33b?`03ty:55c=90?01v3>0g82=0=:98:1j95rs033>5<5s4;:<7?65:?2437?l1:p545=838p17p}>1583>7}:98>1=4;4=035>c252z?250<61<16=<85f49~w4532909wS?<4:?0efo50;0xZ45f34;?:77k;|q203<72521=n=16==75f59~w4062909w0?91;3`5>;6?o0m86s|17094?5|58<:64j4=041>4e6349j<7h<;|q226<72;q6=;<59e9>530=90?0q~?94;296~;6>=0:58521749b1=z{8<>6=4={<351?7>=27::;4i5:p531=83kp1<88:0a2?85>;3l?70<<:g5894172o>01<8;:g6896102o901>7j:g1896d32o9010q~?98;296~;6>>02h63>7082=0=z{8<26=4={<35=?7>=27::h4i4:p53g=838p1<8n:0;6?871m3l>7p}>6c83>7}:9?h1=4;4=04f>c052z?22f<61<16=;k5f69~w40c2909w0?9d;3:1>;6>o0m96s|17g94?4|5853`=90?01<9?:g78yv7083:1>v3>7182=0=:9>;1j85rs051>57?l1:?0=64?:6y>524=1m16=:=51b38975=n?16?::5f29>7553=n?1v<9;:184870;33o70?84;3`5>;5;3l?70=85;d0?85f93l870=m1;d0?877=3l?7p}>7483>2}:9>>15i5216795f7<5;91j85236:9b6=:;0l1j>523c29b6=:99?1j85rs055>5<5s4;<977k;<34=?7>=2wx=:950;0x9410283>70?89;d7?xu6?10;6?u216:95<3<58=26k;4}r34e?6==r7:;l4>c09>617p}>7b83>7}:9>i1=4;4=05g>c352z?23a<61<16=:k5f49~w41b2909w0?8e;3:1>;6?o0m96s|19c94?4|V82j70=jb;d7?xu60k0;6>uQ19`896g52o901>l8:g68yv41i3:19v3=6c82=0=:9?i1j>521779b2=:98?1j:5211f9b6=z{;6}::?n15i5227a9=a=::?l1=4;4}r175?6=;r788<4>c09>053=n?16?kl5f99~w6252908w0=;2;3`5>;38<0m963{t;=91<7=t=261>:64j4=267>4?23ty8:h4?:3y]73c<5:;|q036<72;q6?;k59e9>72?=90?0q~=84;296~;4?=0:585236;9b0=z{:=>6=4={<141?7>=278;44i4:p720=838p1>99:0;6?85013l=7p}<7683>7}:;>=1=4;4=25:>c152z?03=<61<16?:75f99~w61f290?wS=8a:?014=2wx?:m50;0xZ61d342<6k=4}r1;{t;0:1<783;h=6s|38094?4|5:3;64j4=2;0>4?23ty8544?:3y]7;|q0=g<72;q6?4759e9>7d2=90?0q~=6c;296~;41j0:58523`19b0=z{:3o6=4={<1:`?7>=278m>4i4:p77j:0;6?85f;3l=7p}<9g83>7}:;0l1=4;4=2c0>c>52z?0e5<61<16?l=5f69~w6g62909w0=n1;3:1>;4i:0m56s|3`094?4|5:k96<7:;<1b0?`23ty8m>4?:3y>7d5=90?01>o;:g68yv5f=3:1>vP7d3=9j;0q~=na;296~;4ij0:58523`g9b6=z{:ki6=4={<1bf?7>=278mn4i5:p7db=838p1>o::8f896gb283>7p}6}:;hh1j>523dc9b6=:;k21=4;4}r1a4?6=:r78n=4>949>7g3=n=1v>l>:18185e93;2963{t;k81<74?2349i47h;;|q0f6<72;q6?o=5187896d12o>0q~=m4;296~;4j=0:58523c49b0=z{:h>6=4={<1a1?7>=278n:4i5:p7g0=838p1>l9:0;6?85e03l=7p}7}:;k=1=4;4=2`;>c352z\0`f=::?l1j>5rs2g7>5<5sW9n863=278ii4i3:p7`g=838p1>kn:0;6?85bj3l>7p}7}:;l>15i523df95<353z\0b0=:;o?1=n?4=d09b6=z{:l36=4={<1e1??c349m57?65:p7cg=838p1>h::9f89164283>7p}7}:;oh1=4;4=521>c252z?0bf<61<168=?5f49~w6`c2909w0=id;3:1>;3880m86s|3gg94?4|5:ln6<7:;<635?`13ty8jk4?:3y>7c`=90?019><:g68yv2783:1>v3;0182=0=:<991j;5rs522>5<5s4>;=7?65:?74770:?3;d6?xu38=0;6?u23g;9b6=:<9h1=4;4}r631?6=:r7?<84>949>05d=n=1v9>9:181827>3;2963;0`8e0>{t<9=1<74?234>;57h;;|q74=<72;q68=651878916>2o?0q~:?9;296~;3800:585241c9b0=z{=:j6=4={<63e?7>=27?l;<170?`43twi?8m50;597d<4lrB8?95+30g9=3=#91i1?5<5<>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo=>f;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg4a93:197>50z&05`5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188m<6F<359'557=82c357>5;h;g>5<N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl=cg83>6<729q/?i>50;194?6|,:;n6hk4H273?M54<2.:<<4?;h::>5<:188yg4c:3:1?7>50z&05`5;|`1`6<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm2e694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?jm:180>4<4sA9886*<1d82<`=ni=0;66gn5;29?j5703:17o=:6;297?6=8r.8=h4<4g9K706<@:9?7dh;:188mc3=831d=4;50;9~wd2=838pRl:4=275>c36=4={_c6?852>3l?7p}<0983>7}Y;9201>;9:0;6?x{e:mk1<7=51;1xL6533-9:i7?7e:kb0?6=3`k>6=44o22;>5<1<75ff483>>i61<0;66s|a583>7}Yi=16?885f49~wd3=838pRl;4=275>c252z\04==:;<<1=4;4}|`1`<<72:0:6>uG3268 67b282n7do;:188md3=831d?=650;9a700=8391<7>t$23f>62a3A9><6F<359jb1<722cm97>5;n3:1?6=3tyj87>52z\b0>;4=?0m96s|a483>7}Yi<16?885f59~w66?2909wS=?8:?013<61<1vqo1<75fa483>>i4810;66l<5783>6<729q/?52z\b1>;4=?0m86s|31:94?4|V::370=:6;3:1>{zj;n<6=4<:080M54<2.8=h4>8d9je1<722cj97>5;n13:7>53;294~"49l088k5G3428L6533`l?6=44ig794?=h90?1<75rs`694?4|Vh>01>;9:g78yvg22909wSo:;<162?`33ty8<54?:3y]75><5:?=6<7:;|a6a0=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=d483>6<62:qC?>:4$23f>4>b3`k?6=44i`794?=h;921<75m34494?5=83:p(>?j:26e?M5282B8?95ff583>>oa=3:17b?65;29?xuf<3:1>vPn4:?0135<5sW9;463<5782=0=zuk9;>7>52;294~"49l0:5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3d6>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:o91<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:mo1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo0ek:50;9jb0<722e:584?::a6`4=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm2d494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4b03:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>im50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?=:50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c131?6=:3:1=;;hd0>5<6=44}c132?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;9=1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17dhm:188k4?22900qo==1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==a;290ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17b?65;29?xd4:;0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=<1;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a764=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a765=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3ty3o7>57z\;g>;4:j02h63<1g8:`>;4:k02h63<2d8e7>;4:00m?63<338e7>{tmm0;6iuQee9>74`=0016??m5889>6c7=0016??l5889>6fb=0016>nk5889>6f`=0016>i>5889>6a7=0016>i<5889>6a5=0016>i:5889~w4?5290>w0=:1;d2?856n33>70==c;;6?84a933>70==b;;6?xu5k=0;6?uQ2b6897`22o>0q~523339b6=z{;i=6=4:{<0`a?7d9279h84n5:?1``n950;7x97ea28i:700}::m:1=n?4=3f4>d3<5;o96k:4=3db>c5<5:8>6k=4}r0`=?6==r79h<4>c09>6a>=i<16>h:5f59>6cd=n:16??95f29~w7ef290=w0;5l00j963=e78e0>;48?0m863=fb8e7>;4:?0m?6s|2b`94?0|5;n86;<0ge?g2348n47h;;<130?`2348mh7h<;<116a2=9j;01?jm:`7896632o>01?hj:g18yv4cl3:1?v3=d78b0>;5ll0:58522d29b0=z{;nm6=4<{<0g3?g3348n<7?65:?1a7h?50;1x97b?2h>01?k=:0;6?84b<3l>7p}=e283>6}::m31m9522d695<3<5;o=6k;4}r0f1?6=;r79hl4n4:?1a3<61<16>h65f49~w7c02909w04?23ty9il4?:3y>6fc=1m16>i;531:8yv4bj3:1>v3=cg8:`>;5l?08<55rs3g`>5<5s48o<77k;<0g3?5702wx>hj50;0x97b620n01?j7:22;?xu5ml0;6?u22e09=a=::m31?=64}r0fb?6=:r79h>46d:?1`d<4811v?h?:18184c<33o70{t:o;1<7=t=3d2>4e6349;>7h<;<131?`43ty9j?4?:3y>6c7=1m16>k=51878yv4a<3:1>v3=f08;`>;5n<0:585rs3d5>5<2s499j7h;;<0e1?`2348m?7h<;<0eb?7>=278?<4i4:p6c1=838p1?h8:0;6?84an3l?7p}=f983>7}::o21=4;4=3de>c352z?1b<<61<16>kh5f79~w7`f2909w0;5no0m;6s|2g`94?4|5;li6<7:;<0eb?`?3ty9jn4?:3y>6ce=90?01?hi:g;8yv4al3:1>v3=fe82=0=::ol1jl5rs3df>5<5s48mi7?65:?1bc7?65:p755=838pR>><;<133?7>=2wx?=:50;0x9663283>70=?7;d7?xu48<0;6?u231795<3<5::=6k;4}r132?6=:r78<;4>949>751=n<1v>?i:181[56n278=k4>c09~w6472909w0=>f;:g?855:3;296s|33394?4|5:8:6<7:;<11e?`33ty8>>4?:3y>775=90?01>v3<2582=0=:;;k1j;5rs206>5<5s49997?65:?06d70==a;d:?xu4:>0;6?u233595<3<5:8j6k64}r1154>949>77g=nh1v><6:18185513;2963<238e1>{t;;k1<74?23499>7h;;|q06g<72;qU??l4=20a>4e63ty8>n4?:3y>77e=9j;01>=>:g78yv55l3:1>v3<2b8;`>;4:o0:585rs20f>5<5s499i7?65:?06c>50;0x964e21n01>=<:0;6?xu4;80;6?u232395<3<5:986k:4}r106?6=:r78??4>949>765=n<1vqo=9c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a731=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73>=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73?=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb8694?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm36294?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3d494?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39394?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3ef94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39a94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm40094?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3`594?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm9183>7<729q/?=3:17pl62;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qok7:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn9?::181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn8m50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi9o4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th>m7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=?0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd2=3:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg3329096=4?{%12a?7782B89=5G3268mc5=831d=4;50;9~f05=8381<7>t$23f>4673A9><6F<359jb6<722e:584?::a17<72;0;6=u+30g9556<@:?;7E=<4:ke7?6=3f;297>5;|`65?6=:3:1=;;hd0>5<6=44}c73>5<5290;w)=>e;334>N4=91C?>:4ig194?=h90?1<75rb5d94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm4d83>7<729q/?=3:17pl94;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qo8<:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn;<50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi:<4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th=<7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=m0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd203:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg5?i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg2683:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5cj3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5?=3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50j3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5d;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51l3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b83:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>93:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5f13:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5a?3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50n3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg41<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42m3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4203:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43>3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4383:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg45k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yv472909w0=93;3e?851?3l87p}=1;296~;4>=0:j63<698e7>{t:>0;6?u2376962=:;?i1j>5rs2494?4|V:<019k5f29~w61=838pR>94=5d9b6=z{:21<7750;0xZ6?<5<;1j>5rs2c94?4|V:k018<5f29~w6d=838pR>l4=419b6=z{:i1<7j50;0xZ6b<55rs2g94?4|V:o01885f29~w6`=838pR>h4=459b6=z{=:1<7=n:1v9?50;0xZ17<5<31j>5rs5094?4|V=8018o5f29~w15=838pR9=4=4`9b6=z{=?1<75rs5594?4|V==018k5f29~w1>=838pR964=4d9b6=z{=31<75rs5`94?4|V=h01;<5f29~w1e=838pR9m4=719b6=z{=n1<7=2wx8k4?:2y>70b=?27?=;48;<6e>4?23ty><7>53z?01a::764=4295<3d=:<8<1m63:2;3:1>{t=:0;6>u234f9f>;39?0i70;<:0;6?xu2<3:1?v3<5e8`?826>3i018:51878yv322908w0=:d;f891712m16984>949~w00=839p1>;k:d9>040=m27>:7?65:p12<72:q6?8j5f:?7530:?6=2wx944?:2y>70b=98168<85109>1<<61<1v8o50;1x963c289019?9:01890g=90?0q~;m:181852l3;?70;m:0;6?xu2k3:1>v3<5e822>;2k3;296s|5e83>7}:<8<1=:525e82=0=z{4><55<5s4>::7?6;<7e>4?23ty=<7>52z?753<6i27=<7?65:p24<72;q68<851c9>24<61<1v;<50;0x917128i01;<51878yv042908w0=:d;3g?826>3;o708<:0;6?xu1<3:1?v3<5e82a>;39?0:i6394;3:1>{t><0;68u234f920=:<8<1:852376920=:;21<73?<5:?n6;h4}r:e>5<5sW2m707?:g18yv?72909w0=93;:b?8?7283>7p}61;296~X>9272>7h<;|q:6?6=>r789i47e:?753=2wx5>4?:3y]=6=:1=0m?6s|9583>7}:;?914o529582=0=z{l=1<7=n:1vh650;0x96042h<01h651878yv`d2909w0=:d;d`?852m3lh7p}>0283>7}:;?>1===4=240>4643ty:=?4?:3y>732=98801>8<:031?xu6900;6?u234f954?<5:3483>7}:;;4=247>4523ty:?l4?:3y>732=9:k01>8<:01b?xu6<>0;6?u23769511<5:<86<6m;|q2<5<72;q6?;:519289604282j7p}>c383>7}Y9j801?7p}>c483>7}Y9j?01?=<:0;6?xu6k?0;6?uQ1b489753283>7p}>c683>7}Y9j=01?=n:0;6?xu6k10;6?uQ1b:89727283>7p}>c883>7}Y9j301?:9:0;6?xu6kh0;6?uQ1bc8972d283>7p}>cc83>7}Y9jh01?;=:0;6?xu6kj0;6?uQ1ba8973?283>7p}>ce83>7}Y9jn01?;j:0;6?xu6kl0;6?uQ1bg89703283>7p}>d183>7}:;4=535>4b73ty9>n4?:3y>040=9j801?v3;1782g6=:::81j>5rs310>5<5s4>::7?l5:?176>:50;0x917128i=70<<4;d0?xu5;h0;6?u240495f1<5;9j6k=4}r074?6=:r7?=;4>c99>616=n:1v?:9:181826>3;h563=478e7>{t:=i1<74ef348?o7h<;|q117<72;q68<851b`897352o90q~<:8;296~;39?0:on5224:9b6=z{;?n6=4={<622?7dl2799h4i3:p632=838p19?9:0af?841<3l87p}=7183>7}:;:>4=535>7173ty9nh4?:3y>732=:hi01>;j:3`1?xu5jo0;6?u240496de<5:?n6?ok;|q1g1<72;q68<852b68963d2;i?7p}<0083>7}:<8<1?=?4=27`>6663ty8<>4?:3y>040=;9901>;l:220?xu4800;6?u234f975?<5:?26k=4}r124?6=:r789i4<119>737=n:1v>?i:181852k39:j63<638e0>{t;;h1<764e349>m7h;;|q01=<72;q6?8k56`9>70g=90?0q~=:9;296~;4=00:585234c9b0=z{:?m6=4={<16a?4e?278:?4>949~w6072909w0=91;3:1>;4>;0m96s|37794?4|V:<>70=9a;3:1>{t;?<1<74?2349=57h;;|q022<72;q6?;651878960>2o?0q~=9a;296~;4>00:585237c9b6=z{:;4>m0:585rs24g>5<5s49=o7?65:?02a;4?90:585rs252>5<5sW9<=63<7382=0=z{:=96=4={<157?51m278;?4i3:p72g=838pR>9n;<14f?7>=2wx?:l50;0x96042:=j70=8b;d0?xu4?l0;6?uQ36g8961a283>7p}<7g83>7}:;c552z\0<5=:;1;1j>5rs2:2>5<5s49=?7=8c:?0<4<61<1v>6=:181[5?:278484>949~w6>22909w0:>6;1;6>;40<0m?6s|39;94?4|V:2270=7a;3:1>{t;1k1<76>?3493m7h<;|q0c552z?026<40116?5m51878yv5>83:1>vP<919>7<7=90?0q~=61;296~;4>:085=523839b6=z{:3?6=4={_1:0>;4110:585rs2;;>5<5s49=87=64:?0==3492m7?65:p78<:2;:?85>i3l87p}7}Y;h<01>o8:g18yv5f?3:1?v3;1780e0=:;?>1?l;4=2c4>4?23ty8m54?:3y]7d><5:k26<7:;|q0e<<72;q6?;=53`7896g>2o90q~=l0;296~X4k916?n=51878yv5d;3:1>v3;1780g5=:;j91j>5rs2f2>5<5sW9o=63j<;<1g0?7>=2wx?i:50;0x91712:on70=k4;d0?xu4l<0;6?uQ3e7896be283>7p}7}:<8<1?i;4=2fa>c552z\0`f=:;mn1j>5rs2fg>5<4s49>h7=kc:?026<4lj16?ij51878yv5cm3:1>vP7`6=90?0q~=j0;296~;39?08hh523d29b6=z{:o:6=4={_1f5>;4m:0:585rs2g0>5<5s4>::7=j1:?0a6{t;l=1<7k;;<1f51878yv2683:1>v3<5d874f=:<8:1j>5rs532>5<5sW>:=63;138e7>{t<881<716d34>:>7?65:p042=838pR9?;;<621?`43ty?=84?:5y>70b=<89019?9:530?851<3>:?63;1482=0=zugoj=7>52zJ071=zflk96=4={I100>{imh91<7=;;|lfe=<728qC?>:4}ogb=?6=9rB8?95rndcb>5<6sA9886sae``94?7|@:9?7p`jab83>4}O;:>0qcknd;295~N4;=1vbhoj:182M54<2weilh50;3xL6533tdnn=4?:0yK76251zJ071=zflh96=4>{I100>{imk91<7?tH217?xhbj=0;6=;;|lff=<728qC?>:4}oga=?6=9rB8?95rnd`b>5<6sA9886saec`94?5|@:9?7p`jbb83>6}O;:>0qckmd;297~N4;=1vbhlj:180M54<2weioh50;1xL6533tdno=4?:2yK76253zJ071=zfli96=4<{I100>{imj91<7=tH217?xhbk=0;69uG3268ykcd=3:1>vF<359~j`e12908wE=<4:maf1=83>pD>=;;|lfg=<72;qC?>:4}og`=?6=:rB8?95rndab>5<5sA9886saeb`94?4|@:9?7p`jcb83>7}O;:>0qckld;296~N4;=1vbhmj:181M54<2weinh50;0xL6533tdnh=4?:2yK76253zJ071=zfln96=49{I100>{imm91<7=tH217?xhbl=0;6vF<359~j`b1290:wE=<4:maa1=83=;;|lf`=<728qC?>:4}ogg=?6=:rB8?95rndfb>5<6sA9886saee`94?4|@:9?7p`jdb83>6}O;:>0qckkd;297~N4;=1vbhjj:180M54<2weiih50;1xL6533tdni=4?:2yK76253zJ071=zflo96=4<{I100>{iml91<7=tH217?xhbm=0;6>uG3268ykcb=3:1=vF<359~j`c1290:wE=<4:ma`1=83;pD>=;;|lfa=<728qC?>:4}ogf=?6=9rB8?95rndgb>5<6sA9886saed`94?7|@:9?7p`jeb83>4}O;:>0qckjd;295~N4;=1vbhkj:182M54<2weihh50;3xL6533tdnj=4?:0yK76253zJ071=zfll96=4={I100>{imo?1<7vF<359~j``?2909wE=<4:mac?=838pD>=;;|lfbd<72;qC?>:4}ogef?6=:rB8?95rndd`>5<5sA9886saegf94?4|@:9?7p`jfd83>6}O;:>0qckif;297~N4;=1vbk>?:180M54<2wej=?50;1xL6533tdm53zJ071=zfo:?6=4={I100>{in9?1<7vF<359~jc6?2909wE=<4:mb5?=83;pD>=;;|le4d<72;qC?>:4}od3f?6=9rB8?95rng2`>5<6sA9886saf1f94?7|@:9?7p`i0d83>4}O;:>0qch?f;295~N4;=1vbk??:182M54<2wej54zJ071=zfo;?6=4>{I100>{in8?1<7vF<359~jc7?290:wE=<4:mb4?=838pD>=;;|le5d<728qC?>:4}od2f?6=:rB8?95rng3`>5<6sA9886saf0f94?4|@:9?7p`i1d83>4}O;:>0qch>f;296~N4;=1vbk?4?:0yK76252zJ071=zfo8?6=4>{I100>{in;?1<7vF<359~jc4?290:wE=<4:mb7?=839pD>=;;|le6d<728qC?>:4}od1f?6=:rB8?95rng0`>5<6sA9886saf3f94?4|@:9?7p`i2d83>4}O;:>0qch=f;296~N4;=1vbk=?:182M54<2wej>?50;0xL6533tdm??4?:0yK76252zJ071=zfo9?6=4>{I100>{in:?1<7=tH217?xha;?0;6vF<359~jc5?290:wE=<4:mb6?=838pD>=;;|le7d<728qC?>:4}od0f?6=;rB8?95rng1`>5<6sA9886saf2f94?4|@:9?7p`i3d83>4}O;:>0qch52zJ071=zfo>?6=4>{I100>{in=?1<7:tH217?xh6i=0;6=83;pD>=;;|l2e<<728qC?>:4}o3be?6=9rB8?95rn0ca>5<6sA9886sa1`a94?7|@:9?7p`>ae83>4}O;:>0qc?ne;295~N4;=1vb50;3xL6533td:n<4?:0yK7627>51zJ071=zf8h86=4>{I100>{i9k>1<7?tH217?xh6j<0;6=;;|l2fd<728qC?>:4}o3af?6=9rB8?95rn0`g>5<6sA9886sa1cg94?7|@:9?7p`>bg83>4}O;:>0qc?l0;295~N4;=1vb:182M54<2we=n<50;3xL6533td:o>4?:0yK76251zJ071=zf8i=6=4>{I100>{i9j=1<7?tH217?xh6k10;6=;;|l2ga<728qC?>:4}o3`a?6=9rB8?95rn0ae>5<6sA9886sa1e394?7|@:9?7p`>d383>4}O;:>0qc?k3;295~N4;=1vb51zJ071=zf8n36=4>{I100>{i9m31<7?tH217?xh6lh0;6=;;|l2``<728qC?>:4}o3gb?6=9rB8?95rn0g3>5<6sA9886sa1d394?7|@:9?7p`>e383>4}O;:>0qc?j3;295~N4;=1vb51zJ071=zf8o36=4>{I100>{i9l31<7?tH217?xh6mh0;6=;;|l662<728qC?>:4}o71=?6=9rB8?95rn40b>5<6sA9886sa53`94?7|@:9?7p`:2b83>4}O;:>0qc;=d;295~N4;=1vb8?=4?:0yK76251zJ071=zf<9m6=4>{I100>{i==:1<7?tH217?xh2<80;6=;;|l600<728qC?>:4}o772?6=9rB8?95rn464>5<6sA9886sa55:94?7|@:9?7p`:4883>4}O;:>0qc;;a;295~N4;=1vb8:m:182M54<2we99m50;3xL6533td>8i4?:0yK76251zJ071=zf<>m6=4>{I100>{i=<:1<7?tH217?xh2=80;6=;;|l610<728qC?>:4}o762?6=9rB8?95rn474>5<6sA9886sa54:94?7|@:9?7p`:5883>4}O;:>0qc;:a;295~N4;=1vb8;m:182M54<2we98m50;3xL6533td>9i4?:0yK762i7>51zJ071=zf{I100>{i=?:1<7?tH217?xh2>80;6=;;|l620<728qC?>:4}o752?6=9rB8?95rn444>5<6sA9886sa57:94?7|@:9?7p`:6883>4}O;:>0qc;9a;295~N4;=1vb88m:182M54<2we9;m50;3xL6533td>:i4?:0yK76251zJ071=zf<{I100>{i=>:1<7?tH217?xh2?80;6=;;|l630<728qC?>:4}o742?6=9rB8?95rn454>5<6sA9886sa56:94?7|@:9?7p`:7883>4}O;:>0qc;8a;295~N4;=1vb89m:182M54<2we9:m50;3xL6533td>;i4?:0yK76251zJ071=zf<=m6=4>{I100>{i=1:1<7?tH217?xh2080;64290:wE=<4:m1=2=83;pD>=;;|l6<0<728qC?>:4}o7;2?6=9rB8?95rn4:4>5<6sA9886sa59:94?7|@:9?7p`:8883>4}O;:>0qc;7a;295~N4;=1vb86m:182M54<2we95m50;3xL6533td>4i4?:0yK76251zJ071=zf<2m6=4>{I100>{i=0:1<7?tH217?xh2180;6:3:1=vF<359~j0?4290:wE=<4:m1<2=83;pD>=;;|l6=0<728qC?>:4}o7:2?6=9rB8?95rn4;4>5<6sA9886sa58:94?7|@:9?7p`:9883>4}O;:>0qc;6a;295~N4;=1vb87m:182M54<2we94m50;3xL6533td>5i4?:0yK76251zJ071=zf<3m6=4>{I100>{i=h:1<7?tH217?xh2i80;6=;;|l6e0<728qC?>:4}o7b2?6=9rB8?95rn4c4>5<6sA9886sa5`:94?7|@:9?7p`:a883>4}O;:>0qc;nb;295~N4;=1vb8ol:182M54<2we9lj50;3xL6533td>mh4?:0yK76251zJ071=zf{I100>{i=k;1<7?tH217?xh2j;0;6=;;|l6f3<728qC?>:4}o7a3?6=9rB8?95rn4`;>5<6sA9886sa5c;94?7|@:9?7p`:b`83>4}O;:>0qc;mb;295~N4;=1vb8ll:182M54<2we9oj50;3xL6533td>nh4?:0yK76251zJ071=zf{I100>{i=j;1<7?tH217?xh2k;0;6=;;|l6g3<728qC?>:4}o7`3?6=9rB8?95rn4a;>5<6sA9886sa5b;94?7|@:9?7p`:c`83>4}O;:>0qc;lb;295~N4;=1vb8ml:182M54<2we9nj50;3xL6533td>oh4?:0yK76251zJ071=zf{I100>{i=m;1<7?tH217?xh2l;0;6=;;|l6`3<728qC?>:4}o7g3?6=9rB8?95rn4f;>5<6sA9886sa5e;94?7|@:9?7p`:dc83>4}O;:>0qc;kf;295~N4;=1vb8k=:182M54<2we9h=50;3xL6533td>i;4?:0yK76251zJ071=zf{I100>{i=l31<7?tH217?xh2mk0;6=;;|l6b5<728qC?>:4}o7e1?6=9rB8?95rn4d5>5<6sA9886sa5g594?7|@:9?7p`:f983>4}O;:>0qc96c;295~N4;=1vb:7i:182M54<2we;l>50;3xL6533td7>51zJ071=zf>k86=4>{I100>{i?h>1<7?tH217?xh0i<0;6=;;|l4e`<728qC?>:4}o5bb?6=9rB8?95rn6`3>5<6sA9886sa7c394?7|@:9?7p`8b383>4}O;:>0qc9m3;295~N4;=1vb:l;:182M54<2we;o950;3xL6533td51zJ071=zf>hj6=4>{I100>{i?kh1<7?tH217?xh0jj0;6=;;|l4g5<728qC?>:4}o5`6?6=9rB8?95rn6a0>5<6sA9886sa7b694?7|@:9?7p`8c483>4}O;:>0qc9l6;295~N4;=1vb:m8:182M54<2we;n650;3xL6533td51zJ071=zf>ii6=4>{I100>{i?ji1<7?tH217?xh0km0;6=;;|l4`4<728qC?>:4}o5g6?6=9rB8?95rn6f0>5<6sA9886sa7e694?7|@:9?7p`8d483>4}O;:>0qc9k7;295~N4;=1vb:j7:182M54<2we;i750;3xL6533td51zJ071=zf>nh6=4>{I100>{i?mn1<7?tH217?xh0ll0;6=;;|l4a7<728qC?>:4}o5f7?6=9rB8?95rn6g7>5<6sA9886sa7d794?7|@:9?7p`8e783>4}O;:>0qc9j7;295~N4;=1vb:k7:182M54<2we;h750;3xL6533td51zJ071=zf>oh6=4>{I100>{i?ln1<7?tH217?xh0ml0;6=;;|l4b7<728qC?>:4}o5e7?6=9rB8?95rn6d7>5<6sA9886sa7g794?7|@:9?7p`8f783>4}O;:>0qc9i7;295~N4;=1vb:h7:182M54<2we;k750;3xL6533td51zJ071=zf>lh6=4>{I100>{i?on1<7?tH217?xh0no0;6783:1=vF<359~j=66290:wE=<4:m<52=83;pD>=;;|l;40<728qC?>:4}o:`4?6=9rB8?95rn9a2>5<6sA9886sa8b094?7|@:9?7p`7c583>4}O;:>0qc6l5;295~N4;=1vb5m8:182M54<2we4n650;3xL6533td3o44?:0yK76251zJ071=zf1ih6=4>{I100>{i0jn1<7?tH217?xh?kl0;6dn3:1=vF<359~j=b7290:wE=<4:m=;;|l;`1<728qC?>:4}o:g2?6=9rB8?95rn9f4>5<6sA9886sa8e:94?7|@:9?7p`7d`83>4}O;:>0qc6kb;295~N4;=1vb5jl:182M54<2we4ik50;3xL6533td3hk4?:0yK76251zJ071=zf1o:6=4>{I100>{i0l81<7?tH217?xh?m:0;6b<3:1=vF<359~j=c2290:wE=<4:m<`0=83;pD>=;;|l;a2<728qC?>:4}o:f5<6sA9886sa8dc94?7|@:9?7p`7ec83>4}O;:>0qc6jf;295~N4;=1vb5h?:182M54<2we4k<50;3xL6533tdin<4?:0yK7627>51zJ071=zfkh86=4>{I100>{ijk>1<7?tH217?xhej<0;63:1=vF<359~jgd0290:wE=<4:mfg>=83;pD>=;;|laf<<728qC?>:4}o`ag?6=9rB8?95rnc`g>5<6sA9886sabcg94?7|@:9?7p`mbg83>4}O;:>0qcll1;296~N4;=1vbom=:182M54<2wenn=50;3xL6533tdio94?:0yK76251zJ071=zfki=6=4>{I100>{ijj=1<7?tH217?xhek10;6?uG3268ykdd13:1=vF<359~jgef290:wE=<4:mffd=838pD>=;;|lagf<728qC?>:4}o```?6=9rB8?95rncaf>5<5sA9886sabbd94?7|@:9?7p`md183>4}O;:>0qclk1;296~N4;=1vboj=:182M54<2weni=50;3xL6533tdih94?:3yK76252zJ071=zfkn=6=4={I100>{ijm=1<7?tH217?xhel10;6=;;|la`f<728qC?>:4}o`g`?6=9rB8?95rncff>5<6sA9886sabed94?7|@:9?7p`me183>4}O;:>0qclj1;295~N4;=1vbok=:182M54<2wenh=50;0xL6533tdii94?:3yK76252zJ071=zfko=6=4={I100>{ijl=1<7?tH217?xhem10;6=;;|laaf<728qC?>:4}o`f`?6=9rB8?95rncgf>5<6sA9886sabdd94?7|@:9?7p`mf183>4}O;:>0qcli2;295~N4;=1vboh<:182M54<2wenk:50;3xL6533tdij84?:0yK76251zJ071=zfkl<6=4>{I100>{ijo21<7?tH217?xhen00;6=;;|laba<728qC?>:4}o`eb?6=9rB8?95rnb23>5<6sA9886sac1394?7|@:9?7p`l0583>4}O;:>0qcm?5;295~N4;=1vbn>9:182M54<2weo=950;3xL6533tdh<54?:0yK76251zJ071=zfj:j6=4>{I100>{ik9h1<7?tH217?xhd8j0;6=;;|l`55<728qC?>:4}oa25?6=9rB8?95rnb31>5<6sA9886sac0194?7|@:9?7p`l1583>4}O;:>0qcm>5;295~N4;=1vbn?9:182M54<2weo<950;3xL6533tdh=54?:0yK76251zJ071=zfj;j6=4>{I100>{ik8h1<7?tH217?xhd9j0;6=;;|l`67<728qC?>:4}oa17?6=9rB8?95rnb07>5<6sA9886sac3794?7|@:9?7p`l2783>4}O;:>0qcm=7;295~N4;=1vbn<7:182M54<2weo?o50;3xL6533tdh>o4?:0yK76251zJ071=zfj8o6=4>{I100>{ik;o1<7?tH217?xhd:o0;6=;;|l`7=<728qC?>:4}oa0=?6=9rB8?95rnb1b>5<6sA9886sac2`94?7|@:9?7p`l3b83>4}O;:>0qcm50;3xL6533tdh8<4?:0yK7627>51zJ071=zfj>86=4>{I100>{ik=>1<7?tH217?xhd<<0;63:1=vF<359~jf20290:wE=<4:mg1>=83;pD>=;;|l`0<<728qC?>:4}oa7e?6=9rB8?95rnb6a>5<6sA9886sac5g94?7|@:9?7p`l4g83>4}O;:>0qcm:0;295~N4;=1vbn;=:182M54<2weo8=50;3xL6533tdh994?:0yK76297>51zJ071=zfj?=6=4>{I100>{ik<=1<7?tH217?xhd=10;6=;;|l`1f<728qC?>:4}oa6`?6=9rB8?95rnb7f>5<6sA9886sac4d94?7|@:9?7p`l6183>4}O;:>0qcm91;295~N4;=1vbn8=:182M54<2weh5<50;3xL6533tdo494?:3yK76252zJ071=zfm2=6=4={I100>{il1=1<7vF<359~ja>f2909wE=<4:m`=d=838pD>=;;|lg:4}of;`?6=:rB8?95rne:f>5<5sA9886sad9d94?4|@:9?7p`k9183>7}O;:>0qcj61;296~N4;=1vbi7=:182M54<2weh4=50;0xL6533tdo594?:3yK76252zJ071=zfm3=6=4={I100>{il0=1<713:1>vF<359~ja?f290:wE=<4:m`=;;|lg=f<728qC?>:4}of:`?6=9rB8?95rne;f>5<6sA9886sad8d94?7|@:9?7p`ka183>4}O;:>0qcjn1;295~N4;=1vbio=:182M54<2wehl=50;3xL6533tdom94?:0yK76251zJ071=zfmk36=4>{I100>{ilh31<7?tH217?xhcih0;6=;;|lgec<728qC?>:4}ofa4?6=9rB8?95rne`2>5<6sA9886sadc094?7|@:9?7p`kb283>4}O;:>0qcjm4;295~N4;=1vbil::182M54<2weho950;3xL6533tdon54?:0yK76251zJ071=zfmhj6=4>{I100>{ilkh1<7?tH217?xhcjj0;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd index 6ebbf87..3dfd89e 100644 --- a/cpld/XC95144XL/MXSE.ngd +++ b/cpld/XC95144XL/MXSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$56f5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?01a8EIC6WVey<=>>d:COA4YXg{:;<_^mq4566?m1J@H?P_np3457?k2KGIGKM8Ujbi>?011g?DJB9Vkeh=>?05f8EIC6Whdo<=>?5e9BH@7Xign;<=>93:CM@1=FFM8?7L@K359BJA233HDO995NNE47?DHC0=1J_hk;@QqbZEkcVCEZ<94ARpe[K6d3HYyjR``t1235a=F[{lTbbz?01321>GXNZH27LQISC>24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<0<:?DYA[K69<374A^DPF946601JSK]M<30=f>GXNZH7>>4>>89B[CUE4;9546OPFR@?6;>GXNZH7:364A^DPF91902KTJ^L38?:8EZ@TJ535h6OPFR@\55YNJ\Lo7LQISC]25ZOE]On0MRH\B^31[LDRNm1JSK]M_01\MGSAl2KTJ^LP15]JFP@c3HUM_OQ>5^KAQCbGXNZHT=5QFBTDg?DYA[KU:5RGMUGa8EZ@TJV;TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M86LNCU78FDES9<1IMNZ=5:@BGQt33KOY^85MESP32>DBZ[:yi6LJRS2q[Ziu89:;j6LJRS2q[Ziu89:;=k5MESP3vZYhz9:;DBZ[?27OK]R^KLFVb?00f8F@TUWVey<=>?2e9AAWTXWfx;<=>DBZ[xySca{0122b>DBZ[xySca{012256=E]O;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]>;Eg8@WEX[\IHI^]IUM78@QGDC?1OXLMD169GPDELzo=0HYOLKspe?ARFKBxySca{012255=C\HI@~Qaou2344703Mkm1>17:Fbpd:6681N96K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?014;?@^WIGNNHO6:2C9>6G<2:K76>O2:2C=>6G84:KBGV3OFKZ9?7DLZF39JJ==NFOOY^HJ6;HLJPVRF\L90ECXj;HL\JBBXIGNTNNJ:;HMBGQb>2CDMNZ}6:KLF@TUm2CDNH\]_omw4566n2CDNH\]_omw45669o1BCOK]R^llp5679;l0EBLJRS]mkq67889?7DAMM59JKGU13@EHC@^;;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;8:6G@CR372>OHKZ;>:6G@CR351>OHKZ8>7DALS278MJET<<1BCN]:5:KLGV023@EH_:;4INAP<0=NGJY286G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?k;HMO4Zkrp9:;>2CD^H_}5:KLVR6c3@EY[=Qbuy2345c=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok159N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos23457692^bahazt@]\kw6789837YgbenwwF46<\`gncxzM_^mq4567981_e`k`uu@\[jt789::=<5[ilglqqDXWfx;<=>=109Wmhch}}HTSb|?01207>SDNh1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG0?SVFk2\[MRQnne2345b<^YKTSl`k01235g=QXHUTc>?01a8RUGXWfx;<=>>c:TSEZYhz9:;PWI{xTbbz?013f?SVFz{Uecy>?003g?SocZHGH_Z\94jg8RlbUIDIX[_8;k0g8RlbUIDIX[_8;k3g8RlbUIDIX[_8;k2g8RlbUIDIX[_8;k5g8RlbUIDIX[_8;k4g8RlbUIDIX[_8;k7g8RlbUIDIX[_8;k6g8RlbUIDIX[_8;k93g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE23QUDBH84X^XFVJ0Yffm:;<=74_`lg4567901Tmcj?0121<>Yj}q:;<==4cov1?fu43oyin6h|b^llp5678j1moQaou23457c3oyiSca{012354bhF[VLXNRGMUG58jDUXAFHh7cO\_HMA[JDRNk1eM^Qaou2344ehF[Vddx=>?13a8jDUXff~;<=?o6`NS^llp5679?=0bLaztNG`?kGh}}ENSBLZF49mF@TU>2dII_\>9:lAAWTXNZHn7cLJRS]EWGYHJ\L27cLJRS]JKGchDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYXg{:;<=h4nEVBGNYXg{:;<=?i;oFWEFMXWfx;<=>=6:lGmkJBj2dOecBJ_N@VBa=iL`dGIRa}0123a>hCagFNSb|?01222>hCagEN;6`KioMF52=iL`dDI?94nEkmK@5e3gNbbBKPOCWE3>hCg|~DIn5aDnwwK@YHJ\Lm7cJ`uuMF[kis89::==5aDnwwK@Yig}:;<0:lGkprHMVddx=>?1333?kBh}}ENSca{0122746>7028jAir|FOTbbz?013;55=iLfCHQaou2344?33gFO_55aLEQ\BVDc3gFO_RH\B^KAQC>?003`?kJC[Vey<=>>2b9mHAUXg{:;<<=l;oNGWZiu89::8n5aLEQ\kw6788?h7cBKS^mq4566>j1e@I]Pos23441d3gFO_Ra}0122?00;7?kJPM<1e@ZK>c:lOS@Yffm:;<=j4nMUF[dhc89:;=i5aLVG\ekb789:9h6`CWD]bja67899o7cBXE^cm`5678=90bBK;;oMF51=iGL837cAJ_N@VB<=iGoy`lw?129mKscudhs;SLmcr^KMR4e?0331?kIqm{fju=Qnne234556:2dDzh|cax2\ekb789:?=?5aOwgqhd7Whdo<=>?5008jJpbzekrhUIZ?0b_O\149mVDU5=2dYM^=:;oPBW13hRLZUBCOj4nTFP[LIEWFH^Jn5aUEQ\ekb789:o7c[KS^cm`56788n0bXJ\_`lg4567:j1eYI]Pnnv3457c3g_O_R``t123542?0e9mQRCXign;<=>>d:lVS@Yffm:;<=j4nTUF[dhc89:;895aVLC;?kPJIVCDNi5aVLC\MJDXGK_Mh6`YM@]\ekb789:n7cXBA^]bja6789;h7cXBA^]lv5678m1eZ@OP_np34566l2d]ALQPos23454c3g\FMRQ`r12346bhQEHUTc>?014g?kPJIVUd~=>?06f8jSKFWVey<=>?8e9mRHGXWfx;<=>6c:lUIDYffm:;<=j4nWOB[dhc89:;=i5aVLC\ekb789:9h6`YM@]bja67899o7cXBA^cm`5678=n0b[CN_`lg4567=m1eZ@OPaof34561l2d]ALQnne2345133g\[M85aVQC21>hQXH837cX_A^DPFa=i^YKTJ^LPOCWE<>hQXHUBCOj4nWRB[LIEW@H^J>5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>a8c8DE~6im0M694>{R`3>6>?28i36<=;6473>4d5ljqe?5;51:l0<3<13-93<7=88:Pec<4010:o54>354615<6j;nn7^?n9;67=98>51c0f4>Ufn3>?47=51265106=9k8n=6j>ae83>4<6sZh;6>67:0a;>453>5yTgf94?7=939<4v]m0;1;{#9jk189l4$023>5=#nl0?8i5+fg8267=e9hn1<7:<:849e0}O;;=:0cg?_g72;q36o4r$0c4>62d3`ki6=44o2`a>5<5<5<5<5<5<5<6=44i560>5<5<5<>o6880;66a>i4lh0;66a;2983>>i4k?0;66a>o3>i6mo0;6)?k2;3fa>h6l80;76a>ee83>!7c:3;ni6`>d082?>i6n10;6)?k2;3fa>h6l80976a>f683>!7c:3;ni6`>d080?>i6n?0;6)?k2;3fa>h6l80?76a>f483>!7c:3;ni6`>d086?>i6n=0;6)?k2;3fa>h6l80=76a>f283>!7c:3;ni6`>d084?>i6n;0;6)?k2;3fa>h6l80376a>f083>!7c:3;ni6`>d08:?>i6n90;6)?k2;3fa>h6l80j76a>eb83>!7c:3;ni6`>d08a?>o2j3:1(;:k67=d386e>h6l80876g:5;29 4b52:598m02=83.:h?4:a:l2`4<232c>?7>5$0f1>0gm6`>d084?>o293:1(50;&2`7<2i2d:h<46;:k7b?6=,8n968o4n0f2>d=d386e>h6l80i76g;d;29 4b52:b98m1e=83.:h?4:a:l2`45$0f1>0gm6`>d08e?>o193:1(42<3`?h6=4+1e091d=i9m;1=854i4494?"6l;0>m6`>d0822>=e;1l1<7?m:5`91c}O;;=:c38^d6=9r31q)?n7;17g>\4=80:wl75}hc5>5<5<7?m;o3g5?4<3`;<6=4+1e095g=i9m;1?65f1483>!7c:3;i7c?k1;68?l73290/=i<51c9m5a7==21b=>4?:%3g6?7e3g;o=784;h31>5<#9m81=o5a1e393>=n980;6)?k2;3a?k7c93207d??:18'5a4=9k1e=i?59:9jb?6=,8n96d=5<#9m81=o5a1e39`>=n:=0;6)?k2;3a?k7c93o07d<<:18'5a4=9k1e=i?5f:9a72e=83;1<7>t$271>46a3A96=:0`a?>{e;>n1<7?50;2x 6352:?m7E=8b:J01`=#;181=o84$0c;>12d3f;h;7>5$2:1>4de32wi?k850;796?0|,:?96<>6;I14f>N4=l1/=?>56:&2e=<3xof=3:17dok:188md7=831bn?4?::m2ag<722h:io4?:783>5}#;181==l4Z272>4}f13wb=l<50;&0<7<5i;10c4<729q/?5<539;8k4de290/?5<51c`8?xu6mk0;6?uQ1d`894ce28hi7p}m2;296~Xe:27:io4>1d9~wdb=838pRlj4=0ga>7g53tyj97>52z\b1>;6mk0j96s|a083>7}Yi816=hl5a79~w46a2909w0?jb;10e>;4?o0:no5r}c634?6==381:v*<53824<=O;>h0D>;j;%314?0<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e;o=1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb522>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=59;294~"4=;0?96F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e5;29 6>528;n76g>1783>!5?:3;:i65f10594?"40;0:=h54i03;>5<#;181=t$271>13<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=n98?1<7*<83825`=5$2:1>47b32c:=54?:%1;6?76m21d=o:50;&0<7<6jk10qo?>c;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c101?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg54>3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl<3683>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;:21<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?>750;194?6|,:?969>4H25a?M52m2.84?4528hi76sm14594?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8?36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c36=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:9l4?:583>5}#;<818<5G36`8L63b3-93>7=i;%3b528hi76sm3gc94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn>hl:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5al3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`0bc<72=0;6=u+340904=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e9oh1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0d`>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f4`c29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?ie;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6no0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f76529086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;3i6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0:g?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th95i4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a6t$271>4463A96=:0`a?M5?921vn?7i:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1e5<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5i80;694?:1y'704=<81C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>4de32wi?:>50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c145?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg50:3:1?7>50z&017<4?h1C?:l4H27f?!5?:39<56*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?:=50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f61329096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=85;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c142?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg50?3:187>50z&017<392B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f626290>6=4?{%166?253A96=:03f?>o69:0;6)=72;32a>=n98>1<7*<83825`=5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a715=8381<7>t$271>4463A96=:0`a?M5?921vn>:;:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg53=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl<4783>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`002<72<0;6=u+340907=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?l76<3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:9o6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>=j:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`07c<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6;k0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:508L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?>e:9l5g2=83.84?4>bc98yg73<3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=9;50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f42129086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8><6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c37h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:844?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b4`83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`27f<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6;m0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<=j:187>5<7s-9>>7:>;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65`1c694?"40;0:no54}c30b?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7383:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=9?50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm15094?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yve=83>pRn5210c9545<5:>:6;<176?7682wxh7>54z\g?876i3;:863<408257=:;=91=<>4}rg94?2|Vl16={tn3:18vPi;<32e?76>278?n4>139>512=98;0q~??:186[7734;:m7?>7:?004<69=16?9;51028942328;97p}>1;297~X6927:=l4>199>76e=98;0q~?=:187[7534;:n7?>1:?035<69;16=9=51038yv74290?wS?<;<32f?76:278;=4>109>515=9880q~?;:187[7334;:n7?>3:?035<69:16=9=51018yv72290?wS?:;<32f?76<278;=4>159>515=98>0q~?8:184[7034;:n7?>5:?070<69816?kl5102897?d28;;70=81;325>;6<80:=<5rs0;94??|V83013;:<63477349<=7?>2:?27g<69916=9851008yv7f290hwS?n;<32f?76?278?84>139>501=98:01>hn:031?87aj3;:<63>fb8254=::0l1=47534;?;7?>0:?20<<69816=9o51038yv7d2902wS?l;<32f?760278?:4>119>50?=98:01>hk:033?87am3;:<63=9d8255=:;>91=<>4=061>4763ty9?7>53z\17>;69h0:=<52352954763<418257=z{>h1<7=t^6`8916628;;70=ia;325>{ti?0;6>uQa79>7c0=i8168=>5a09~w47>2909wS?>9:?25f<6j=1v1b8254=z{8;i6=4={<32f?7e<27:=n4>139~w45f2909wS?488257=z{89h6=4={<30g?7e<27:?i4>139~w45c2909w0?;6;l0:=<5rs01f>5<5s4;8i7?m4:?27c<69;1v<=i:181874n3;i863>418257=z{8>;6=4={<374?7e<27:8<4>139~w4262909w0?;1;3a0>;6<;0:=?5rs060>5<5s4;??7?m4:?27c<6981v<:;:181873<3;i863>3d8257=z{8>>6=4={<371?7e<27:8l4>139~w4212909w0?;6;3a0>;6;j0:=<5rs064>5<5s4;?;7?m4:?27f<69;1v<:7:18187303;i863>3d8256=z{8>26=4={<37=?7e<27:8=4>109~w42f2909w0?;a;3a0>;6;m0:=<5rs075>5<5sW;>:63>5`82f1=z{8?<6=4={<363?7e<27:9l4>139~w43?2909w0?:8;3a0>;6=h0:=<5rs07:>5<5s4;>57?m4:?21d<69:1v:181850k3;;i63;018b`>{t9k81<74e0349m:7l=;|q2bd<72;qU=ko4=321>4d33ty:jo4?:3y>5cd=9k>01;|q2ba<72;q6=kj51c68976728;97p}>fd83>7}:9oo1=o:4=321>4753ty:jk4?:3y>5c`=9k>01?>>:032?xu5890;6?u221295g2<5;::6=?51c68976528;:7p}=9`83>7}Y:0k01?o>:0`7?xu51k0;6?u228`95g2<5;3m64m51c6897g628;:7p}=9e83>7}::0n1=o:4=3c3>4763ty95h4?:3y>601?o?:031?xu51o0;6?u228d95g2<5;k:6l>51c6897g628;87p}<3583>7}Y;:>01>=6:0`7?xu4;<0;6?u232795g2<5:926;|q073<72;q6?>851c68965?28;:7p}<3683>7}:;:=1=o:4=21;>4753ty8?54?:3y>76>=9k>01>=6:031?xu4;k0;6?uQ32`8965a28h?7p}<3b83>7}:;:i1=o:4=21g>4763ty8?i4?:3y>76b=9k>01>=i:032?xu4;l0;6?u232g95g2<5:9m651c68962128;97p}<4083>7}:;=;1=o:4=21f>4763ty88?4?:3y>714=9k>01>:8:032?xu4<:0;6?u235195g2<5:><67}:;=?1=o:4=264>4733ty88;4?:3y>710=9k>01>=k:031?xu4<>0;6?u235595g2<5:9n67}:;>:1=o:4=254>4753ty8;<4?:3y>727=9k>01>99:032?xu4?;0;6?u236095g2<5:=>6;|q036<72;q6?:=51c68961228;97p}<7583>7}:;>>1=o:4=254>4743ty8;84?:3y>723=9k>01>99:031?xu4??0;6?u236495g2<5:=<6;|q0b3<72;q6?k851d`891672k80q~=i8;296~;4n?0jh63hn:0`7?85an3;:>6s|3g`94?4|5:li6{t;on1<74d3349mj7?>3:p7cc=838p1>hj:0`7?85an3;:=6s|41294?1|5=:;6109>69=:032?873=3;:<63>478254=z{=:96=4={<634?g234>;=7?m4:p05c=838pR9>j;<1e3?7682wx89;50;6xZ12234;>47?>0:?031<69916=9651028yxd3<00;6>:5c98f3~N4=l1/?8<51g;8^d6=:r21m7s+1`5971eh4?::m1ac<722e?>=4?::k0e5<722e?=>4?::m742<722e8n94?::k06f<722c5;n1e6?6=3`9o;7>5;h671?6=3`>::7>5;h3ee?6=3f>:<7>5;h0:e?6=3f8o;7>5;n1ff?6=3f;nj7>5$0f1>4cb3g;o=7>4;n3f`?6=,8n965$0f1>4cb3g;o=7<4;n3e3?6=,8n965$0f1>4cb3g;o=7:4;n3e1?6=,8n965$0f1>4cb3g;o=784;n3e7?6=,8n967>5$0f1>4cb3g;o=764;n3e5?6=,8n965$0f1>4cb3g;o=7o4;n3fg?6=,8n96!7c:3;37c?k1;38?l72290/=i<5199m5a7=:21b=94?:%3g6?7?3g;o=7=4;h30>5<#9m81=55a1e390>=n9;0;6)?k2;3;?k7c93?07d?>:18'5a4=911e=i?56:9j55<72-;o>7?7;o3g5?1<3`l1<7*>d382<>h6l80376gj:18'5a4=911e=i?59:9j`?6=,8n96<64n0f2>d=7?7;o3g5?b<3`896=4+1e095==i9m;1i65f2083>!7c:3;37c?k1;d8?l47290/=i<5199m5a7=9910e1:9j5`<72-;o>7?7;o3g5?7532c:h7>5$0f1>4>5<#9m81=55a1e3951=:183!52:3;;j6F<7c9K70c<,:296>66;%3b7<1s-9>>7??9:J03g=O;=<=i0V>;>:0yb=?{ni<0;66gnd;29?lg62900eo<50;9l5`d=831i=hl50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36d94?7=83:p(>6=:2::?j7ej3:1(>6=:0`a?>{t9lh1<77}Yj;16=hl510g8yvgc2909wSok;<3ff?4f:2wxm84?:3y]e0=:9lh1m85rs`394?4|Vh;01v3>ec807d=:;>l1=ol4}|`2ff<72<096;u+340955?<@:=i7E=:e:&265<13-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj>o1<7=52;6x 63528:37E=8b:J01`=#9h2189m4Z272>4}f13wbm<4?::ka6?6=3f;nn7>5;c3ff?6==381:v*<53824d=#9h2189m4Z272>4}f13wd=hl50;9j5a5=831bn?4?::kb`?6=3`k:6=44b02b>5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61b290:6=4?{%1;6?7e>2e:o:4?:%1;6?7ej21vo<50;0xZg4<58:j60`8b2>{tim0;6?uQae9>55g=:h80q~?k3;296~X6l:16==o532c8yv7bj3:1>vP>ec9>55g=9kh0q~=:f;296~;68h0j963<7d82g2=zuk;1<7?50;2x 63c2=1/?8<511d8 6>52:227)?n8;67g>i68l0;6)=72;3af>=z{8oi6=4={_3ff>;6mk0:io5rsc094?4|Vk801;<3ff?g63ty96=4<{<3ff?7c;27:io4nd:?2>46b3-9>h7;4}|`16=<72:0969u+340955><@:=i7E=:e:&265<13-;j47:;c:X014<6sh31qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<6sh31qb?jb;29?l7c;3:17dl=:188mdb=831bm<4?::`24d<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=1d9~wd7=838pRl?4=02b>d06s|1e194?4|V8n870??a;10e>{t9lh1<74e03twi=7>51;294~"4=m0?7)=:2;33b>"40;08445+1`:901e63>ec8a6>{ti80;6?uQa09>5`d=i81v?4?:2y>5`d=9m901>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj57s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`;9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd58=0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=80:wl75}hc2>5<\4=80:wl75}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj:8i6=4<:387!52:3;;46F<7c9K70c<,88;6;5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk26pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk26pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4f;3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{e:k91<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk8h47>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi>ij50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7c529086=4?{%166?7e02B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=<4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7`e=8381<7>t$271>4463A96=:0`a?M5?921vn9?>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg45=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=2783>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:;=1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?o750;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7b229096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c1a1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?=94?:283>5}#;<81=o64H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb2d0>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm43194?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj=8?6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c611?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?>;4?:483>5}#;<818?5G36`8L63b3-93>7=i;%3b4;29 6>528;n76a>b583>!5?:3;in65rb2gf>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?k?50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c06N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg4213:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=5`83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`11g<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;<;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?8>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg41:3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm27494?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn?87:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb34:>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5>j0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a63c=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:?l1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<82;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c047?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9;94?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f71?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;=26=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c04e?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg40j3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>:k50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm26d94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj;2;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?6>:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1<1<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd50<0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<7b;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd50j0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=o64H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb3;3>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f7?629096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo<62;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0:7?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:jk1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0``?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9oh4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a6f`=83?1<7>t$271>14<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm2e394?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qob99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn?m?:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1g4<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>n<50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2b194?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj;i?6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0`1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9o;4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:k<1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>o950;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2c:94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:kk1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0ag?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:kn1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0ab?6=<3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;k>6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0bf?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9mn4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:ho1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>lh50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7d729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd5j;0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?o9:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb3c4>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f7g?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;k26=4;:183!52:3>:7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21d=o:50;&0<7<6jk10qob89K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?mb:9~f4db29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?mf;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6k90;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8i96=4::183!52:3>97E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21b=<:50;&0<7<69l10cc283>6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f75d29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;><6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c07b?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th99=4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a607=8381<7>t$271>4463A96=:0`a?M5?921vn?;=:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg42;3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=5583>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:5$2:1>4de3A93=65rb31g>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5<90;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b1<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm25794?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg43>3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<;9;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c07e?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:=h1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>9m50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c07`?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg43m3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>=850;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c025?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9=?4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a645=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:8>1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb336>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e:821<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb324>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=54;294~"4=;0:n45G36`8L63b3-93>7?m7:&2e=<33;29 6>528;n76a>b583>!5?:3;in65rb32:>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f76f290?6=4?{%166?263A96=:03f?>o69:0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c03`?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:9o1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c024?6=<3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj=:36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c63=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a05d=83>1<7>t$271>4d>3A96=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`15a<72=0;6=u+340904=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e:8o1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb33e>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>?<50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c017?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:;>1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c01e?6=<3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98k4d3290/?5<51c`8?xd5;;0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a662=8381<7>t$271>4463A96=:0`a?M5?921vn?=::181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg44>3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=3683>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e::21<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb31:>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm23a94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:;o1<7:50;2x 63528h27E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10c1<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10q~m50;0xZf=::;<51038yvc=838pRh5227:954763c=98;0q~??:181[77348<87?>1:p54<72;qU=<5226c95477}Y9:16>5851038yv732909wS?;;<0;g?7692wx=;4?:3y]53=::<21=<<4}r34>5<5sW;<70<60;326>{t9m0;6?uQ1e9>636=9880q~?j:181[7b348=:7?>2:p5c<72;qU=k5227a95446s|2083>7}Y:816>:651008yv452909wS<=;<04a?76:2wx>>4?:3y]66=::1>1=<<4}r07>5<5sW8?70<7a;326>{t?k0;6<<75b39>5ge=j;16>nm5100897ea28;:70;5k;0:=?522b59546<5;kn6119>602=98:01?:6:032?84693;:<63=128254=::;;1=<>4=316>4773ty55z?4a?7bj279o>4>119>605=98:01??;:033?845j3;:=6s|a783>f}Yi?16><75a09>5ge=i816;h4n1:?16=o4n1:?1e64n1:?1g=119~w4d62908w0=8c;33a>;5900jh63>bb8b`>{t9ki1<79t=0``>4ce348hh7?>0:?1ec<69916>8?51028976?28;970<>b;326>;5;;0:=<5rs0`g>5<5s4;io7o:;<3`7?7e<2wx=ok50;0x94db28h?70?l2;326>{t9kl1<74d334;h>7?>3:p5f6=838p1{t9li1<7f183>7}Y9o:01?8<:0`7?xu6n80;6?uQ1g38970>28h?7p}>f383>7}Y9o801?8i:0`7?xu6n:0;6?uQ1g18971228h?7p}>f583>7}Y9o>01?9m:0`7?xu6n<0;6?uQ1g7897>628h?7p}>f783>7}Y9o<01?68:0`7?xu6n>0;6?uQ1g5897>c28h?7p}>f983>7}Y9o201?7<:0`7?xu6nh0;6kuQ1gc897bc28;;70;5k=0:==522`g9547<58i96;<066?768279984>119>617=98;01??=:033?846=3;:<63;098255=::;81=475348887?>0:p655=83>p1?<8:0`7?825>3;:=634}r030?6=:r79<94>ec9>670=98:0q~63=1182f1=z{;:=6=4={<032?7e<279

109~w7602909w0;58h0:=>5rs32;>5<5s48;47?m4:?14g<69;1v?>6:18184713;i863=0b8257=z{;:j6=4={<03e?7e<279==4>129~w76e2909w0;58m0:=<5rs32`>5<5s48;o7?m4:?14a<69;1v?>k:181847l3;i863=0d8257=z{;:n6=4={<03a?7e<279109~w76a2909w0;5990:=?5rs332>5<5s48:=7?m4:?14=<6981v??=:181846:3;i863=098256=z{;;86=4={<027?7e<279109~w7732909w0<>4;3a0>;5800:=<5rs336>5<5s48:97?m4:?14<<69;1v??9:181846>3;i863=0g8257=z{;;<6=4={<023?7e<279==4>109~w77?2909w0<>8;3a0>;58h0:=<5rs33:>5<5s48:57?jb:?160<6991v??n:18184613k>70<>c;3a0>{t:8h1<74d3348987?>1:p64b=838p1??k:0`7?845;3;:=6s|20g94?4|5;;n6{t:;:1<74d33489>7?>4:p677=838p1?<>:0`7?846k3;:>6s|23094?4|5;896?=50;0x974428h?70<=4;326>{t:;>1<74d3348:o7?>1:p673=838p1?<::0`7?845?3;:=6s|23494?4|5;8=6?650;0x974?28oi70{t:;31<7g4<5;9:6?o51c68975728;:7p}=2c83>7}::;h1=o:4=30g>4763ty9>n4?:3y>67e=9k>01?;|q16`<72;q6>?k51c68974a28;97p}=2g83>7}::;l1=o:4=313>4753ty9?=4?:3y>666=9k>01?=>:032?xu5;;0;6?u222095g2<5;8n6>=51c68974e28;97p}=3583>7}:::>1=o:4=30g>4753ty9?84?:3y>663=9k>01?;|q172<72;q6>>951c68974d28;:7p}=3983>7}:::21=o:4=30`>4753ty9?44?:3y>66?=9k>01?=>:031?xu5;h0;6<8t=31b>4ce349i57?>0:?11=<69816>8751028970728;:70<91;324>;5>?0:=<522759546<5;;<05`?768279;?4>109>625=98:01?97:032?84013;:<63=7d8254=::>l1=<>4=3:7>476348397?>0:?15l5102897?728;:70<61;324>{t::h1<7g4<5;>n6>m51c68975a28;:7p}=3e83>7}:::n1=o:4=36a>4753ty9?h4?:3y>66c=9k>01?:k:031?xu5;o0;6?u222d95g2<5;>?6;|q105<72;q6>9>51c68972d28;:7p}=4083>7}::=;1=o:4=366>4763ty98?4?:3y>614=9k>01?:::031?xu5<:0;6?u225195g2<5;>=69:51c68972?28;97p}=4483>7}::=?1=o:4=36:>4753ty98;4?:3y>610=9k>01?:n:031?xu5<>0;6?u225595g2<5;9m69651c68972b28;:7p}=4883>7}::=31=o:4=36b>4763ty98l4?:3y>61g=9k>01?:m:032?xu5h69m51c68972c28;:7p}=4e83>7}::=n1=o:4=36f>4753ty98k4?:3y>61`=9k>01?:;:031?xu5=90;6?u224295g2<5;>;68?51c68972628;97p}=5383>7}::<81=o:4=361>4763ty99>4?:3y>605=9k>01?:=:030?xu5==0;6?u224695g2<5;>86;|q110<72;q6>8;51c68972428;97p}=5683>7}::<21=o:4=37a>4763ty9954?:3y>60?=9k>01?;n:031?xu5=00;6?u224c95g2<5;?i6;>51c68970428;:7p}=6183>7}::?;1=o:4=341>4753ty9:<4?:3y>634=9k>01?8<:031?xu5><0;6?u227495g2<5;<26;|q123<72;q6>;951c68970?28;97p}=6683>7}::?21=o:4=34:>4753ty9:o4?:3y>63e=9k>01?8i:032?xu5>j0;6?u227f95g2<5;;k51c68970a28;97p}=7083>7}::>81=o:4=356>4763ty9;?4?:3y>625=9k>01?9;:031?xu5?:0;6?u226695g2<5;=>6:651c68971e28;:7p}=7983>7}::>31=o:4=35b>4753ty9;44?:3y>62g=9k>01?9m:031?xu5?m0;6?u226g95g2<5;2:6;|q13`<72;q6>:h51c6897>728;97p}=7g83>7}::1:1=o:4=3:2>4753ty94>4?:3y>6=2=9k>01?68:032?xu50=0;6?u229795g2<5;2=65851c6897>028;97p}=8883>7}::1k1=o:4=3:g>4763ty94l4?:3y>6=d=9k>01?6l:031?xu50k0;6?u229a95g2<5;2o64>51c6897?428;:7p}=9183>7}::0;1=o:4=3;1>4753ty95<4?:3y>6<4=9k>01?7<:031?xu51h0;6?uQ28c8917628;;7p}=a283>47|5;k86139>6f`=98901?m?:032?84d>3;:>63=ae8255=::h21=4773488o7?>2:?17`<69916><851028976f28;970<>d;325>;59l0:==5223c9547<5;9=6l=5b39>6dg=9k>0q~52z?1e3<6j=16>lo51038yv4f?3:1>v3=a682f1=::h31=b59>6dg=9880q~52z?1eg<6j=16>o<51008yv4fk3:1>v3=ab82f1=::h<1=b59>6d0=9880q~52z?1ec<6j=16>l951038yv4e83:1>v3=b182f1=::h=1=<<4}r0a5?6=:r79n<4>b59>6d?=9890q~515y>6g5=9lh01?j9:032?84di3;:=63=cg8251=::j?1=<>4=3`5>476348j97?>0:?1ef<69816>o>5102894da28;;70<;f;324>;5;m0:==522529547<5;:=6;<023?76:279=54>119>64b=98801??i:033?845i3;:>63=368255=z{;h?6=4={<0a7?d5348ij7?m4:p6g3=838p1?l::0`7?84e>3;:>6s|2c494?4|5;h=6o950;0x97d028h?70{t:k21<74d3348im7?>2:p6g?=838p1?l6:0`7?84en3;:?6s|2cc94?4|5;hj6ol50;0x97de28h?70{t:ki1<74d3348ih7?>2:p6gb=838p1?lk:0`7?84em3;:=6s|2cg94?4|5;hn6n>50;0x97e728h?70{t:j;1<74d3348i;7?>2:p6f4=838p1?m=:0`7?84e03;:=6s|2b194?4|5;i86n:50;0x97e328h?70{t:j?1<74d3348ii7?>2:p6f0=838p1?m9:0`7?84e13;:=6s|2b594?4|5;i<6n650;3284d03;nn63=cd8255=::k?1=<>4=3a3>475348jn7?>0:?1ef<69;16>o?5102894db28;;70<:0;324>;5<10:=<522149544<5;;<6129>676=98:01?4d33ty9ol4?:3y>6fg=9k>01?j=:032?xu5kj0;6?u22ba95g2<5;n:6;|q1ga<72;q6>nj51c6897b728;97p}=cd83>7}::jo1=o:4=3f0>4753ty9ok4?:3y>6f`=9k>01?mm:031?xu5l90;6?u22e295g2<5;n:6i?51c6897b528;97p}=d383>7}::m81=o:4=3f0>4763ty9h>4?:3y>6a5=9k>01?mm:032?xu5l=0;6?u22e795g2<5;n=6i94=3g1>4d33ty9hi4?:3y>6ab=9k>01?k=:031?xu4:k0;6>uQ2dd8964e28oi70:?a;324>{t;;i1<7;t^20`?84d:3;:=63=438257=::891=<<4=310>4773ty8>h4?:by]77c<5;im6109>6g1=98;01?ln:032?844k3;:=63=478254=::8=1=476348:n7?>1:?17<<6991v>6k:181855j3h970{t;h:1<78t^2c3?826<3;:>634=2gf>47734>;n7?>2:p7g2=838pR>l;;<1a0?7bj2wx?o850;0x96d32h;01>l::0`7?xu4j10;6?u23c69f7=:;k31=o:4}r1g3?6=:rT8h:523g2954652z\0ag=:;li1=o:4}r1f`?6=:r78in4>119>7c7=9k>0q~=je;296~;4ml0:n9523g3954452z?0ac<6j=16?k?51018yv5a83:1>v352z?0b6<6j=16?k:51008yv27?3:1>vP;069>05e=9k>0q~:?8;296~;3810:n95241`9547;57>52z?74<<6j=168=l51018yv27i3:1>v3;0`82f1=:<9i1=<<4}r63f?6=:r7?b59>05e=98;0q~:>0;296~X399168vP;129>042=9k>0q~:>6;296~X39?168?;51028yv2583:1>vP;219>077=9k>0q~:=2;296~;3:80:==5243495g29?7>52z?766<6j=168?851008yv25<3:1>v3;2582f1=:<;<1=<=4}r611?6=:r7?>84>b59>070=98>0q~:;5;291~X3<<168<:5103896`428;;70:=4;324>;4mo0:==5r}c1:2?6=9:0=m79;{I16a>"4=;0:?55+1`5971e>4?::k0e5<722e5;h5a>5<5<5<>6=44i535>5<i6=44o3f4>5<5<>d4?j0;6<4?:1y'704=99l0D>9m;I16a>"40;08445+1`:901e3}#;<81==74H25a?M52m2.:m54;4b9Y707=9rk26pgn5;29?lgc2900el?50;9jf7<722e:io4?::`2ag<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=ec83>7}Y9lh01vPm2:?2ag<69l1vlj50;0xZdb<58oi6?o=;|qb1?6=:rTj963>ec8b1>{ti80;6?uQa09>5`d=i?1v<>i:18187bj398m63<7g82fg=zuk=m6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e9ki1<7;52;4x 63528:27E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb1?6=3`ko6=44i`394?=nj;0;66a>ec83>>d6mk0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181?574o0`a>5<#;181=ol4;|q2ag<72;qU=hl4=0ga>4de3tyi>7>52z\a6>;6mk0:=h5rs`f94?4|Vhn01vPn5:?2ag5<5s4;nn7=099K72d<@:?n7)?n8;67g>\4=80:wl75}hc2>5<\4=80:wl75}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj;n1<7;52;4x 63528:27E=8b:J01`=#9h2189m4Z272>4}f13wbm84?::kb`?6=3`k:6=44ic094?=h9lh1<75m1d`94?0=83:p(>6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>6>>3f;in7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?mb:pf7<72;qUn?521d`954c6s|a483>7}Yi<16=hl5a49~wd7=838pRl?4=0ga>d052z?2ag<4;h16?:h51c`8yxd5=3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d?=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d?=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi=>?50;196?2|,:?96<>7;I14f>N4=l1/=?>56:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:r.89?4>089K72d<@:?n7)?n8;67g>\4=80:wl75}hc6>5<>oe:3:17b?jb;29?g7bj3:1:7>50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;08445`1c`94?"40;0:no54}r3ff?6=:rT:io521d`95gd7}Yim16=hl52`08yvg22909wSo:;<3ff?g23tyj=7>52z\b5>;6mk0j:6s|11d94?4|58oi6>=n;<14b?7ej2wvn<:m:186>7<1s-9>>7??9:J03g=O;{`;9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=m6=4>:183!5?:39356a>bc83>!5?:3;in65rs0ga>5<5sW;nn63>ec82fg=z{k81<7d30g83>7}:9lh1?>o4=25e>4de3twi=;650;796?0|,:?96<>6;I14f>N4=l1/=l6545a8^63628qj57sfa483>>ofl3:17do>:188mg4=831d=hl50;9a5`d=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>l1<7?50;2x 6>52:227b?mb;29 6>528hi76s|1d`94?4|V8oi70?jb;3af>{tj;0;6?uQb39>5`d=98o0q~ok:181[gc34;nn73}#;<81==74H25a?M52m2.:m54;4b9Y707=9rk26pgn5;29?lgc2900el?50;9jf7<722e:io4?::`2ag<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=ec83>7}Y9lh01vPm2:?2ag<69l1vlj50;0xZdb<58oi6?o=;|qb1?6=:rTj963>ec8b1>{ti80;6?uQa09>5`d=i?1v<>i:18187bj398m63<7g82fg=zuk;>>7>55;092~"4=;0:<45G36`8L63b3-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj8==6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e9m>1<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk;o47>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi=8:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f4g329096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo7?:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb05:>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?j8;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6m00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;j31<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;o50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm17`94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<8k:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`20a<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd69m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8?;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<;>:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2=a<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd61l0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8k;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2`d<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi=ih50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c3f4?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7b93:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>e383>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9l91<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=h:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f4c229086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8o=6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb0f`>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6lm0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=o74H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65`1c694?"40;0:no54}c3g2?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7c?3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>ij50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7c529096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0fh0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj8?o6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c36b?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9?:1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;?50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f40529096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?93;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c350?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg71=3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;850;194?6|,:?969>4H25a?M52m2.84?4528hi76sm14g94?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg5>29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:k1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=m:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5d29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=k:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb2g94?2=83:p(>;=:538L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?mb:9~f40a29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8=;6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c345?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:;?4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a525=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9>>1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?85;290?6=8r.89?4;1:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65f10194?"40;0:=h54o0`7>5<#;181=ol4;|`:6?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th2?7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th297>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?j7e<3:1(>6=:0`a?>{e1>0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg7en3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>c183>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9j;1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0a1>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f4e429086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8i?6=4<:183!52:3;i46F<7c9K70c<,:296c483>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2g3<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj::1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2394?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?>4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a71<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4=3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=:7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21d=o:50;&0<7<6jk10qo=8:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|q11?6=:rT9963=5;3ff>{t:m0;6<>t^3f897b=9lh01<8n:032?871j3;:<63>4e8254=:9=o1=<>4=0;g>47634;2i7?>0:?2`c<69;16>ij51028943a28;970=m:033?870<3;:=6364;324>;6jl0:==52328255=z{;o1<7:t=3f9f7=:9hi1n?521649e0=:;l0:n95rs3d94?4|5;n1m8523682f1=z{::1<71=<<4}r12>5<5s49:64753ty8>7>52z?06?7e<27897?>2:p76<72;q6?>4>b59>73<69:1v>:50;0x962=9k>01>951038yv522909w0=::0`7?85128;:7p}<6;296~;4>3;i863<7;326>{t;10;6?u23882f1=:;l0:=?5rs2;94?4|5:k1=o:4=2f95474d3349o67a<6j=16?h4>109~w2d=83hpR:l4=0``>g4<50:1=47634;n<7?>2:?225<69;16?44>109>53`=988014;5102894e628;;70=>:032?xu0n3:1>vP8f:?4b?7bj2wx4k4?:3y>3cb59>=3<69;1v4=50;0x9<5=9k>014851018yv?32909w07;:0`7?8?128;?7p}65;296~;>=3;i86367;326>{t1?0;6?u29782f1=:1>0:=<5rs`494?76sWk=70?87;c2?81a2h;0160?51d`894bf28;;70?j3;326>;6l?0:=?5214f9546<5091=<>4}r306?6=;rT:??52918257=:9>31=<>4}r30e?6=1rT:?l523b59547<58o;6;<0f6?76827::=4>109>7<<69;16=;h5103894e228;:70=::032?xu64b83>7}:9=h1n?5214395g252z?20a<6j=16=8?51038yv73m3:1>v3>4d82f1=:9<:1=b59>506=9880q~?:0;296~;6=90:n9521439544>7>52z?217<6mk16=9j51008yv72;3:1>v3>538a6>;6==0:n95rs075>5<4sW;>:63=e68254=:9j81=o7>52z?21gb59~w43c2909w0?:d;3a0>;6>:0:=?5rs07e>5<5s4;>j7?m4:?220<6981v<8?:18187183;i863>658254=z{8<:6=4={<355?7e<27::94>139~w4052909w0?92;3a0>;6>?0:=?5rs040>5<5s4;=?7?m4:?21`<6981v<8;:181871<3;i863>648257=z{8<>6=4={<351?7e<27::;4>109~w4012909w0?96;3a0>;6=l0:=?5rs04;>5<5sW;=463>6982ag=z{8<26=4={<35{t9?o1<7d3<58=>67183>7}:9>:1=o:4=050>4753ty:;<4?:3y>527=9k>01<9::032?xu6?;0;6?u216095g2<58=>67583>7}:9>>1=o:4=056>4753ty:;;4?:3y>520=9lh01<8n:031?xu6?>0;6?u216595`d<5;o3652g=9k>0q~?89;296~;6?00:n95216c954452z\2=g=:90h1=hl4}r3:g?6=:r7:5o4m2:?2e4<6j=1v<7k:18187>l3;i863>a08254=z{83n6=4={<3:a?7e<27:m=4>109~w4?a2909w0?6f;3a0>;6i90:=?5rs0c3>5<5s4;j<7?m4:?2e4<69;1v9e8257=z{8k86=4={<3b6?d534;j87?m4:p5de=839p170?:2;c6?xu6j80;6ou236a955c<58=<6lj4=6d9ea=:9ki1mi522e8b`>;61k0jh63>4c8b`>;6>10jh63>a38b`>;6=;0jh63>778b`>{t9ki1<79t=0``>4ce34;n=7?>0:?224<69916?n4>119>526=98:014851038964=98:0q~?md;296~;6jj0j963>c782f1=z{8hn6=4={<3aa?7e<27:o?4>139~w4da2909w0?mf;3a0>;6k:0:=<5rs0a3>5<5s4;h<7?m4:?2g6<69;1v:18187d93;i863>c78257=z{8i96=4={<3`6?7e<27:o94>109~w4e42909w0?l3;3a0>;6k=0:=?5rs0a7>5<5s4;h87?m4:?2g0<69;1vc78254=z{8n?6=4m{<3g0?7bj27:i54>119>5`4=98:013;:=63>638255=:;h0:=<521609546<58hm6477349:65a1=9k>0q~?k6;296~;6l?0:n9521e595445dz?2`=<6mk16=h75103894ba28;:70?j4;324>;6m<0:=<521e59547<58?m6;<357?769278m7?>2:?234<699165?4>119>5f6=98:01>:51038yv7c13:1>v3>d98a6>;6ll0:n95rs0fb>5<5s4;om7?m4:?2a0<69;1vdd8256=z{8nh6=4={<3gg?7e<27:hi4>109~w4bc2909w0?kd;3a0>;6ll0:=<5rs0fe>5<5s4;oj7?m4:?2`f<6981ve78254=z{8o:6=4={<3f5?7e<27:i;4>139~w4c52909w0?j2;3a0>;6lm0:=?5rs0g0>5<5s4;n?7?m4:?2`g<6981vdc8257=z{8o>6=4={<3f1?7e<27:hh4>139~w4c12909w0?j6;3a0>;6lj0:=?5rs0g4>5<5s4;n47?m4:?2a<<69;1v0;6?uQ2e5897c?28h?7p}=de83>7}::mn1=o:4=3g4>4753ty9i?4?:3y>6`4=9k>01?k7:030?xu5m>0;6?u22d595g2<5;o36;|q0e5<72;qU?l>4=2a;>4773ty8o;4?:3y]7f0<5:i2628;:7p}7}:;j21=o:4=2a:>4753ty8h:4?:2y]7a1<58??60:?2=c<6991v9:::187[23=27:;;4m2:?0g2<69;16=;m51038yxd4190;6n4=b;10M52m2.89?4>0e9'5d1=;=i0V>;>:0yb=?{h<:o1<75`3cc94?=n;h:1<75`7c83>>o68>0;66g70;29?l>22900e?j7:188m7bc2900e?k=:188k6gb2900e52:227)?n8;67g>i68l0;6)=72;3af>=zj:hj6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e:m31<7;52;4x 63528:27E=8b:J01`=#9h2189m4Z272>4}f13wbm84?::kb`?6=3`k:6=44ic094?=h9lh1<75m1d`94?0=83:p(>6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>6>>3f;in7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?mb:pf7<72;qUn?521d`954c6s|a483>7}Yi<16=hl5a49~wd7=838pRl?4=0ga>d052z?2ag<4;h16?:h51c`8yxd5ll0;684=:7y'704=9930D>9m;I16a>"6i10?8n5U34395~g>2tcj97>5;hcg>5<>i6mk0;66l>ec83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03c<7280;6=u+39097=?63>ec825`=z{hn1<7bc9~yg4b;3:197<56z&017<6801C?:l4H27f?!7f03>?o6T<5082d?=u`k>6=44i`f94?=ni80;66gm2;29?j7bj3:17o?jb;292?6=8r.84?4>0c9Y707=9rk26pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14b?6=93:152z\2ag=:9lh1=ol4}r`1>5<5sWh970?jb;32a>{tim0;6?uQae9>5`d=:h80q~o::181[g234;nn7o:;|qb5?6=:rTj=63>ec8b2>{t99l1<765f34978t$271>46>3A9=<=i0V>;>:0yb=?{ni<0;66gnd;29?lg62900eo<50;9l5`d=831i=hl50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36d94?7=83:p(>6=:2::?j7ej3:1(>6=:0`a?>{t9lh1<77}Yj;16=hl510g8yvgc2909wSok;<3ff?4f:2wxm84?:3y]e0=:9lh1m85rs`394?4|Vh;01v3>ec807d=:;>l1=ol4}|`;2?6==381:v*<53824<=O;>h0D>;j;%3b{`;9ylg22900elj50;9je4<722ci>7>5;n3ff?6=3k;nn7>56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8f;295?6=8r.84?4<889l5gd=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9kh0q~l=:181[d534;nn7?>e:pea<72;qUmi521d`96d46=4={_c6?87bj3k>7p}n1;296~Xf927:io4n6:p55`=838p15<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7?ta88~md3=831bmi4?::kb5?6=3`h96=44o0ga>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9i:182>5<7s-93>7=79:m2fg<72-93>7?mb:9~w4ce2909wS?jb:?2ag<6jk1vo<50;0xZg4<58oi6ec81e7=z{h?1<7:181[g634;nn7o9;|q24c<72;q6=hl532c8961a28hi7psm4783>6<52=q/?8<511:8L61e3A9>i6*>2185?!7f03>?o6T<5082d?=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d?=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj>n1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd5mm0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?n>50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f6e629086=4?{%166?7e02B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd?13:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi4>4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a<1<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;o>6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0f2?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:l:1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb3g2>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5lk0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg2029096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo:209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd3<90;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>oi:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`;1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th3m7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th3o7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?j7e<3:1(>6=:0`a?>{e;??1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=96;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn>87:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5113:197>50z&017<3:2B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5$2:1>4de32wi?;o50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}r65>5<5s4>=64763ty?47>52z?72?g634><64d33ty5fz\4f>;?>3h9706>:c0897c42k801?jj:c0897b>2k801:j51c6896e728;;70=93;325>;?03;:<6373;324>;5m<0:==522d29546<5;ni63f<6j=16;i4>139~w2`=839p1>oi:032?8>c28h?70=99;325>{t090;6>uQ819><1<698164n4>119~w=7=838p15?51d`89=3=98:0q~6=:1818>62h?015:51c68yv>42909w06<:0`7?8>328;97p}75;297~X?=27357?>1:?;e?7682wx4;4?:3y><3<6mk164o4>119~w=1=838p1585a49><<<6j=1v5650;0x9=>=9k>015751008yv>f2909w06::0`7?8>c28;:7p}7b;296~;?i3;i8637d;326>{t0j0;6?u28c82f1=:0m0:=>5rs9f94?4|51i1=o:4=9f954259z\242=:;kk1m<522e;9e4=::mo1m<522d19e4=:080j=6376;c2?85193k:70:8:033?xu6900;6>uQ10;896e628;:70=93;326>{t9k;1<76t=25`>46b349im7ok;<0g=?gc348oi7ok;<0f7?gc342:6lj4=949ea=:;?;1mi5rs3f;>5<4sW8o463=db8254=:;?<1=ec9>730=9880q~139~w7bc2908wSh?51038yv4cm3:1>v3=dd82ag=::li1=<>4}r0gb?6=:r79hh4n5:?1a4<6j=1v?k?:18184b83;i863=e08257=z{;o96=4<{_0f6>;5m?0:=<52377954752z?1a6<6mk16?;;51008yv4b<3:1>v3=e28b1>;5m?0:n95rs3g6>5<5s48n97?m4:?1a3<69;1v?km:18184bm3;i863<668255=z{;oh6=4={<0fg?7e<279ih4>109~w7cc2909w0;5ml0:=?5rs242>5<5s49==7?jb:?77c<6991v>8=:18185193h970=93;3a0>{t;?>1<7=t=242>d3<5:hj6l;4=24b>4d33ty8:84?:3y>733=9k>01>86:031?xu4>?0;6?u237495g2<5:<2628;?7p}<6983>7}:;?21=o:4=24b>4753ty8:44?:3y>73?=9k>01>8n:032?xu4i90;68uQ3`2892e=98:019o51028912728;:70=nf;326>{t;ho1<7{t;kl1<7g4<5:i:651c6896e628;97p};3d83>7}Y<:o019:?:0`7?xu3;o0;6?u242d95g2<5=>;66l75b5yK70c<,:?96<=8;%3b3?53k2P89<4={`59eg5<5<5<3:17d?n5;29?l5fm3:17b=l2;29?j5dj3:17b<::188k1402900n>9l:182>5<7s-9>>7??f:J03g=O;6=:2::?!7f03>?o6a>0d83>!5?:3;in65rb504>5<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md3=831bmi4?::kb5?6=3`h96=44o0ga>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9i:182>5<7s-93>7=79:m2fg<72-93>7?mb:9~w4ce2909wS?jb:?2ag<6jk1vo<50;0xZg4<58oi6ec81e7=z{h?1<7:181[g634;nn7o9;|q24c<72;q6=hl532c8961a28hi7psmc383>6<52=q/?8<511:8L61e3A9>i6*>a9870f=];<;1=vo8:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo8:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe26=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zukn=6=4<:387!52:3;;46F<7c9K70c<,8k369:l;[165?7|i>0vel?50;9jf7<722e:io4?::`2ag<72<096;u+340955g<,8k369:l;[165?7|i>0vc5;c33e?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50m3:1=7>50z&0<7<6j?1d=n950;&0<7<6jk10q~l=:181[d534;;m7?>e:pe4<72;qUm<5211c9e3=z{hn1<7d283>7}Y9m901<>n:21b?xu6mk0;6?uQ1d`8946f28hi7p}<5g83>7}:99k1m85236g95f1:183!52l3>0(>;=:02e?!5?:39356*>a9870f=h99o1<7*<8382fg=52z\2ag=:9lh1=hl4}r`1>5<5sWh970?jb;`1?xuf93:1>vPn1:?2ag7>53z?2ag<6l:16=hl5ae9>5?77m2.89i4:;|a`7<72:0969u+340955><@:=i7E=:e:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i>0ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:7<3s-9>>7??8:J03g=O;ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc4>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{ekh0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34395~g02tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g02te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm:4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c1`f?6=;3818v*<53824==O;>h0D>;j;%3b{`59ylg62900eo<50;9l5`d=831i=hl50;796?0|,:?96<>n;%3b{`59yj7bj3:17d?k3;29?ld52900elj50;9je4<722h:5}#;181==l4Z272>4}f?3wb=l<50;&0<7<5i;10c4<729q/?5<51c48k4e0290/?5<51c`8?xue:3:1>vPm2:?24d<69l1vl?50;0xZd7<58:j6l84}rcg>5<5sWko70??a;0b6>{t9m91<7{t;d3<5:=n65<#;181=ol4;|q2ag<72;qU=hl4=0ga>4ce3tyi>7>52z\a6>;6mk0i>6s|a083>7}Yi816=hl5a09~w7<72:q6=hl51e1894ce2hn01<4>0d9'70b==2wvn?;50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd6::0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34396~g02hh1qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<5sh=1mo4ro0ga>5<50z&0<7<68k1Q?8?52zc4>dd=u`;:i7>5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4>?3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;p(>;=:02;?M50j2B89h5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~ygd029086?4;{%166?7702B8;o5G34g8 4g?2=>h7W=:1;3xe2>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;3xe25<>of93:17o??a;292?6=8r.84?4>0c9Y707=9rk<6pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14a?6=93:17}Yi816==o5a79~wdb=838pRlj4=02b>7g53ty:h>4?:3y]5a5<58:j6>=n;|q2ag<72;qU=hl4=02b>4de3ty89k4?:3y>55g=i<16?:k51b58yxd6290:6=4?{%16`?2<,:?96<>i;%1;6?5?12.:m54;4b9l55c=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9lh0q~l=:181[d534;nn7l=;|qb5?6=:rTj=63>ec8b5>{t:3:1?v3>ec82`6=:9lh1mi521;33a>"4=m0>7psm40494?5=:3>p(>;=:02;?M50j2B89h5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg5f83:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta68~k4ce2900e56;294~"40;0:5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi===50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qjn7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qjn7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{``9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd51?0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34395~g02tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g02te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm:4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c;;>5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta68~k4ce2900e56;294~"40;0:5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi?;l50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxde>3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7m;[165?4|i>0jn7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03c<7280;6=u+39097=?63>ec825`=z{hn1<7bc9~yg71?3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc4>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{en<0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=80:wl95}hc2>5<\4=80:wl95}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb3?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj8=i6=4<:387!52:3;;46F<7c9K70c<,88;6;5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:>=49;%3b>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;0xe25<1290;w)=72;33f>\4=809wl95ac8~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg7?93:1?7<54z&017<6811C?:l4H27f?!7583<0(7>5;n3ff?6=3k;nn7>55;092~"4=;0:xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?4|i>0jn7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$003>3=#9h2189m4Z272>4}f?3wbm<4?::ka6?6=3f;nn7>5;c3ff?6==381:v*<53824d=#9h2189m4Z272>4}f?3wd=hl50;9j5a5=831bn?4?::kb`?6=3`k:6=44b02b>5<1290;w)=72;33f>\4=80:wl95}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61b290:6=4?{%1;6?7e>2e:o:4?:%1;6?7ej21vo<50;0xZg4<58:j60`8b2>{tim0;6?uQae9>55g=:h80q~?k3;296~X6l:16==o532c8yv7bj3:1>vP>ec9>55g=9kh0q~=:f;296~;68h0j963<7d82g2=zuk;1<7?50;2x 63c2=1/?8<511d8 6>52:227)?n8;67g>i68l0;6)=72;3af>=z{8oi6=4={_3ff>;6mk0:io5rsc094?4|Vk801;<3ff?g63ty96=4<{<3ff?7c;27:io4nd:?2>46b3-9>h7;4}|`2<@:=i7E=:e:&265<13-;j47:;c:X014<6sh=1qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<6sh=1qb?jb;29?l7c;3:17dl=:188mdb=831bm<4?::`24d<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=1d9~wd7=838pRl?4=02b>d06s|1e194?4|V8n870??a;10e>{t9lh1<74e03twi=7>51;294~"4=m0?7)=:2;33b>"40;08445+1`:901e63>ec8a6>{ti80;6?uQa09>5`d=i81v?4?:2y>5`d=9m901b29086?4;{%166?7702B8;o5G34g8 4472?1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd60o0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=809wl95ac8~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7n:185>5<7s-93>7??b:X014<5sh=1mo4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c3:0?6=;3818v*<53824==O;>h0D>;j;%314?1<,8k369:l;[165?4|i>0jn7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^6362;qj;7om:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;0xe2l<50;&0<7<5i;10c4<729q/?5<51c48k4e0290/?5<51c`8?xue:3:1>vPm2:?24d<69l1vl?50;0xZd7<58:j6l84}rcg>5<5sWko70??a;0b6>{t9m91<7{t;d3<5:=n65<#;181=ol4;|q2ag<72;qU=hl4=0ga>4ce3tyi>7>52z\a6>;6mk0i>6s|a083>7}Yi816=hl5a09~w7<72:q6=hl51e1894ce2hn01<4>0d9'70b==2wvnij50;195?5|@:?n7)=:2;3bf>oei3:17dlm:188k6542900n>68:180>5<7s-9>>7=8a:J03g=O;6=:25:?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|qae?6=:rTim63<868257=z{kh1<7028;:7p}<3283>7}Y;:901>68:0`7?x{elj0;6>4>:2yK70c<,:?965<12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10q~ln:181[df3493;7?>2:pfg<72;qUno52395954752z\076=:;1=1=o:4}|`gf?6=;3;1?vF<5d9'704=9hh0eoo50;9jfg<722e8?>4?::`0<2<72:0;6=u+340972g<@:=i7E=:e:&0<7<4?01/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=z{kk1<7028;97p}mb;296~Xej2784:4>109~w6542909wS=<3:?0<2<6j=1vqojn:180>4<4sA9>i6*<5382eg=njh0;66gmb;29?j54;3:17o=77;297?6=8r.89?4<7`9K72d<@:?n7)=72;14=>"6i10?8n5f10394?"40;0:=h54i031>5<#;181={zj;3>6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5;50;194?6|,:?969>4H25a?M52m2.84?4528hi76smb483>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`0g0<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:no6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c1ga?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg26k3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl;1e83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`a=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8:h4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a=a<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>4750;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f6c729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo:>f;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd3:k0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{el00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98ygb729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qoj>:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rbba94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zjjn1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wihk4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}cg0>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f46229096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo7n:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg4>290>6=4?{%166?7ei2B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>47b32e:n94?:%1;6?7ej21vn?o50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2c83>6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f7e=8391<7>t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:><5G36`8L63b3-93>77j;%3b<@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj8336=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<76:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2=4<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd61;0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn<6;:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg7?=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>8783>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e91=1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0:;>5<2290;w)=:2;61?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98k4d3290/?5<51c`8?xd6000;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<6n:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb0:a>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6?l0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=oo4H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65f10694?"40;0:=h54o0`7>5<#;181=ol4;|`2<5<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4i?0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj:k36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c1b=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8ml4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7dd=83<1<7>t$271>15<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=n98?1<7*<83825`=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3thm57>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3thmn7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?l76=3:1(>6=:03f?>o69?0;6)=72;32a>=h9k>1<7*<8382fg=8;7>55;294~"4=;0?>6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e528hi76sm42:94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn9=n:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg24j3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=8o7>53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo:6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c1`a?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8ok4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7a6=8381<7>t$271>4463A96=:0`a?M5?921vn>j>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5c:3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;m>1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2f6>5<0290;w)=:2;67?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98m472290/?5<510g8?l76>3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:n=6=4<:183!52:3;i46F<7c9K70c<,:2962<729q/?8<5459K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=7?>e:9j540=83.84?4>1d98k4d3290/?5<51c`8?xd3:l0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj=9;6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c605?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th???4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a065=83>1<7>t$271>17<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj=9?6=4<:183!52:3;i46F<7c9K70c<,:2961<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4463A96=:0`a?M5?921vn>k<:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5b<3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;l<1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2g4>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f6c?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:o26=4<:183!52:3;i46F<7c9K70c<,:2961<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9:?1<7;50;2x 63528hj7E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e528hi76sm12494?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wii44?:583>5}#;<818<5G36`8L63b3-93>7=i;%3b528hi76sme`83>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emk0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emm0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emo0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=54;294~"4=;0?=6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10cb99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{em10;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vnn:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~ff3=83?1<7>t$271>4df3A96=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5$2:1>4de32wio;4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:ag2<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xdd03:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=2483>0<729q/?8<51cc8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98k4d3290/?5<51c`8?xd6:00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=l4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a57d=8381<7>t$271>4463A96=:0`a?M5?921vn<5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg75l3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>2d83>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9;l1<7;50;2x 6352=80D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>47b32c:=94?:%1;6?76m21d=o:50;&0<7<6jk10qo?<0;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9;=1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?=8;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=8522482ag=z{;21<74d3348i6<0<6j=16=5=51028941a28;:70?<5;325>;6:h0:==5rs8:94?4|5021=hl4=979544d7<5=;=6l?4=2f4>d7<5:i96l?4=2c3>d7<5:d7<58<<6l?4=c49e4=:1h0:n95rs8`94?4|50h1=hl4=979547g4<5021n?521209f7=:1m0:n95rs`094?7fsWk970:=7;c2?8e52h;01i85a09>`7;d7<5:ii6l?4=379e4=:9;91m<521169e4=::0=1m<529c8b5>;4>j0j=63m7;c2?874:3k:70h::`38941e2h;01<9l:`3894>62h;01<6l:`3894>c2h;01<6j:`3894>a2h;01<7;:`3890:?2=2<69816=4<5102894>028;;70?8e;326>;6;=0:=?5213g95464d334;387?>0:?23c<69;16=>;51008944e28;;7p}m6;296~;e>3;nn63m5;326>{tj>0;6?u2b682ag=:j<0:=<5rsc:94?5|5k=1n?52b78a6>;e13;i86s|bb83>7}Yjj16===5b39~wgb=83kp1n<51d`89ag=jh16hk4>139>b2<699168>951068914c28;:70:=f;324>;b13;:=63jb;324>;d>3;:<6s|c283>7}:k;0i>63l9;3a0>{tk=0;6?u2c582f1=:k>0:=?5rsb794?4|5j?1=o:4=b:95474d334i36g=<6j=16o44>139~wgc=83kp1no51d`89ag=jk16hk4>109>b<<699168>651038914c28;970:=e;324>;b13;:>63ja;324>;d=3;:=6s|cc83>7}:kh0i>63ld;3a0>{tkj0;6?u2cb82f1=:km0:=?5rscd94??|5jo1=hl4=e`9fg=:m80:=<52fc8255=:<:21=<<4=50g>47434>8<7?>0:?fa?76827h97?>2:pgc<72;q6oh4m2:?g5?7e<2wxh=4?:3y>`5<6j=16h<4>139~wf6=832p1i<51d`89ae=jk16i>4>109>bd<699168>751028915528;;70kk:033?8e228;87p}k3;296~;c:3h970j::0`7?xuc<3:1>v3k4;3a0>;c=3;:>6s|c083>=}:l?0:io52de8af>;ak3;:>63;3`8255=:<:;1=<>4=d;9545<5li1=<>4=b79542g4<5m31=o:4}rf;>5<5s4n364753tyoi7>53z?gf?df34nm64753tyn<7>53z?gg?df34o:64753tyn>7>52z?g`?df34o860:?g0?76827o<7?>0:?`g?76827n47?m4:pa0<72;q6i84>b59>ac<69;1vh850;0x9`0=9k>01h951008yvc02909w0k8:0`7?8c?28;97p}j9;296~;b13;i863j6;325>{tmh0;6?u2e`82f1=:n90:=?5rsd`94?4|5lh1=o:4=g295474d334l;6a`<6j=16i:4>129~w``=838p1hh51c689`>=98;0q~h?:1818`728h?70k9:031?xua93:1>v3ka;107>;dl3;:=6s|f383>7}:lk08?>52d08254=z{o91<75<5s4no6>=<;4763tym97>55z?e1?7bj27:484>119>52`=98901<=::030?875k3;:<6s|f783>7}:n<0i>63ic;3a0>{tn>0;6?u2f682f1=:nj0:=<5rsg:94?4|5o21=o:4=ga95454d334lh6bg<6j=16jn4>179~w464290?w0??4;`1?877;3;nn63j5;324>;d<3;:<6s|11694?5|58:?647634i<6;|q243<72;q6===5a09>553=9k>0q~?=3;290~X6::16=?=51d`8915028;:70:=d;320>{t9;>1<7g4<588362783>7}:9;<1=o:4=004>4753ty:>:4?:3y>571=9k>01<<7:031?xu6:00;6?u213;95g2<588<6;|q26d<72;q6=?o51c68944a28;:7p}>2c83>7}:9;h1=o:4=00e>4753ty:>n4?:3y>57e=9k>01<2g83>7}:9;l1=o:4=013>4763ty:?=4?:3y>566=9k>01<<9:031?xu6;;0;6?uQ1208945528oi7p}>3283>7}:9:81m85212495g252z?271<6j=16=>851038yv74=3:1>v3>3482f1=:9:<1=<<4}r361?6=:rT:98523eg9547n7>52z\21g=:9?=1n?5rs044>5<2s4;=;7?jb:?1e?76:27:5;4>119>5<7=98;01<<6:032?xu6?k0;6?u216`95`d<58326;|q23f<72:q6=:l5b39>52e=9lh01>j=:033?xu6?m0;6?u216a9f7=:91:1=o:4}r34a?6=:r7:;h4>b59>5=6=98;0q~?8f;296~;6?o0:n95219295445az?2<4<6mk16?n;5103897?=98>01<6n:032?870m3;:=634=2g5>47734;887?>1:?263<6981v<6=:18187?93h970?7b;3a0>{t9191<74d334;347?>1:p5=2=838p1<6;:0`7?87?03;:>6s|19794?4|582>6128h?70?78;320>{t91=1<74d334;357?>2:p5=>=838p1<67:0`7?87?13;:=6s|19;94?4|58226f28h?70?7b;326>{t91i1<76t=0:`>4ce349h97?>2:?1f?76927:4o4>109>7d>=98:01>mj:033?85b=3;:<63>248251=z{82o6=48{<3;g?d534;3h7?jb:?1=?76;278m;4>119>7f`=98:01>k;:033?875=3;:?6s|19g94?1|582o6o<4=0:f>4ce34826;<1b3?768278h>4>119>7`5=98:01<<::032?xu60o0;6:u219g9f7=:91l1=hl4=3;9544<5:kj6119>573=9880q~?60;296~;60o0i>63>9282f1=z{83:6=4={<3:5?7e<27:5>4>109~w4?52909w0?62;3a0>;61:0:=?5rs0;7>5<2s4;287?jb:?1e?76927:554>109>5<7=98801<<6:031?xu61<0;6?u21869f7=:9031=o:4}r3:2?6=:r7:5;4>b59>5<1=9880q~?67;296~;61>0:n95218:954452z?2==<6j=16=4751008yv7f=3:1>vP>a49>04b=98;0q~?n6;297~X6i?16?i:5102896c>28;:7p}=9583>0}::0?1=o:4=0:5>47734;4:?270<69=16=?j51028yv4>>3:1>v3=9782ag=::0?1=<<4}r0:3?6=:r795:4>ec9>6<3=98;0q~<68;297~;51>0i>63=978a6>;5100:n95rs24a>5<4s49=n7?jb:?772<69:168?j51078yv51k3:1?v3<6b82ag=:<:=1=<<4=50g>4713ty8:i4?:2y>73e=j;16?;l5b39>73c=9k>0q~=n0;296~X4i916?l>51d`8yv5f=3:1>v3;4ik0:n95rs2c5>5<5s49j:7?m4:?0eg<69;1v>o8:18185f?3;i863129~w6g>2909w0=n9;3a0>;4ik0:=95rs2cb>5<5s49jm7?m4:?0eg<69<1v>ol:187[5fk278;n4>0d9>071=im16=><5ae9~w6gb2909wS=ne:?:`?7682wx?oo50;0xZ6df34h264ce3ty8o94?:3y>7f4=j;16?n;51c68yv5dj3:1>vP7fd=9lh0q~=ld;296~;4kk0i>63139~w6ea2909w0=lf;3a0>;4l<0:=<5rs2f3>5<5s49o<7?m4:?0`0<69:1v>j>:18185c93;i863159~w6b42909w0=k3;3a0>;4l<0:=;5rs2f7>5<5s49o87?m4:?0`3<69;1v>j::18185c=3;i863;4l>0:io5rs2f`>5<5s49oi7?m4:?0a5<6991v>jk:18185cl3;i863jk:033?826k3;:<63109~w6c42909w0=j3;3a0>;4m>0:=?5rs2g7>5<5s49n87?m4:?0ad<6981v>k::18185b=3;i863139~w6c02909w0=j7;3a0>;4m00:=?5rs2g;>5<5s49n47?m4:?0ad<69:1v>k6:18185b13;i863;5100:==5rs535>5<5sW>::63;1782ag=z{=;i6=4={<62`?7e<27?=k4>119~w17d2909w0:>c;3a0>;39m0:=?5rs53f>5<5s4>::7l=;<62b?7e<2wx8?950;1xZ14034>9;7?jb:?ei4>b59>062=98;0q~:=e;296~;3:l0:n95242195449j7>52z?76c<6j=168>=51038yv2483:1>v3;3182f1=:<:91=<=4}r605?6=:r7??<4>b59>063=98;0q~:<2;296~;3;;0:n95242795458?7>52z?776<6j=168>:51008yv24<3:1>v3;3582f1=:<:?1=<<4}r602?6=:r7?>o4>119>06b=9k>0q~:<7;296~;3;>0:n95242f9547847>52z?77=<6j=168>m51038yv2413:1>v3;3882f1=:<:h1=b59>06d=9880q~:8o7>52z?77f<6j=168>j51008yv24m3:1>vP;3d9>73c=98:0qpl<8d83>2<5n399wE=:e:&017>o5mo0;66a<2b83>>o68>0;66a<5283>>i4=>0;66gn4;29?g50k3:1=7>50z&017<68o1C?:l4H27f?!5?:39356*>a9870f=h99o1<7*<8382fg=?7>55;092~"4=;0:<45G36`8L63b3-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj:;h6=4::385!52:3;;56F<7c9K70c<,88;6;5+1`:901e5;hc2>5<528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:h50;394?6|,:296>66;n3af?6=,:2965<5sWko70?jb;0b6>{ti<0;6?uQa49>5`d=i<1vl?50;0xZd7<58oi6l84}r33b?6=:r7:io4<3`9>72`=9kh0qpl<5683>0<52?q/?8<511;8L61e3A9>i6*>a9870f=];<;1=vo6:|kb1?6=3`ko6=44i`394?=nj;0;66a>ec83>>d6mk0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181?574o0`a>5<#;181=ol4;|q2ag<72;qU=hl4=0ga>4de3tyi>7>52z\a6>;6mk0:=h5rs`f94?4|Vhn01vPn5:?2ag5<5s4;nn7=099K72d<@:?n7)?=0;48 4g?2=>h7W=:1;3xe<>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;3xe<5<>of93:17o??a;292?6=8r.84?4>0c9Y707=9rk26pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14a?6=93:17}Yi816==o5a79~wdb=838pRlj4=02b>7g53ty:h>4?:3y]5a5<58:j6>=n;|q2ag<72;qU=hl4=02b>4de3ty89k4?:3y>55g=i<16?:k51b58yxd6290:6=4?{%16`?2<,:?96<>i;%1;6?5?12.:m54;4b9l55c=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9lh0q~l=:181[d534;nn7l=;|qb5?6=:rTj=63>ec8b5>{t:3:1?v3>ec82`6=:9lh1mi521;33a>"4=m0>7psm2g;94?5=:3>p(>;=:02;?M50j2B89h5+13292>"6i10?8n5U34395~g>2tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g>2te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c0ee?6=;3818v*<53824==O;>h0D>;j;%314?0<,8k369:l;[165?7|i00vel?50;9jf7<722e:io4?::`2ag<72<096;u+340955g<,8k369:l;[165?7|i00vc5;c33e?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50m3:1=7>50z&0<7<6j?1d=n950;&0<7<6jk10q~l=:181[d534;;m7?>e:pe4<72;qUm<5211c9e3=z{hn1<7d283>7}Y9m901<>n:21b?xu6mk0;6?uQ1d`8946f28hi7p}<5g83>7}:99k1m85236g95f1:183!52l3>0(>;=:02e?!5?:39356*>a9870f=h99o1<7*<8382fg=52z\2ag=:9lh1=hl4}r`1>5<5sWh970?jb;`1?xuf93:1>vPn1:?2ag7>53z?2ag<6l:16=hl5ae9>5?77m2.89i4:;|a6cd=8391>7:t$271>46?3A92.:m54;4b9Y707=9rk26pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk26pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4ak3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{e:on1<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk8mi7>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi>kh50;196?2|,:?96<>7;I14f>N4=l1/=?>56:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:>i4;:0;66l<8683>6<729q/?8<536c8L61e3A9>i6*<83803<=#9h2189m4i032>5<#;181=7?mb:9~wgg=838pRoo4=2:4>4753tyin7>52z\af>;40>0:=<5rs210>5<5sW98?63<8682f1=zuk9;97>53;397~N4=l1/?8<51``8mgg=831bno4?::m076<722h84:4?:283>5}#;<81?:o4H25a?M52m2.84?4<789'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rscc94?4|Vkk01>68:031?xuej3:1>vPmb:?0<2<6981v>=<:181[54;2784:4>b59~yg57<3:1?7?53zJ01`=#;<81=ll4icc94?=njk0;66a<3283>>d40>0;6>4?:1y'704=;>k0D>9m;I16a>"40;08;45+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21voo50;0xZgg<5:2<6;40>0:n95r}c137?6=;3;1?vF<5d9'704=9hh0eoo50;9jfg<722e8?>4?::`0<2<72:0;6=u+340972g<@:=i7E=:e:&0<7<4?01/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=z{kk1<7028;97p}mb;296~Xej2784:4>109~w6542909wS=<3:?0<2<6j=1vqo=?2;297?7=;rB89h5+34095dd>i4;:0;66l<8683>6<729q/?8<536c8L61e3A9>i6*<83803<=#9h2189m4i032>5<#;181=7?mb:9~wgg=838pRoo4=2:4>4753tyin7>52z\af>;40>0:=<5rs210>5<5sW98?63<8682f1=zuk9;=7>53;397~N4=l1/?8<51``8mgg=831bno4?::m076<722h84:4?:283>5}#;<81?:o4H25a?M52m2.84?4<789'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rscc94?4|Vkk01>68:031?xuej3:1>vPmb:?0<2<6981v>=<:181[54;2784:4>b59~yg5783:1?7?53zJ01`=#;<81=ll4icc94?=njk0;66a<3283>>d40>0;6>4?:1y'704=;>k0D>9m;I16a>"40;08;45+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21voo50;0xZgg<5:2<6;40>0:n95r}c11`?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8984?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a700=8391<7>t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm30g94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj::26=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>>m:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb22g>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f66a29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:;:6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>?<:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb224>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=<0;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd4;80;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;;91<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb207>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi??950;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f64?29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo==9;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd4:h0;644?:1y'704=<<1C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>47b32c:=84?:%1;6?76m21b=<850;&0<7<69l10e8;29 6>528;n76a>b583>!5?:3;in65rb27:>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f63f29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=:b;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=d7<5:;h6l?4=274>d7<5;l36l?4=3d:>d7<5;lj6l?4=3da>d7<5;lh6l?4=3dg>d7<5;ln6l?4=3de>d754z?03f<68l16?8=5ae9>74e=im16?895ae9~w7ca2909wS;4800:=?523159546<5:896k751d`896672kh01>>6:032?855;3;:<6s|2g094?2|5;lj61:?061<6991v?h<:18784aj3;nn63<038af>;48m0:=<52337954654z?1bf<6mk16?==5bc9>75`=98;01><9:033?xu5n<0;68u22gf95`d<5::?6ol4=232>4763498=7?>1:?062<6991v?h9:18684am3;nn63<048af>;49:0:=<5233d9544<5:836kh51d`896612kh01>4d3349;n7?>2:p75g=839p1>>=:cc8966e28h?70=?d;326>{t;9i1<7=t=220>gg<5::o6>i:0`7?85693;:>6s|30294?5|5::>6oo4=232>4d3349:?7?>2:p744=838p1>>9:cc8967428h?7p}<1583>7}::o21n?5231595g252z?1b<6543ty8=54?:3y>6ce=j;16?==53218yv5613:1>v3=fe8a6>;48=08?>5rs23b>5<5s48mi7l=;<131?54;2wx?>9:210?xu49j0;6>u230a95`d<5:8o6?j:0`7?xu49o0;6?u230a9e0=:;;:1=o:4}r115?6==r789;4>109>776=98801>?j:033?855i3;i863<588254=z{:896=4={<116?7e<278>l4>109~w6442909w0==3;3a0>;4:h0:=?5rs207>5<5s49987?m4:?06d<69:1v><::181855=3;i863<2`8251=z{:8=6=4={<112?7e<278>l4>149~w6402909w0==7;3a0>;4:h0:=;5rs20;>5<5s49947?m4:?06d<69>1v><6:18185513;i863<2`825==z{:8h6=4={_11g>;4:m0:n95rs20f>5<5sW99i63<3382f1=z{:8m6=4={<11b?7e<278??4>109~w6572909w0=<0;3a0>;4;80:=?5rs212>5<5s498=7?m4:?077<69;1v>;<:180[52;2789>4>ec9>70?=9880q~=:4;296~;4=:0j963<5782f1=z{:?>6=4={<161?7e<2789;4>139~w6302909wS=:7:?012<6mk1v>;7:181852?3k>70=:b;3a0>{t;<31<74d3349>n7?>1:p70g=838p1>;n:0`7?852j3;:>6srb2;f>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4100;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj:3i6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>7<:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5><3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wimn4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn>o=:181>5<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb2`0>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`74c<72;0;6=u+340954b<@:=i7E=:e:&0<7<>m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f6db29096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd3<=0;6?4?:1y'704=98n0D>9m;I16a>"40;02i6F>389'56=:03f?>i6j=0;6)=72;3af>=zj:n26=4=:183!52:3;:h6F<7c9K70c<,:2964k4H01:?!7>i3;:i6*>a9870f=n98:1<7*<83825`=52;294~"4=;0:=i5G36`8L63b3-93>77j;I30=>"61h0:=h5+1`:901e5$2:1>4de32wiml4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn<>=:181>5<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb6094?4=83:p(>;=:03g?M50j2B89h5+3909=`=O9:30(<7n:03f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c52>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`44?6=:3:1h0D>;j;%1;6??b3A;856*>9`825`=#9h2189m4i033>5<#;181=m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f3b=8381<7>t$271>47c3A974$0;b>47b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg0d29096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd1j3:1>7>50z&017<69m1C?:l4H27f?!5?:33n7E?<9:&2=d<69l1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e>h0;6?4?:1y'704=98n0D>9m;I16a>"40;02i6F>389'56=:03f?>i6j=0;6)=72;3af>=zj?31<7<50;2x 63528;o7E=8b:J01`=#;1815h5G12;8 4?f28;n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=i3;:i6*>a9870f=n98:1<7*<83825`=52;294~"4=;0:=i5G36`8L63b3-93>77j;I30=>"61h0:=h5+1`:901e5$2:1>4de32wi:;4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn;;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j65<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c1e9K72d<@:?n7)=72;;f?M7412.:5l4>1d9'5d>=<=i0e528hi76sm7883>7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb6:94?4=83:p(>;=:03g?M50j2B89h5+3909=`=O9:30(<7n:03f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c54>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`42?6=:3:1h0D>;j;%1;6??b3A;856*>9`825`=#9h2189m4i033>5<#;181=m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f22=8381<7>t$271>47c3A974$0;b>47b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg1429096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd1m3:1>7>50z&017<69m1C?:l4H27f?!5?:33n7E?<9:&2=d<69l1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e;ki1<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=?>7>52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi8<;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm41694?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c1a3?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg5fl3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e<9<1<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=:m7>52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi?k;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm38d94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c626?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg5cj3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e<;31<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi?nm50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm24f94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c06g?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg4?03:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e:181<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi>:850;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm27c94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c050?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg42>3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm4d83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi8k4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=90;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5383>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9>4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e==0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5783>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9:4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=10;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5c83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9n4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=m0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5g83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi:=4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e>80;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm6283>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wim:4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898ygg>290:6=4?{%1;6?7d12B89h5G10d8 4?f28;n7d?l9;29 6>528i276smac83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=n9j31<7*<8382g<=51;294~"40;0:o45G34g8L47a3-;2m7?>e:k2g<<72-93>7?l9:9~f4cd290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm1df94?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>bc9l5f?=83.84?4>c898yg7a83:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb0d2>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi=k<50;394?6|,:296N69o1/=4o51c`8k4e>290/?5<51b;8?xd6n:0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:no5`1b;94?"40;0:o454}c3e0?6=93:15<7s-93>7?l9:J01`=O98l0(<7n:0`a?j7d13:1(>6=:0a:?>{e9o<1<7?50;2x 6>528i27E=:e:J25c=#90k1=ol4o0a:>5<#;181=n74;|`2b2<7280;6=u+39095f?<@:?n7E?>f:&2=d<6jk1d=n750;&0<7<6k010qo?i8;295?6=8r.84?4>c89K70c<@8;m7)?6a;3af>i6k00;6)=72;3`=>=zj:3<6=4>:183!5?:3;h56F<5d9K54`<,83j6t$2:1>4e>3A9>i6F>1g9'54<729q/?5<51b;8L63b3A;:j6*>9`825`=n9j31<7*<8382g<=51;294~"40;0:o45G34g8L47a3-;2m7?mb:m2g<<72-93>7?l9:9~f6gd290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm3c294?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898yg5e<3:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb2`a>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi?oj50;394?6|,:296N69o1/=4o510g8m4e>290/?5<51b;8?xd4k;0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:no5`1b;94?"40;0:o454}c1`2?6=93:1mm:182>5<7s-93>7?l9:J01`=O98l0(<7n:0`a?j7d13:1(>6=:0a:?>{e;m21<7?50;2x 6>528i27E=:e:J25c=#90k1=5<#;181=n74;|`0`d<7280;6=u+39095f?<@:?n7E?>f:&2=d<6jk1d=n750;&0<7<6k010qo=i2;295?6=8r.84?4>c89K70c<@8;m7)?6a;3af>i6k00;6)=72;3`=>=zj=:86=4>:183!5?:3;h56F<5d9K54`<,83j6t$2:1>4e>3A9>i6F>1g9'54<729q/?5<51b;8L63b3A;:j6*>9`82fg=h9j31<7*<8382g<=;i7>51;294~"40;0:o45G34g8L47a3-;2m7?>e:k2g<<72-93>7?l9:9~f177290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm40194?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898yg2613:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb50;>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi89?50;394?6|,:296N69o1/=4o51c`8k4e>290/?5<51b;8?xd3<:0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5f1b;94?"40;0:o454}c672?6=93:15<7s-9>>7=;9:J01f=O;=20@>:6:2y'71c=9ji0(>:k:0aa?!53n3;hh6srb273>5<7290;w)=:2;164>"6i10?8n5G35:8H6>32:q/?9k51bd8 62c28in7)=;f;3g4>{z{;<1<773<5:3267j:033?xu3k3:1?vP;c:?50?76827?o7?l9:p0a<72:qU8i52648255=:4e>3ty><7>53z\64>;103;:<63:0;3`=>{t=80;6>uQ509>2<<699169<4>c89~w04=839pR8<4=7c9546<5<81=n74}r70>5<4sW?8708m:033?83428i27p}:4;297~X2<27=o7?>0:?60?7d12wx984?:2y]10=:>m0:==525482g<=z{<<1<7=t^44893c=98:018851b;8yv302908wS;8;<4e>47734?<646380;324>;203;h56s|5883>6}Y=016;<4>119>1<<6k01v8l50;1xZ0d<5>81=<>4=4`95f?h7?l9:p1`<72:qU9h52748255=:=l0:o45rs4d94?5|V4e>3ty==7>53z\55>;003;:<6391;3`=>{t>;0;6>uQ639>3<<69916:?4>c89~w35=839pR;=4=6c9546<5?91=n74}r47>5<4s493j7m4=56:>f=:>=0:n95rs7794?5|5:2m6i5245;9`>;1=3;i86s|6783>6}:;1l1i63;488f?80128h?7p}97;297~;40o0m70:;9;d8931=9k>0q~87:18085?n3;;70:;9;33?80?28h?7p}99;297~;40o0:=63;48825>;113;i86s|6`83>6}:;1l1=?5245;957=:>h0:n95rs7`94?5|5:2m6<=4=56:>45<5?h1=o:4}r4`>5<4s493j7?;;<67=?73345:?70<<6=27=h7?m4:p2`<72;q68975179>2`<6j=1v;h50;1x96>a28=019:6:05893`=9k>0q~9?:18085?n3;270:;9;3:?81728h?7p}81;296~;40o0:m6381;3a0>{t?;0;6?u239d95f=:?;0:n95rs6194?4|5=>26f:?41?7e<2wx;;4?:3y>01?=:916;;4>b59~w21=838p19:6:338921=9k>0q~97:18182313897097:0`7?xu013:1?v3<8g817>;3<009?6389;3a0>{t?h0;6>u239d961=:<=31>9527`82f1=z{>h1<7;t=2:e>2d<5=>26:l4=2;5>2d<5:3;6:l4=2:f>d2;f?3;h56s|a983>7}:;0?1m?52a982f1=z{h31<7=t^`;89dg=98:01l751b;8yvgf290=w0=7f;c5?82313k=70=66;c5?85>83;;;63<8d8242=:ih0:n95rs``94?5|Vhh01lm510289dd=9j30q~ol:18185>=3k870ol:0`7?xu6880;6>uQ1138946528;;70??1;3`=>{t9981<7ge<58:962283>7}:;0<1=?=4=2;6>4443ty:??4?:3y>7<0=9:801>7::011?xu6;h0;6?u239d956g<5:3=6<=n;|q20g<72;q6?48515`896?228?>7p}>5783>7}:;1l1=884=2;5>4313ty:9o4?:3y>7<0=97::07a?xu6>10;6?u2384953><5:3>67p}>eb83>6}Y9li01?;9:0`7?87bk3;h56s|1df94?5|V8oo70<:c;3a0>;6mm0:o45rs0ge>5<4sW;nj63=5e82f1=:9ll1=n74}r3e4?6=;rT:j=5224g95g2<58l;64d334;m=7?l9:p5c4=839pRc89~w4`42908wS?i3:?135<6j=16=k=51b;8yv7a<3:1?vP>f59>620=9k>01uQ1g78971d28h?70?i5;3`=>{t9o<1<7=t^0d5?84?:3;i863>f782g<=z{8l<6=4<{_3e3>;5010:n9521g595f?53z\2b==::1o1=o:4=0d;>4e>3ty:jl4?:3y>7=`=9ok019:6:0db?xu5=?0;6?u245;95`e<5;?=67}:<=31=hh4=37g>4773ty99h4?:3y>01?=9o:01?;j:033?xu5>=0;6?u245;95c7<5;7}:<=31=k=4=353>4773ty9;;4?:3y>01?=9o>01?99:033?xu5?j0;6?u245;95c3<5;=h6528;;7p}=8983>7}:<=31=k94=3:;>4773ty94h4?:3y>01?=9o201?6j:033?xu51h0;6?u239d9626?7n;|q1a<<72;q6?4852e5896?72;no7p}=e`83>7}:<=31>i94=2;3>7b?3ty9ik4?:3y>01?=:ll01>6j:3ge?xu4:j0;6?u245;977e<5:2n6>b2:8n7p}<3583>7}:;1l1?>:4=2:a>4773ty8?o4?:3y>7=`=;:h01>7<:033?xu4=:0;6?u239g9705<5:3?6;|q012<72;q6?5k5345896>d28;:7p}<8`83>7}:;0:14=5239a95g252z?093:1>v3<9181a7=:;0>1=o:4}r1:6?6=:r785>4>b59>7<2=9880q~=67;297~X41>16?4m51c6896?028i27p}<9983>7}:;031=o:4=2;a>4763ty8544?:3y>701>7m:031?xu41j0;6?u238`95g2<5:3h64d33492h7?l9:p7<`=838p1>7j:0`7?85>n3;:<6s|3`394?5|V:k:70=n2;324>;4i80:o45rs2c1>5<3s4>?57=n0:?0=3<4i916?4>53`2896g528h?7p}6}Y;h901>o;:0`7?85f;3;h56s|3`694?4|5:3>6>o?;<1b0?7682wx?lm50;1xZ6gd349jh7?m4:?0ef<6k01v>ok:18185>=39jo63;4j80:n9523c295f?52z?0=5<4il16?o?51028yv5e:3:1?vP7g5=98:01>l=:0a:?xu4j:0;6?u238797dc<5:h864d3349i87?l9:p7g1=838p19:6:2`7?85e?3;:<6s|3c`94?5|V:hi70=mc;3a0>;4jk0:o45rs2``>5<5s492<7=ma:?0ff<6991v>lk:180[5el278nh4>119>7gb=9j30q~=me;296~;41<08nl523cg95g27>53z\0g7=:;j91=o:4=2a1>4e>3ty8o>4?:3y>7<3=;j801>m<:033?xu4k?0;6>uQ3b4896ef28h?70=l6;3`=>{t;jk1<76e1349hm7?>0:p7fd=839pR>mm;<1`g?7e<278oo4>c89~w6ed2909w0=65;1`f>;4kj0:==5rs2f;>5<4sW9o4637<0=;m=01>j6:0`7?xu4lh0;6>uQ3ec896be28h?70=ka;3`=>{t;mh1<76b0349on7?>0:p7c4=839pR>h=;<1e1?7e<278j?4>c89~w6`22909w0:;9;1e6>;4n<0:==5rs520>5<4sW>;?63;0582f1=:<991=n74}r630?6=:r7?844052=98:0q~:?5;297~X38<168=851c68916228i27p};0783>7}:<=318?>4=525>4773ty?<:4?:2y]051<5=:o62=:<70:?d;324>{t<9o1<7=t^52f?827n3;:<63;0d82g<=z{=:m6=4<{<1;b?27m278584;0d9>05`=9k>0q~:>0;297~X399168<<51c68917728i27p};1383>7}:<=318<>4=531>4773ty?=>4?:2y]045<5=;>62=;870:>5;324>{t<8=1<7=t^534?82603;:<63;1682g<=z{=;36=4<{<67=?26>2785;4;179>04>=9k>0q~:>9;297~X39016828i27p};1`83>7}:;0?18<84=53b>4773ty?>54?:2y]07><5=826{t<=;1<7=t^562?823:3;i863;4082g<=z{=>96=4={<1:4?24m27?8?4>119~w1242908wS:;3:?701<6991689=51b;8yv23<3:1>v3<94877`=:<=>1=o:4}r672?6=;rT?8;524559546<5=>=62=>>70=66;671>;3<>0:n95r}o353a<72=qC?8k4}o353`<72=qC?8k4}o353c<72:qC?8k4}o35<5<728qC?8k4I0a:>4}62twe=;6>:182M52m2C:o44>{08~yk710;0;628q:6psa17:0>5<6sA9>i6G>c8824:|m53>2290:wE=:e:K2g<<6s80vqc?98783>4}O;x{i9?2<6=4>{I16a>O6k00:w<4r}o35<=<728qC?8k4I0a:>4}62twe=;66:182M52m2C:o44>{08~yk710h0;628q:6psa17:a>5<6sA9>i6G>c8824:|m53>c290:wE=:e:K2g<<6s80vqc?98d83>4}O;x{i9?2m6=4>{I16a>O6k00:w<4r}o35=5<728qC?8k4I0a:>4}62twe=;7>:182M52m2C:o44>{08~yk711;0;628q:6psa17;0>5<6sA9>i6G>c8824:|m53?2290:wE=:e:K2g<<6s80vqc?99783>4}O;x{i9?3<6=4<{I16a>{i9?336=4<{I16a>{i9?326=4<{I16a>{i9?3j6=4<{I16a>{i9?3i6=4<{I16a>{i9?3h6=4<{I16a>{i9?3o6=4<{I16a>{i9?3n6=4<{I16a>{i9?3m6=4<{I16a>{i9?k;6=4;{I16a>{i9?k:6=4={I16a>{i9?k96=4<{I16a>{i9?k86=4;{I16a>{i9?k?6=4={I16a>{i9?k>6=4={I16a>{i9?k=6=4={I16a>{i9?k<6=4={I16a>{i9?k36=4={I16a>{i9?k26=4={I16a>{i9?kj6=4={I16a>{i9?ki6=4={I16a>{i9?kh6=4<{I16a>{i9?ko6=4<{I16a>{i9?kn6=4>2zJ01`=zf854zJ01`=zf851zJ01`=N9j31=v?5}[17f?7|i>0vV<>l:0y00g0b8262e2twe=;l<:187e~N4=l1vb<8m4;295~N4=l1B=n751z39y_53j3;pmo4rZ02`>4}47}O;4}O;x{i9?h<6=4;{I16a>{i9?h36=4<{I16a>{i9?h26=48{I16a>{i9?hj6=4;{I16a>{i9?hi6=4<{I16a>{i9?hh6=4;{I16a>{i9?ho6=4<{I16a>{i9?hn6=48{I16a>{i9?hm6=4;{I16a>{i9?i;6=4;{I16a>{i9?i:6=4>{I16a>O6k00:w?4r}o35g7<728qC?8k4I0a:>4}52twe=;m<:182M52m2C:o44>{38~yk71k=0;628q96psa17a6>5<6sA9>i6G>c88274}O;x{i9?i26=4>{I16a>O6k00:w?4r}o35gd<728qC?8k4I0a:>4}52twe=;mm:182M52m2C:o44>{38~yk71kj0;628q96psa17ag>5<4sA9>i6sa17af>5<5sA9>i6sa17f2>5<5sA9>i6sa17f1>5<5sA9>i6sa17f0>5<5sA9>i6sa17f7>5<5sA9>i6sa17f6>5<5sA9>i6sa17f5>5<5sA9>i6sa17f4>5<5sA9>i6sa17f;>5<5sA9>i6sa17f:>5<5sA9>i6sa17fb>5<4sA9>i6sa17fa>5<4sA9>i6sa17f`>5<4sA9>i6sa17fg>5<2sA9>i6sa17ff>5<4sA9>i6sa17fe>5<4sA9>i6sa17g3>5<5sA9>i6sa17g2>5<5sA9>i6sa17g1>5<4sA9>i6sa17g0>5<4sA9>i6sa17g7>5<5sA9>i6sa17g6>5<6sA9>i6sa17g5>5<5sA9>i6sa17g4>5<6sA9>i6sa17g;>5<6sA9>i6G>c88271}O;4}O;x{i9?l:6=4;{I16a>{i9?l96=4>{I16a>O6k00:w?4r}o35b6<72=qC?8k4}o35b1<728qC?8k4I0a:>4}52twe=;h::181M52m2we=;h9:182M52m2C:o44>{08~yk71n>0;6?uG34g8yk71n10;628q96psa17d:>5<3sA9>i6sa17db>5<6sA9>i6G>c8827:|m53`c2909wE=:e:m53`b290:wE=:e:K2g<<6s;0vqc?9fg83>1}O;4}O;x{i9>::6=4={I16a>{i9>:96=4>{I16a>O6k00:w?4r}o3446<72=qC?8k4}o3441<728qC?8k4I0a:>4}62twe=:>::180M52m2we=:>9:182M52m2C:o44>{38~yk708>0;69uG34g8yk70810;628q96psa162:>5<5sA9>i6sa162b>5<6sA9>i6G>c88277}O;4}O;x{i9>;:6=4<{I16a>{i9>;96=4>{I16a>O6k00:w?4r}o3456<72;qC?8k4}o3451<728qC?8k4I0a:>4}52twe=:?::181M52m2we=:?9:182M52m2C:o44>{08~yk709>0;6>uG34g8yk70910;628q96psa163:>5<3sA9>i6sa163b>5<6sA9>i6G>c88277}O;4}O;x{i9>8:6=4:{I16a>{i9j?1<7?tH27f?xh6k?0;6290:wE=:e:m5fg=83;pD>;j;|l2gg<728qC?8k4}o3`g?6=9rB89h5rn0ag>5<6sA9>i6sa1bg94?7|@:?n7p`>cg83>4}O;:182M52m2we=i<50;3xL63b3td:h>4?:0yK70c51zJ01`=zf8n>6=4>{I16a>{i9m<1<7?tH27f?xh6l10;6;j;|l2`f<72;qC?8k4}o3ga?6=9rB89h5rn0fe>5<6sA9>i6sa1d294?7|@:?n7p`>e083>4}O;51zJ01`=zf8o36=4>{I16a>{i9l31<7?tH27f?xh6mh0;6;j;|l2ac<728qC?8k4}o3e4?6=9rB89h5rn0d1>5<6sA9>i6sa1g194?7|@:?n7p`>f583>4}O;51zJ01`=zf8lj6=4>{I16a>{i9oh1<7?tH27f?xh6nj0;6;j;|l145<728qC?8k4}o035?6=9rB89h5rn321>5<6sA9>i6sa21194?7|@:?n7p`=0583>4}O;9:181M52m2we>=950;0xL63b3td9<54?:0yK70c51zJ01`=zf;:j6=4>{I16a>{i:9h1<7?tH27f?xh58j0;6vF<5d9~j76b2909wE=:e:m20?=839pD>;j;|l51g<72:qC?8k4}o46g?6=:rB89h5rn77g>5<5sA9>i6sa64g94?7|@:?n7p`95g83>4}O;:182M52m2we:;<50;3xL63b3td=;=4?:0yK70c53zJ01`=zf?=96=4<{I16a>{i>>91<7?tH27f?xh1?=0;6;j;|l53=<728qC?8k4}o44=?6=9rB89h5rn75b>5<6sA9>i6sa66`94?7|@:?n7p`97b83>4}O;51zJ01`=zf?296=4>{I16a>{i>191<7?tH27f?xh10=0;6vF<5d9~j3>12909wE=:e:m2=1=83;pD>;j;|l5<=<728qC?8k4}o4;=?6=9rB89h5rn7:b>5<6sA9>i6sa69`94?7|@:?n7p`98b83>4}O;51zJ01`=zf?396=4<{I16a>{i>091<7=tH27f?xh11=0;6=3:1=vF<5d9~j3?1290:wE=:e:m2<1=83;pD>;j;|l5==<728qC?8k4}o4:=?6=9rB89h5rn7;b>5<6sA9>i6sa68`94?7|@:?n7p`99b83>4}O;51zJ01`=zf?k96=4>{I16a>{i>h91<7=tH27f?xh1i=0;6>uG34g8yk0f=3:1=vF<5d9~j3g1290:wE=:e:m2d1=83;pD>;j;|l5e=<728qC?8k4}o4b=?6=9rB89h5rn7cb>5<6sA9>i6sa6``94?7|@:?n7p`9ab83>4}O;51zJ01`=zf?h96=4>{I16a>{i>k91<7?tH27f?xh1j=0;6;j;|l5f=<728qC?8k4}o4a=?6=9rB89h5rn7`b>5<6sA9>i6sa6c`94?7|@:?n7p`9bb83>4}O;51zJ01`=zf?i96=4>{I16a>{i>j91<7?tH27f?xh1k=0;6;j;|l5g=<728qC?8k4}o4`=?6=9rB89h5rn7ab>5<6sA9>i6sa6b`94?7|@:?n7p`9cb83>4}O;51zJ01`=zf?n96=4>{I16a>{i>m91<7?tH27f?xh1l=0;6;j;|l5`=<728qC?8k4}o4g=?6=9rB89h5rn7fb>5<6sA9>i6sa6e`94?7|@:?n7p`9de83>6}O;50;3xL63b3td=i<4?:0yK70c7>51zJ01`=zf?o86=4>{I16a>{i>l>1<7?tH27f?xh1m<0;63:1=vF<5d9~j3c0290:wE=:e:m2`>=83;pD>;j;|l5a<<728qC?8k4}o4fe?6=9rB89h5rn7ga>5<6sA9>i6sa6da94?5|@:?n7p`9ee83>6}O;50;3xL63b3td=j<4?:0yK70c7>51zJ01`=zf?l86=4>{I16a>{i>o>1<7?tH27f?xh1n<0;63:1=vF<5d9~j3`0290:wE=:e:m2c>=83;pD>;j;|l5b<<728qC?8k4}o4ee?6=9rB89h5rn7da>5<6sA9>i6sa6ga94?7|@:?n7p`9fe83>4}O;50;1xL63b3td<<<4?:2yK70c7>51zJ01`=zf>:86=4>{I16a>{i?9>1<7?tH27f?xh08<0;63:1=vF<5d9~j260290:wE=:e:m35>=83;pD>;j;|l44<<728qC?8k4}o53e?6=9rB89h5rn62a>5<6sA9>i6sa71f94?7|@:?n7p`81083>6}O;4;297~N4=l1vb:?::180M52m2we;<650;3xL63b3td<=44?:0yK70c51zJ01`=zf>;i6=4>{I16a>{i?8n1<7?tH27f?xh09o0;6;j;|l462<728qC?8k4}o515<6sA9>i6sa73c94?7|@:?n7p`n1983>0}O;9;296~N4=l1vbl?n:182M52m2wem51zJ01`=zfh;n6=4>{I16a>{ii8l1<7?tH27f?xhf:90;6;j;|lb61<728qC?8k4}oc11?6=9rB89h5rn`0;>5<5sA9>i6saa3;94?5|@:?n7p`n2`83>4}O;h4?:0yK70c53zJ01`=zfh9>6=4={I16a>{ii:<1<7?tH27f?xhf;>0;6290:wE=:e:me6g=838pD>;j;|lb7g<72;qC?8k4}oc0a?6=;rB89h5rn`1e>5<6sA9>i6saa5294?7|@:?n7p`n4083>4}O;51zJ01`=zfh><6=4>{I16a>{ii=31<7;j;|lb0`<728qC?8k4}oc7b?6=9rB89h5rn`73>5<6sA9>i6saa4394?7|@:?n7p`n5383>4}O;;7>51zJ01`=zfh?26=4={I16a>{ii;j;|lb1c<72;qC?8k4}oc54?6==rB89h5rn`42>5i6saa7094?4|@:?n7p`n6283>7}O;51zJ01`=zfh<26=4>{I16a>{ii?k1<7?tH27f?xhf>k0;6;j;|lb2c<72:qC?8k4}oc44?6=;rB89h5rn`52>5<6sA9>i6saa6094?7|@:?n7p`n7283>4}O;51zJ01`=zfh=26=4>{I16a>{ii>k1<7?tH27f?xhf?k0;6;j;|lb<5<728qC?8k4}oc;5?6=9rB89h5rn`:1>5<6sA9>i6saa9794?7|@:?n7p`n8783>4}O;51zJ01`=zfkih6=4={I16a>{ijjn1<7vF<5d9~jgb62909wE=:e:mfa4=83;pD>;j;|la`6<728qC?8k4}o`g0?6=9rB89h5rncf6>5<6sA9>i6sabe494?7|@:?n7p`md883>=}O;52zJ01`=zfko:6=4>{I16a>{ijl91<7;j;|laa=<728qC?8k4}o`f=?6=:rB89h5rncgb>5<5sA9>i6sabd`94?5|@:?n7p`meb83>4}O;52zJ01`=zfkl>6=4>{I16a>{ijo=1<7?tH27f?xh6::>1<7=tH27f?xh6::?1<7?tH27f?xh6::<1<7?tH27f?xh6::=1<7?tH27f?xh6::21<7?tH27f?xh6::31<7=tH27f?xh6::k1<7?<{I16a>{i9;9i6=4<{I16a>{i9;9h6=49{I16a>{i9;9m6=4>{I16a>{i9;>;6=4<{I16a>{i9;>:6=4<{I16a>{i9;>96=4:{I16a>{i9;>?6=4;{I16a>{i9;>>6=4<{I16a>{i9;>=6=4>{I16a>{i9;><6=4>{I16a>{i9;>36=4>{I16a>{i9;>26=4>{I16a>{i9;>j6=4>{I16a>{i9;>i6=4;{I16a>{i9;>h6=4<{I16a>{i9;>o6=4>{I16a>{i9;>n6=4;{I16a>{i9;>m6=4<{I16a>{i9;?;6=4>{I16a>{i9;?:6=4;{I16a>{i9;?96=4<{I16a>{i9;?86=4>{I16a>{i9;??6=4;{I16a>{i9;?>6=4<{I16a>{i9;?=6=4>{I16a>{i9;?<6=4={I16a>{i9;?36=4={I16a>{i9;?26=4={I16a>{i9;?j6=4>{I16a>{i9;?i6=4>{I16a>{i9;?h6=4>{I16a>{i9;?o6=4>{I16a>{i9;?n6=4>{I16a>{i9;?m6=4>{I16a>{i9;<;6=4>{I16a>{i9;<:6=4>{I16a>{i9;<96=4>{I16a>{i9;<86=4>{I16a>{i9;{I16a>{i9;<>6=4>{I16a>{i9;<=6=4={I16a>{i9;<<6=4={I16a>{i9;<36=4={I16a>{i9;<26=4={I16a>{i9;{i9;{i9;{I16a>{i9;{I16a>{i9;{I16a>{i9;{I16a>{i9;=;6=4>{I16a>{i9;=:6=4:{I16a>{i9;=96=4<{I16a>{i9;=86=4<{I16a>{i9;=>6=4<{I16a>{i9;==6=4>{I16a>{i9;=<6=4>{I16a>{i9;=36=4>{I16a>{i9;=26=4>{I16a>{i9;=j6=4>{I16a>{i9;=i6=4>{I16a>{i9;=h6=4>{I16a>{i9;=o6=4>{I16a>{i9;=n6=4>{I16a>{i9;=m6=4>{I16a>{i9;2;6=4>{I16a>{i9;296=4={I16a>{i9;286=4>{I16a>{i9;2?6=4>{I16a>{i9;2<6=4<{I16a>{i9;236=4<{I16a>{i9;226=4:{I16a>{i9;2j6=4<{I16a>{i9;2i6=4>{I16a>{i9;2h6=4>{I16a>{i9;2o6=4<{I16a>{i9;2n6=4<{I16a>{i9;2m6=4>{I16a>{i9;3;6=4>{I16a>{i9;3:6=4>{I16a>{i9;396=4>{I16a>{i9;386=4>{I16a>{i9;3?6=4>{I16a>{i9;3>6=4>{I16a>{i9;3=6=4>{I16a>{i9;3<6=4<{I16a>{i9;336=4:{I16a>{i9;326=4:{I16a>{i9;3j6=4:{I16a>{i9;3i6=4<{I16a>{i9;3h6=4>{I16a>{i9;3o6=4>{I16a>{i9;3n6=4<{I16a>{i9;3m6=4<{I16a>{i9;k;6=4>{I16a>{i9;k:6=4>{I16a>{i9;k96=4>{I16a>{i9;k>6=4>{I16a>{i9;k=6=4<{I16a>{i9;k<6=4<{I16a>{i9;k36=4:{I16a>{i9;k26=4<{I16a>{i9;kj6=4<{I16a>{i9;ki6=4:{I16a>{i9;ko6=4<{I16a>{i9;kn6=4>{I16a>{i9;km6=4>{I16a>{i9;h;6=4>{I16a>{i9;h:6=4>{I16a>{i9;h96=4>{I16a>{i9;h<6=4<{I16a>{i9;h26=4<{I16a>{i9;hj6=4>{I16a>{i9;hi6=4>{I16a>{i9;hh6=4>{I16a>{i9;ho6=4>{I16a>{i9;hn6=4>{I16a>{i9;hm6=4>{I16a>{i9;i;6=4>{I16a>{i9;i:6=4>{I16a>{i9;i86=4>{I16a>{i9;i?6=4>{I16a>{i9;i>6=4<{I16a>{i9;i=6=4>{I16a>{i9;i<6=4>{I16a>{i9;i36=4>{I16a>{i9;i26=4>{I16a>{i9;ij6=4>{I16a>{i9;ii6=4>{I16a>{i9;ih6=4>{I16a>{i9;io6=4>{I16a>{i9;in6=4>{I16a>{i9;n:6=4>{I16a>{i9;n96=4>{I16a>{i9;n86=4<{I16a>{i9;n>6=4={I16a>{i9;n=6=4={I16a>{i9;n<6=4>{I16a>{i9;n36=4>{I16a>{i9;n26=4>{I16a>{i9;nj6=4>{I16a>{i9;ni6=4>{I16a>{i9;nh6=4>{I16a>{i9;no6=4>{I16a>{i9;nn6=4>{I16a>{i9;nm6=4>{I16a>{i9;o;6=4>{I16a>{i9;o:6=4>{I16a>{i9;o96=4>{I16a>{i9;o86=4>{I16a>{i9;o?6=4>{I16a>{i9;o>6=4>{I16a>{i9=nm6=4;{I16a>{i9=o:6=4;{I16a>{i9=o96=4;{I16a>{i9=o86=4;{I16a>{i9=o?6=4;{I16a>{i9=o>6=4;{I16a>{i9=o=6=4;{I16a>{i9=o<6=4;{I16a>{i9=o36=4;{I16a>{i9=o26=4={I16a>{i9=oj6=4={I16a>{i9=oi6=4={I16a>{i9=oh6=4={I16a>{i9=oo6=4={I16a>{i9=on6=4={I16a>{i9=om6=4<{I16a>{i9=l;6=4;{I16a>{i9=l:6=4;{I16a>{i9=l96=4;{I16a>{i9=l86=4;{I16a>{i9=l?6=4;{I16a>{i9=l>6=4;{I16a>{i9=l=6=4;{I16a>{i9=l<6=4={I16a>{i9=l36=4={I16a>{i9=l26=4={I16a>{i9=lj6=4>{I16a>{i9=li6=4>{I16a>{i9=lh6=4>{I16a>{i9=lo6=4>{I16a>{i9=ln6=4>{I16a>{i9=lm6=4>{I16a>{i9<:;6=4>{I16a>{i9<::6=4>{I16a>{i9<:96=4>{I16a>{i9<:>6=4>{I16a>{i9<:=6=4>{I16a>{i9<:<6=4>{I16a>{i9<:26=4={I16a>{i9<:j6=4>{I16a>{i9<:h6=4={I16a>{i9<:o6=4>{I16a>{i9<:n6=4>{I16a>{i0;0;6o7>51zm627=83;pqc<60;297~{i:1k1<7?t}o0bb?6=;rwe>l750;3xyk4dm3:1?vsa2b:94?7|ug8nh7>53zm6`1=83;pqc=?c;297~{i;9<1<7?t}o11f?6=;rwe??;50;3xyk53i3:1?vsa35694?7|ug9=57>53zm735=83;pqc=78;297~{i;181<7?t}o562?6=9rwe;;l50;3xyk1?83:1=vsa78d94?5|ug=257>51zm3g2=83;pqc9k3;297~{i?jn1<7?t}o5e6?6=;rwe;hm50;3xyk>693:1?vsa81`94?7|ug29:7>51zm<6d=83;pqc6:0;295~{i0??1<7?t}o:4e?6=9rwe45h50;3xyk>fm3:1?vsa8`:94?7|ug2hh7>53zm51zmf1g=839pqcl;4;295~{ik;>1<7?t}oa77?6=;rweo>j50;3xyke1:3:1?vsac4a94?7|ugi3=7>53zmg2d=83;pqcmn0;297~{ik0k1<7?t}oaab?6=;rweoo750;3xykecm3:1?vsace:94?7|ugimh7>53zmgc1=83;pqcj>c;297~{il8<1<7?t}of0f?6=;rweh>;50;3xykb2i3:1?vsad4694?7|ugn<57>53zm`25=83;pqcj68;297~{il081<7?t}ofa3?6=;rweho?50;3xykbc>3:1?vsade294?7|ugnm97>53zm```=83;pqck>4;297~{im9o1<7?t}og07?6=;rwei?j50;3xykc2:3:1?vsae5a94?7|ugo<=7>53zma3d=83;pqck60;297~{im1k1<7?t}ogbb?6=;rweil750;3xykcdm3:1?vsaeb:94?7|ugon?7>51zmb54=839pqckic;295~{in;;1<7=t}od2f?6=9rwej9>50;1xyk`4i3:1=vsaf4d94?5|ugl>57>51zmb2c=839pqch88;295~{in0n1<7=t}od:3?6=9rwejom50;1xyk`e>3:1=vsafe`94?5|uglo97>51zmbcg=839pqchi4;295~{i99;26=4<{|l2445=83;pqc?<0483>4}zf89:m7>51zm564a290:wp`>35g94?5|ug;8854?:0y~j451l3:1?vsa1244>5<6std:?5m50;1xyk740?0;6o::182xh6;jk1<7=t}o30g1<728qvb<=j9;297~{i9:o86=4>{|l205>=839pqc?;0383>4}zf8>9;7>53zm5146290:wpsr}AB@4g>i3lm999705CDG}7uIJ[wpNO \ No newline at end of file +$5745=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb7LQISC;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD7?GGD\<1IMNZ>5:@BGQ423KKHX:4BDPQ1>DBZ[:=7OK]R1pf?GCUZ9xTSb|?012e?GCUZ9xTSb|?0122b>DBZ[:ySRa}012360=EM[X::6LJRS3qa>DBZ[;ySRa}0123b>DBZ[;ySRa}01235c=EM[X:~RQ`r12347385MESP01>DBZ[>>7OK]R4;8F@TUW@EI_i5MESP\[dhc89:;i6LJRS]\ekb789::o6LJRS]\kw6789n0NH\]_^mq45679m1II_\P_np34565l2HN^_QPos23455c3KOY^RQ`r12341bDBZ[xm56LJRSpe[K6f3KOY^hPN135?GCUZ{xn7OK]Rsp\jjr789;m7OK]Rsp\jjr789;:?6LZF028FPOKLV^J^JK[ASAK<>DR[VCEJB?4C59@53K43J2F86MNCU08G@5EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:Ff?ATDWZ_HOH]\FTN6?ARFKB<0HYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798l0EBLJRS]mkq67888m7DAMESP\jjr789;886G@BL68MJDT>2CDOBC_4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok1d9Okdb6WVkeh=>?059N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos234576:2^bahazt@]\kw6789;;=<5[ilglqqGXWfx;<=>=109Wmhch}}KTSb|?012054=SadodyyOP_np34563981_e`k`uuC\[jt789:>=<5[ilglqqGXWfx;<=>9109Wmhch}}KTSb|?012454=SadodyyOP_np3456?981_e`k`uuC\[jt789:246ZfmdmvpGd<\`gncxzMPsg27>Rnele~xO^}e^]lv56788>0XdcjotvATwcXWfx;<=>>159Wmhch}}H[~hQPos23454682^bahaztC]\kw6789;:7YgbenwwFZYhz9:;<;UknajssJVUd~=>?0332?QojmfNRQ`r123465<]JLj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK<1SSB@J6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`eej6Vkh^Vp`QojmZzno<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=8m4n@Q\jjr789;=;6`NotvLAf=iIfCHQ@BTD6?kDBZ[<0bOK]R0;8jGCUZVLXNh5aBDPQ[CUEWFH^J45aBDPQ[LIEm2dII_\PIN@\MGSA<2dHM^j4nBCP[FjlW@D]=:5aC@Q\J5??f:lGPDELWVey<=>?1g9m@QGDCVUd~=>?0348jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345c>1028jAir|FOTbbz?013155=iLfCHQaou23445682dOcxz@E^llp5679=;;7cJ`uuMF[kis89::9<>4nEmvpJCXff~;<=?9119m@jssGLUecy>?00524>hCg|~DIR``t1235=773gNdyyAJ_omw45661=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCf8jIBTW@EISBLZFb9mHAUXign;<=?k;oNGWZgil9:;=n5aLEQ\jjr789;o7cBKS^llp56798h0bAJ\_np3457d3gFO_Ra}01225f=iDMYTc>?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0cfg>FGp8kj6K4;:0yPe7<4180:o84>35454g<6j>;?wc=7e;38j6>a2?1/?5753938yVg62:3:65<628qXm?4<9082g0<6;=<=b637?!?62=?87Eo7;wVe6?6=93;1?5?tS`097<7=9j?1=>:961`95g16<2.8:44>a79U7=e=:r:mn4>;t3b`?6d3|@:<<7)=;f;3be>\>:38p47l5}%3b7?5312c2h7>5;n1`0?6=3`9jm7>5;n67e?6=3`>9<7>5;n62g?6=3f>:<7>5;h1af?6=3f>;o7>5;n1a`?6=3`>:;7>5;h1`2?6=3f9jo7>5;n1a1?6=3f>;i7>5;h67g?6=3f>9>7>5;n1ef?6=3f9j<7>5;n1b2?6=3`9n=7>5;h;:>5<>i4m:0;66a;3083>>i4ko0;66a>o3>i6mj0;6)?lf;3ff>h6kl0;76a>e`83>!7dn3;nn6`>cd82?>i6n<0;6)?lf;3ff>h6kl0976a>f583>!7dn3;nn6`>cd80?>i6n:0;6)?lf;3ff>h6kl0?76a>f383>!7dn3;nn6`>cd86?>i6n80;6)?lf;3ff>h6kl0=76a>f183>!7dn3;nn6`>cd84?>i6mo0;6)?lf;3ff>h6kl0376a>ed83>!7dn3;nn6`>cd8:?>i6mm0;6)?lf;3ff>h6kl0j76a>e883>!7dn3;nn6`>cd8a?>o3l3:1(;:k7e?6=,8im69m4n0af>7=cg87g>h6kl0876g;7;29 4ea2=i0b5$0ae>1ecd84?>o3;3:1(d=cg87g>h6kl0i76g97>5$0ae>1ecd8e?>o2;3:1(42<3`>n6=4+1bd90f=i9jo1=854i5:94?"6ko0?o6`>cd822>=e;021<7?m:5`91c}O;?=0(>:i:`18^<4=9r31q)?n3;17=>\45<i6=44o212>5<!7dn3;i7c?le;68?l73290/=nh51c9m5fc==21b=>4?:%3`b?7e3g;hi784;h31>5<#9jl1=o5a1bg93>=n980;6)?lf;3a?k7dm3207d??:18'5f`=9k1e=nk59:9jb?6=,8im6d=5<#9jl1=o5a1bg9`>=n:=0;6)?lf;3a?k7dm3o07d<<:18'5f`=9k1e=nk5f:9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;1<1<7?50;2x 62a2:<37E=74:J022=#;1h1=o=4$0c7>1323f;h87>5$2:a>4d?32wi?kh50;796?0|,:>m6kk4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg2783:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;0`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e99l1<7750;2x 62a2:=0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876g>0e83>!5?j3;:?65`1c394?"40k0:n554}c324?6=13:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?l77i3:1(>6m:030?>o68k0;6)=7b;327>=n99i1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm32094?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>=;:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg54=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd66;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm15g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8>m6=4;:183!53n3997E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c630?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th?<84?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a050=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9>8:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb52;>5<3290;w)=;f;11?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7a03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl>f883>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bd<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=kl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4`d29086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj8lo6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bc<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5110;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm28;94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;3j6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0:f?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th95n4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb3;g>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==55;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907b?m1;29 6>e28h376sm36c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>6>43A9386F<669'7=d=;180(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:k50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm36d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj:926=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>=k:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`07`<72<0;6=u+35d976=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?l7713:1(>6m:030?>i6j80;6)=7b;3a<>=zj:9m6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c174?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th88<4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a714=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>:<:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb267>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4;h0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8?o4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb21`>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?<8;291?6=8r.88k4<3:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6;j0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm12f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj89n6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn<=i:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb012>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:?>4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376sm12694?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6;4;l0:<;5232d955355`=99301>=j:024?85383;;96s|e;290~Xb34;;j7??a:?07`<68116?9?51178yv`=83>pRk5211d955d<5:926<>8;<30=?77>2wx==4?:4y]55=:99l1==m4=21f>46>349?>7??5:?27<<68>1vk;<10=?77>2wx=?4?:5y]57=:98:1==84=25:>46034;847??6:p56<72=qU=>521029551<5:=26<>9;<3046?34;847??8:p50<72=qU=852102955?<5:=26<>6;<3046134>;87??5:?1=<<68<16?:o51148945128:=7p}>9;29=~X6127:==4>0c9>765=99?019>::026?87a13;;;63=9`8240=:;>k1==94=013>46234;8n7??7:p5d<72jqU=l52102955e<5:996<>8;<37g?77=27?<>4>069>5c>=99?01k3;;:63<7c8242=:9:i1==;4=01f>46134;8j7??6:p5f<720qU=n52102955b<5:9?6<>:;<37a?77=27?<;4>049>5cd=99?01?7m:026?850k3;;963>368243=z{;91<7=t^318946a28:=70={t:=0;6>uQ259>55`=99=01>=k:024?xu1l3:1?vP9d:?74d<68<168==51148yv??2908wS77;<1eb??434>;577<;|q24`<72;qU==k4=032>4d63ty:55`=9k;01:025?xu6990;6?u210295g7<58;:6<>8;|q26c<72;qU=?h4=014>4d63ty:?=4?:3y>566=9k;01<=j:024?xu6;80;6?u212395g7<58996<>8;|q277<72;q6=><51c38945428:=7p}>3283>7}:9:91=o?4=017>4603ty:?94?:3y>562=9k;01<=::024?xu6;<0;6?u212795g7<589=6<>8;|q273<72;q6=>851c38945028:<7p}>3983>7}:9:21=o?4=017>4613ty:?44?:3y>56?=9k;01<=<:024?xu6;h0;6?u212c95g7<589m6<>8;|q27g<72;q6=>l51c38945628:=7p}>3b83>7}:9:i1=o?4=012>4603ty:?i4?:3y>56b=9k;01<=<:02;?xu6;l0;6?u212g95g7<589>6<>9;|q27c<72;q6=>h51c38945528:=7p}>4c83>7}Y9=h01<:i:0`2?xu6m6<>8;|q20a<72;q6=9j51c38942a28:=7p}>4d83>7}:9=o1=o?4=06e>46?3ty:mh4?:3y>7=3=999019>6:8d8yv7fn3:1>v3<8782g1=:;ol1m:5rs0d4>5<5sW;m;63>fg82f4=z{8l36=4={<3e069~w4`>2909w0?i9;3a5>;6nm0:<;5rs0db>5<5s4;mm7?m1:?2ba<68>1vfg8242=z{8lh6=4={<3eg?7e927:jh4>079~w4`c2909w0?id;3a5>;6nl0:<:5rs0df>5<5s4;mi7?m1:?2bc<68?1v?78:181[4>?2795h4>b09~w7??2909w0<68;3a5>;51j0:<:5rs3;:>5<5s48257?m1:?1=`<68?1v?7n:18184>i3;i=63=9e8243=z{;3i6=4={<0:f?7e92795i4>069~w7?d2909w0<6c;3a5>;51l0:<:5rs3;g>5<5s482h7?m1:?1=`<6811v>=>:181[549278?;4>b09~w6552909w0=<2;3a5>;4;?0:<;5rs210>5<5s498?7?m1:?070<68?1v>=;:181854<3;i=63<348242=z{:9>6=4={<101?7e9278?;4>069~w65?2909wS=<8:?07f<6j81v>=6:18185413;i=63<3`8243=z{:9j6=4={<10e?7e9278?n4>079~w65e2909w0=;4;j0:<:5rs21g>5<5s498h7?m1:?006<68>1v>=j:181854m3;i=63<3c8243=z{:9m6=4={<10b?7e9278894>079~w6272909w0=;0;3a5>;4<=0:<:5rs262>5<5s49?=7?m1:?001<6811v>:=:181853:3;i=63<45824<=z{:>86=4={<177?7e9278?l4>069~w6232909w0=;4;3a5>;4;k0:<:5rs25;>5<5s493<7?m1:?006<68?1v>96:18185013;i=63<818242=z{:=j6=4={<14e?7e9278;k4>079~w61e2909w0=8b;3a5>;4?l0:<;5rs25`>5<5s491v>9k:181850l3;i=63<81824==z{:=n6=4={<14a?7e9278;k4>069~w61a2909w0=8f;3a5>;4090:<;5rs2de>5<5s49mj7?j8:?74<?:0`2?xu38;0;6?u23gd9=2=:<921=o?4}r637?6=:r7?<>4>b09>05>=99=0q~:?4;296~;38=0:n<524159550;97>52z?740<6j8168=951158yv27>3:1>v3;0782f4=:<921==64}r633?6=:r7?<:4>b09>05>=99<0q~:?9;293~;3800:i5521gc9553<58lh6<>9;<0:079>56g=99?01<=m:025?xu38k0;6?u241;9=2=:<9k1=o?4}r623?6=:rT?=:524129553?i7>54z\70`=:9=n1==;4=25g>46234;8h7??5:~f135290887m7:d5xL6003-9?j7?i6:X:6?4|03k1q)?n3;17=>\45<5<5<5<5<1<75`3g694?=h9li1<7*>cg82ag=i9jo1<65`1dc94?"6ko0:io5a1bg95>=h9o?1<7*>cg82ag=i9jo1>65`1g694?"6ko0:io5a1bg97>=h9o91<7*>cg82ag=i9jo1865`1g094?"6ko0:io5a1bg91>=h9o;1<7*>cg82ag=i9jo1:65`1g294?"6ko0:io5a1bg93>=h9ll1<7*>cg82ag=i9jo1465`1dg94?"6ko0:io5a1bg9=>=h9ln1<7*>cg82ag=i9jo1m65`1d;94?"6ko0:io5a1bg9f>=n900;6)?lf;3;?k7dm3:07d?8:18'5f`=911e=nk51:9j50<72-;hj7?7;o3`a?4<3`;?6=4+1bd95==i9jo1?65f1283>!7dn3;37c?le;68?l75290/=nh5199m5fc==21b=<4?:%3`b?7?3g;hi784;h33>5<#9jl1=55a1bg93>=nn3:1(cd8b?>od290/=nh5199m5fc=j21b>94?:%3`b?7?3g;hi7m4;h00>5<#9jl1=55a1bg9`>=n:;0;6)?lf;3;?k7dm3o07d<>:18'5f`=911e=nk5f:9j65<72-;hj7?7;o3`a?7732c:j7>5$0ae>4>5<#9jl1=55a1bg957=cg82<>h6kl0:?65f1783>!7dn3;37c?le;37?>d40<0;6<4?:1y'71`=99>0D>6;;I153>"40k085?5+1`69003<3th9=;4?:481>3}#;=l1jh5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm1c;94?3=:3:i:gg8L6>33A9=;6*>1485?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f26=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg45=3:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=3683>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e:9;1<7=52;6x 62a2on0D>6;;I153>"69<0=7)?n4;661>\45<5<{8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb20;>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9ih7>53;090~"4188;4Z26f>4}>j3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi>l>50;196?2|,:>m6kj4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t9c8~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5lh0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2ed94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg25i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<8k1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb301>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4k;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2e094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;n86=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>lj:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?km50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`c29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th?>n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a07b=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn95<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg25n3:197>50z&00c<4;2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>4d?32wi?k950;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`?29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=i9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4nh0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?;::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb375>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6;7>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:6=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb37e>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9:>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=6583>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:??1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>;850;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c05=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg41i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=6c83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`12f<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;5$2:a>47432e:n<4?:%1;f?7e021vn?9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm26794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?98:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb35;>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5?k0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9;n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a62b=8391<7>t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:>o1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c0;6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th94>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=8583>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj;236=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0;=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4?i3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>5j50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm29g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;2m6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?7?:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1g2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;i26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?mn:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4dj3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=cb83>0<729q/?9h5329K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9oh4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=cg83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;i36=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl=b383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:kn1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd5jo0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9o=4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a6f7=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?m=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4d;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5j=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9n84?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=b783>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1f2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;h36=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?l6:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1fd<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;hi6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?ll:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?o7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4f13:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5ij0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2`f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;kn6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0bb?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:h91<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>l:50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm2`794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj;k<6=4;:183!53n3;i:6F<859K731<,:2i67:18'7=d=98907b?m1;29 6>e28h376sm1c`94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8hh6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3a`?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5g`=83?1<7>t$26e>65<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>o6800;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm22;94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?:l:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg43l3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=4d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:=l1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb373>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6=7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>o50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f75e29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c00`?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg44m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=4183>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th98?4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb360>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;>=6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:8:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`10=<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5<00;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:n:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb36a>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd58:0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>j:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg47n3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=1183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`154<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi><<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>4;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`150<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>=:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f762290?6=4?{%17b?7e>2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi>=850;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm21594?2=83:p(>:i:208L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h336m:030?>i6j80;6)=7b;3a<>=zj;:26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>n:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`14g<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;:h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>k:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9?=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26;3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;1583>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg26=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0a;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`15g<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77c29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>e;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd59o0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`164<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5900;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?<8:187>5<7s-9?j7==;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876g>0983>!5?j3;:?65`1c394?"40k0:n554}c01b?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4483:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=3083>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e::81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb310>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f74?29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9>44?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=2`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`16g<72=0;6=u+35d95g0<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=2e83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=z{j0;6?uQc:?112<68?1vi4?:3y]`>;5=o0:<;5rsd83>7}Ym279:84>079~wc<72;qUj63=6c8243=z{8:1<71;296~X69279;:4>079~w44=838pR<<4=35g>4613ty:?7>52z\27>;50:0:<;5rs0694?4|V8>01?66:025?xu6>3:1>vP>6:?110<68>1v<950;0xZ41<5;2o6<>8;|q2`?6=:rT:h63=5e8242=z{8o1<7f;296~X6n279:44>069~w76=838pR?>4=34e>4603ty9=7>52z\15>;5?<0:<:5rs3094?4|V;801?9m:024?xu5;3:1>vP=3:?1<4<68>1v?:50;0xZ72<5;2<6<>8;|q5`?6=9;qU:i52718b3>;59?0j;63>b88b3>;5k00:<:522ba9550<5;hn6<>:;<0ab?77?279o94>049>6dd=99=01462348:<7??6:?15`<68<16>><51178yv17290>w09?:0g;?84d83;;963=518240=::8;1==;4=30;>4613ty247>5cz\:<>;59?02?63>b88:7>;0833870<=5;;0?844?338706<><;<022??a34;i577i;|q2f<<72>q6=o751d:897ef28:>70;58;<01b?77>2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894da28:37p}>be83>7}:9kn1=o?4=0`e>46>3ty:nh4?:3y>5gc=9k;019;|q2a<<72;qU=h74=37;>4d63ty:il4?:3y]5<=Y9lk0q~?jc;297~X6=2T:in5229d955052z\2aa=::?:1=o?4}r3fa?6=:rT:ih5227495g752z\2ac=::?i1=o?4}r3e4?6=:rT:j=5226095g752z\2b4=::>21=o?4}r3e6?6=:rT:j?5226g95g752z\2b6=::1>1=o?4}r3e0?6=:rT:j95229c95g752z\2b0=::0:1=o?4}r3e3?6=nrT:j:522ec9553<5;i26<>9;<0`5?77=279mo4>079>5g`=99<01?:i:026?842:3;;963=3d8243=::9l1==;4=331>46234>:=7??5:?15c<68?16>?h51158975628:>7p}=0183>1}::;>1=o?4=50e>461349mm7??6:?757<68<1v?>>:18184793;n463=228240=z{;:96=4={<035?g0348;h7?m1:p655=838p1?><:0`2?847j3;;:6s|21694?4|5;:?6;<033?7702wx>=;50;0x976228h:70{t:9<1<74d6348;57??7:p651=838p1?>8:0`2?847l3;;46s|21:94?4|5;:36;<03e?77>2wx>=750;0x976>28h:70{t:9k1<74d6348;n7??7:p65d=838p1?>m:0`2?847k3;;:6s|21a94?4|5;:h6;<03`?77?2wx>=k50;0x976b28h:70{t:9l1<74d6348;97??8:p646=838p1???:0`2?84713;;:6s|20394?4|5;;:6;<032?77>2wx><<50;0x977528h:70{t:891<74d6348;o7??7:p642=838p1??;:0`2?847l3;;:6s|20794?4|5;;>6;<033?77>2wx><850;0x977128o370<=2;331>{t:8=1<7<1<5;;26;|q15=<72;q6><651c38974628:=7p}=1`83>7}::8k1=o?4=303>4613ty9=o4?:3y>64d=9k;01??i:024?xu59j0;6?u220a95g7<5;;m6<>7;|q15a<72;q6>7}::8o1=o?4=33:>4603ty9=k4?:3y>64`=9k;01?8;|q164<72;q6>??51c38977>28:=7p}=2383>7}::;81=o?4=307>4613ty9>>4?:3y>675=9k;01?<;:024?xu5:<0;6?u223795`><5;nm6<>9;|q163<72;q6>?;5a69>67c=9k;0q~<=7;296~;5:>0:n<5223f955052z?16=<6j816>?o51148yv4513:1>v3=2882f4=::;i1==64}r01e?6=:r79>l4>b09>67d=99<0q~<=b;296~;5:k0:n<5223a955152z?16f<6j816>?j51158yv45l3:1>v3=2e82f4=::;o1==84}r01b?6=:r79>k4>b09>67d=99=0q~<<0;296~;5;90:n<5223:955152z?174<6j816>?o51158yv44:3:1>v3=3382f4=::;h1==64}r007?6=:r79?>4>b09>67e=99<0q~<<4;296~;5;=0:n<5223;955052z?170<6j816>?751158yv44>3:1>v3=3782f4=::;o1==94}r003?6=9?q6>>951d:896e528:>70<:5;332>;5=?0:<85224f9550<5;?n6<>:;<057?77>279:94>049>63?=99<01?8n:026?841n3;;:63=718240=::>?1==84=355>4623485?5114897>528:>70<77;332>;5010:<85229f9550<5;2n6<>:;|q17=<72;q6>>95a69>61d=9k;0q~<<9;296~;5;00:n<5222a955052z?17d<6j816>9651158yv44j3:1>v3=3c82f4=::=k1==94}r00g?6=:r79?n4>b09>617=99<0q~<52z?17`<6j816>9<51148yv44n3:1>v3=3g82f4=::=81==94}r074?6=:r798=4>b09>615=99=0q~<;1;296~;5<80:n<5225795517>52z?107<6j816>9851158yv43;3:1>v3=4282f4=::==1==94}r070?6=:r79894>b09>66e=99=0q~<;5;296~;5<<0:n<5225`955052z?103<6j816>9951148yv43?3:1>v3=4682f4=::=21==84}r07b09>61?=99=0q~<;9;296~;5<00:n<5225c955052z?10d<6j816>9l51158yv43k3:1>v3=4b82f4=::=;1==94}r07`?6=:r798i4>b09>66b=99=0q~<;e;296~;552z?10c<6j816>>h51148yv4283:1>v3=5182f4=:::l1==64}r065?6=:r799<4>b09>616=99<0q~<:2;296~;5=;0:n<52252955187>52z?110<6j816>8651148yv42=3:1>v3=5782f4=::<=1==94}r062?6=:r799:4>b09>60>=99=0q~<:c;296~;5=m0:n<522729550h7>52z?11`<6j816>8h51158yv42m3:1>v3=5g82f4=::?:1==94}r056?6=:r79:>4>b09>630=99<0q~<93;296~;5>=0:n<52277955152z?120<6j816>;851158yv4103:1>v3=6882f4=::?i1==84}r05=?6=:r79:l4>b09>63d=99=0q~<9a;296~;5>k0:n<5227a955152z?12c<6j816>:<51148yv41n3:1>v3=7182f4=::>;1==94}r044?6=:r79;<4>b09>624=99=0q~<84;296~;5?<0:n<5226:955052z?133<6j816>:951158yv40>3:1>v3=7682f4=::>21==94}r04e?6=:r79;o4>b09>62c=99<0q~<8b;296~;5?j0:n<5226f955152z?13a<6j816>:k51158yv4?83:1>v3=8082f4=::1>1==84}r0;5?6=:r794?4>b09>6=5=99=0q~<72;296~;50:0:n<52296955152z?1<2<6j816>5o51148yv4??3:1>v3=8982f4=::131==94}r0;b09>6=g=99=0q~<7c;296~;50m0:n<52282955052z?1<`<6j816>5h51158yv4?m3:1>v3=8g82f4=::0:1==94}r0:3?6=:rT95:5240c9553510y>6d6=9l201?j=:026?84d?3;;;63=cb824==::kn1==84=3a0>460348jm7??5:?1e0<68?16=oj51178975>28:<70<;59:0:<8522159551<5;;j6<>9;<02f?77=279>:4>079>665=99?0q~079~w7g42909w0;5i>0:<;5rs3c7>5<5s48j87?m1:?1e3<68?1v?o::18184f=3;i=63=a68242=z{;k=6=4={<0b2?7e9279m:4>099~w7g?2909w0;5io0:<:5rs3c:>5<5s48j57?m1:?1e6<68?1v?on:18184fi3;i=63=a28242=z{;ki6=4={<0bf?7e9279m;4>069~w7gd2909w0;5i=0:<;5rs3cg>5<5s48jh7?m1:?1e1<68>1v?oj:18184fm3;i=63=a7824==z{;km6=4={<0bb?7e9279m84>069~w7d7290:8v3=b182a==::m91==84=3a4>461348ho7??9:?1g7<68<16>o=5114897g528:>70;5im0:<8521ca9553<5;>h6<>:;<00e?77=279?i4>079>655=99<01??;:024?846=3;;963=1`8242=::8i1==;4=304>460348887??5:p6g7=838p1?l?:`5897dd28h:7p}=b383>7}::k81=o?4=3`0>4603ty9n>4?:3y>6g5=9k;01?ll:024?xu5j=0;6?u22c695g7<5;h26<>9;|q1f0<72;q6>o;51c3897d028:<7p}=b783>7}::k<1=o?4=3``>46?3ty9n:4?:3y>6g1=9k;01?l7:025?xu5j10;6?u22c:95g7<5;h26<>8;|q1f<<72;q6>o751c3897df28:<7p}=b`83>7}::kk1=o?4=3`a>4613ty9no4?:3y>6gd=9k;01?ll:025?xu5jm0;6?u22cf95g7<5;hj6<>9;|q1f`<72;q6>ok51c3897d328:<7p}=bg83>7}::kl1=o?4=3`6>4613ty9o=4?:3y>6f6=9k;01?l::024?xu5k80;6?u22b395g7<5;h36<>8;|q1g7<72;q6>n<51c3897de28:<7p}=c283>7}::j91=o?4=3`5>4613ty9o94?:3y>6f2=9k;01?l9:024?xu5k<0;64c?348hn7??5:?1f7<68<16>oj5115897g?28:>70;5il0:<8521c`9553<5;>o6<>:;<071?77>279<>4>069>642=99201?>;:026?846i3;;463=1e8240=::;=1==64=316>4623ty9o;4?:3y>6f3=i>16>n651c38yv4d?3:1>v3=c682f4=::jl1==84}r0`=?6=:r79o44>b09>6fc=99<0q~52z?1gg<6j816>i>51158yv4dk3:1>v3=cb82f4=::j21==94}r0``?6=:r79oi4>b09>6fc=99=0q~52z?1gc<6j816>i>51148yv4c83:1>v3=d182f4=::j21==84}r0g5?6=:r79h?4>b09>6a5=99=0q~ih51c38yv4ci3:1>v3=d`82f4=::ml1==94}r11<5=;86<>:;|q06<<724613488j7??7:?155<68>16>>>51178yv55j3:1ovP<2c9>6fe=99=01?mk:025?84d;3;;:63=b58243=::k=1==84=31:>461348??7??6:?151<68?16>=651148977?28:=70<<6;331>{t;0<1<7d1<5;n86;|q0e<<72?qU?l74=53g>460349mh7??6:?76f<68<16?k951178917328:<7p}7}Y;kn01>lk:0g;?xu4jo0;6?u23cf9=6=:;ko1=o?4}r1`5?6=:r78ni4n7:?0g7<6j81v>k?:181[5b8278j44>049~w6`32909wS=i4:?0b0<6j81v>h9:18185a=3;;963069~w6`?2909w0=i8;3a5>;4nh0:<55rs2d:>5<5s49m57?m1:?0bd<6801v>hm:181[5aj278ji4>b09~w6`d2909w0=ic;3a5>;4nm0:<:5rs533>5<5sW>:<63;1482f4=z{=;:6=4={<625?7e927?=94>079~w1752909w0:>2;3a5>;39=0:<55rs530>5<5s4>:?7?m1:?750<68>1v9?;:181826<3;i=63;148243=z{=;26=4={_62=>;39h0:n<5rs53`>5<5sW>:o63;1e82f4=z{=;m6=4={_62b>;3:l0:<85rs50:>5<5sW>9563;2`82f4=z{=8i6=4={<61e?77=27?>k4>b09~w14d2909w0:=c;3a5>;3:o0:<:5rs50g>5<5s4>9h7?m1:?76c<6811v9n6=4:{_67a>;39m0:<;523ga9553<5=8o6<>:;<1e7i:1827?022?lpD>88;%17b?75l2.:m>4<489Y71c=9r3i6pg68;29?l75?3:17d?;b;29?l7603:17d=n9;29?j162900e;j50;9l506=831b?h>50;9j57`=831d=8j50;9j01c=831b8i:50;9l7f`=831d=4950;9l60<722e9h7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg70;3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:2360D>88;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c290>6?49{%17b?`b3A9386F<669'5d2=<:j:0y:f?{n1>0;66g6f;29?l?42900el950;9l5`>=831i=h650;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39:94?7=83:p(>6m:2;1?j7e03:1(>6m:0`;?>{t9l21<77}Yi>16=h651018yv?a2909wS7i;<3fn2wx5:4?:3y]=2=:9l215:5rs8194?4|V0901v3>e98072=:;121=o64}|`b0?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5d>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg4229086?4;{%17b?`c3A9386F<669'5d2=<:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6=90;6>4=:5y'71`=nm1C?5:4H244?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj88=6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3:3?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm15294?3=:3:i:gg8L6>33A9=;6*>a58710=];=o1=v7m:|k:3?6=3`3m6=44i8194?=ni>0;66a>e983>>d6m10;6;4?:1y'7=d=99:0V>:j:0y:f?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th8454?:083>5}#;1h1?4<4o0`;>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4d?3tyj;7>52z\b3>;6m10:=>5rs8d94?4|V0l01?3:1>vP67:?2a=<>?2wx5>4?:3y]=6=:9l21555rs027>5<5s4;n47=<7:?0<=<6j11vqo?:d;291?4=>r.88k4ie:J0<1=O;?=0({8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twi=4k50;796?0|,:>m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk;?;7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e9?h1<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg7c93:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl>d483>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{ei?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:844?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5d6=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm16794?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8==6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?h50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3f1?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:i;4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0`4<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<8>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb041>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6<;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:8>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a512=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<:::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb065>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6100;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:5l4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<7l:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6l>0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ea94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7cl3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=h>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm1d394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8o96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0f;>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i629086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:hl4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>dc83>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7c;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm2ec94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;nm6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0f0?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4b=3:187>50z&00c<6j?1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn<;=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg72<3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=8;50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14494?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8?<6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c36N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7213:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==m7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm14`94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg7093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl>6583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`220<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=;850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f40029096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?98;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c35=?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e9?k1<7:50;2x 62a2:80D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn5:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=3=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=1=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5650;794?6|,:>m6>=4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65`1c394?"40k0:n554}c::>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6jk0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ca94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8ho6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3aa?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nk4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>c183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2g4<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj8i96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|q11?6=:rT9963=5;3f<>{t:m0;6<>t^3f897b=9l201l851158943a28:=70?90;331>;6<;0:<;521519553<58326<>9;<3:e?77=27:hn4>069>6ag=99?01<;;:024?871n3;;963>688243=:0?0:<8521c`9553<1<5;l1=o?4}r4g>5528:=70?86;332>;6lm0:<:521479551<589;<350?77?273;7??5:?2f`<68<1v:?50;0xZ27<5>;1=h64}r:2>5<5s4=:6l94=9095g7<1<58=86494=9;95g74d634236<>8;|q;1?6=:r7397?m1:?;<3<6j816454>089~w=1=838p15951c389=?=99=0q~67:1818>?28h:7066:025?xu>03:1=?uQ999>525=1:16;<463:?2f<<>;279h77<;<5<58k364=4=379=6=:9<:15>521349=6=:90=15>521529=6=:95218g9=6=:9==15>5217`9=6=:9m;15>521e79=6=z{h>1<7<5;l1==84}rc6>5<5s4k?6l94=`495g752z\25==:9;<1m:5rs005>5<1s4;9:7?j8:?2`2<68<16=h>5115894b428:<70?:2;331>;?=3;;96s|13594?5|V88<706=:024?870=3;;96s|13d94?>|V88m70=k0;332>;6lm0:<;522ed9553<58?>6<>9;<35`?77?27::94>079>5f4=99<0q~?;0;296~X6<916=9>51d:8yv7393:1>v3>418b3>;65<5s4;?>7?m1:?203<68?1v<:<:181873;3;i=63>448243=z{8>?6=4={<370?7e927:884>069~w4222909w0?;5;3a5>;65<5s4;?;7?j8:?207<68>1v<:7:181873?3k<70?;9;3a5>{t9=h1<7=t^06a?84b<3;;:63>bg8243=z{8?;6=4={_364>;6=90:i55rs072>5<5s4;><7o8;<367?7e92wx=8<50;0x943528h:70?:8;333>{t9<>1<74d634;>m7??6:p503=838p1<;::0`2?87213;;:6s|14494?4|58?=6;<36=?77?2wx=8950;0x943028h:70?:b;333>{t9<21<74d634;>?7??6:p50?=838p1<;6:0`2?872i3;;;6s|14c94?4|58?j6;<36f?77>2wx=8l50;0x943e28h:70?:3;333>{t94d63ty:9k4?:3y>50`=9k;01<8=:025?xu6>90;6?u217295g7<58<:6<>8;|q224<72;q6=;?51c38940528:<7p}>6283>7}:952z?221<6j816=;651148yv71=3:1>v3>6482f4=:9?21==94}r352?6=:r7::;4>b09>53g=99<0q~?97;296~;6>>0:n<5217c955>52z?22=<6j816=;751158yv7113:1>v3>6882f4=:9?k1==94}r35f?6=:r7::o4>e99>50`=99=0q~?9c;296~;6>m0:n<52160955152z?22`<6j816=:?51148yv71m3:1>v3>6g82f4=:9>;1==94}r35b?6=:r7:;=4>b09>524=9920q~?80;296~;6?80:n<52160955052z?236<6m116>h;51158yv70<3:1>v3>728b3>;6??0:n<5rs056>5<5s4;<97?m1:?233<68>1v<78:181[7>?27:5:4>e99~w4??2909w0?67;c4?87>l3;i=6s|18;94?4|58326;<3:`?77>2wx=4o50;0x94?f28h:70?6c;332>{t90h1<74d634;2o7??7:p5l3;;;6s|18g94?4|583n6;6i10:i55218g9=2=:9==15:522g8242=z{8k26=4<{<3b228:870?83;;e?81620l01516=1o16=8j59g9>553d=1o1vdd8240=:9<<1==;4=053>46234;=97??5:?;2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894e728:=7p}>be83>7}:9kn1=o?4=0a3>4603ty:nh4?:3y>5gc=9k;019;|q2g5<72;q6=n>51c3894e628:<7p}>c083>7}:9j;1=o?4=0a1>4603ty:o?4?:3y>5f4=9k;01<58o>6<>:;<3gb?77=27:i=4>079>5a5=99<01<;8:026?871m3;;:63>668240=:9ki1==;4}r3g6?6=:r7:h<4n7:?2`1<6j81vd58242=z{8n>6=4l{<3g1?7b027:i;4>079>5ae=99<01:026?87b:3;;:63>d58243=:9<>1==84=07;>46134;=i7??7:?223<68<16494>049>5gb=99?0q~?k6;296~;6l<0j;63>dc82f4=z{8n<6=4={<3g3?7e927:i?4>069~w4b?2909w0?k8;3a5>;6lk0:<55rs0f:>5<5s4;o57?m1:?2`d<68?1vdc8243=z{8nh6=4={<3gg?7e927:h44>079~w4bc2909w0?kd;3a5>;6m:0:<;5rs0ff>5<5s4;oi7?m1:?2a6<68>1vd`8242=z{8o;6=4={<3f4?7e927:h54>079~w4c62909w0?j1;3a5>;6l10:<:5rs0g1>5<5s4;n>7?m1:?2`g<68>1vd88242=z{8o?6=4={<3f1?7e927:i;4>069~w4c0290>w0<::`5894?020=01<:?:8589d0=99<017}::mk1=o?4=3g7>4603ty9hk4?:3y>6a`=9k;01?k::02;?xu5m=0;6?u22d695g7<5;o>6<>9;|q0e<<72;qU?l74=2f2>4623ty8ok4?:3y]7f`<5:n96;|q0`5<72;q6?i>51c3896b528:=7p}7}:;m;1=o?4=2f1>4603ty8i=4?:2y]7`6<58>26<>:;<370?77=2wx816=;?51148yxd4100;6n4=b;10M51?2.88k4>039'5d5=;=30V>:j:0y:f?{h<==1<75`3b194?=n;h31<75`6e83>>oak3:17d9=:188m21=831b>i;50;9j6ag=831b>ih50;9l7g1=831b==k50;9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;j91<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c>3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c0f4?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm7283>0<52?q/?9h5fd9K7=2<@:<<7)?n4;661>\45<>of?3:17b?j8;29?g7b03:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k085?5`1c:94?"40k0:n554}r3f7}Y1o16=h6528d8yv?02909wS78;<3f52z\:7>;6m10246s|11694?4|58o36>=8;<1;m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk9=m7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e;10;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm6d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e>o0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?k6:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4bi3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=ec83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0g?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8o44?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7fg=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg1e29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th<97>52;294~"40D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:l81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb3g0>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5lm0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2eg94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4c03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=d883>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9:7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg2313:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?o650;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c54>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5g>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5e>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4>l0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>8i:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`035<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:?50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f615290>6=4?{%17b?543A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th8;>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rs2:94?4|5:21=h64=7d9550<5<5:31=o?4}r1a>5<5s4936l94=2a95g76`6=i>16>il5a69>6a0=i>16:k4>b09>7f?=99?01>8l:025?81f28:>709::026?84b:3;;963=de8240=::m21==;4=252>4623ty=i7>52z?5a?7e927=j7??7:p34<72:q6?o65114892`=9k;01>9=:025?xu0:3:1?vP82:?42?77>274>e99>32<68<1v::50;0x925=1>16;;4>b09~w23=838p1:;51c38920=99=0q~98:180[1034=i6<>9;<5`>4623ty<47>52z?43d<6j816;o4>069~w2e=838p1:951c3892`=99<0q~9k:18181d28h:709i:024?xu0m3:1>v38d;3a5>;0n3;;46s|7g83>7}:?l0:n<527g824<=z{oi1<77t^ga896e420901?j9:81897be20901?k?:818925=1:16;5463:?02d<>;27857??5:p55c=839pR<>j;<1`e?77>278:n4>069~w4gb2903w0=75;337>;4k:02j63=d78:b>;5lk02j63=e18:b>;0;33m7097:8d8960f20l0q~i751148960a28:=7p}=d783>7}::m<1=h64=24e>4603ty9h:4?:3y>6a0=1>16>i751c38yv4c03:1>v3=d982f4=::m31==94}r0ge?6=;rT9hl522dc9553<5;nn6<>9;|q1`g<72;q6>il51d:897c>28:>7p}=db83>7}::mh15:522eg95g752z?1`a<6j816>ik51158yv4cn3:1?vP=dg9>6`5=99<01>8j:025?xu5m90;6?u22d295`><5:8;|q1a4<72;q6>h>5969>6`5=9k;0q~52z?1ag<6j816?:>51178yv4b13:1>v3=e882f4=::lh1==84}r0fe?6=:r79il4>b09>6`d=99=0q~=9a;296~;4>h0:i55245:955352z?02db09~w60c2908w0=9a;;4?85d;33<70=83;3a5>{t;?o1<74d6349<>7??7:p73`=838p1>8i:0`2?850:3;;46s|36294?4|5:=;6;<146?7712wx?:?50;0x961628h:70=83;333>{t;>81<74d6349o6;<4f>462349h6<>:;<67=?77>278n54>069~w6d02909wS=m7:?0f=<6j81v>m<:181[5d;278o>4>e99~w6e?2909w0=l3;c4?85di3;i=6s|3b;94?4|5:i26;<1`e?77?2wx89950;0xZ12034>?57?m1:p01>=838p19:7:0`2?82313;;;6srb2;f>5<6=3k26o:tH244?!53n3;9o6*>a2800<=];=o1>v76:8f9yj75?3:17d:;7;29?l5d;3:17d?n2;29?j7603:17b=n9;29?ld62900e9?8:188m4372900c>l::188m<3=831b594?::m0a5<722c:8l4?::m75c<722c:m<4?::k0f2<722e8oo4?::m0`1<722e997>5;n604?6=3k9397>51;294~"4r.88k4ie:J0<1=O;?=0({8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twin:4?:281>1}#;=l1ji5G3968L6003-;j87::5:X00`<6s031qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|100vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvnnl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q257sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpll3;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psmbg83>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb2f7>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`11?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a54>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k52z;:>:i:gd8 4g32=?>7W=;e;0x=<<>l3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m38p5446d;j545=83.84o4>1298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpli9;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm28694?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c:g>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`030<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:017:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;:>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8;9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?>2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg26n3:1?7<54z&00c7W=;e;3x=<>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:=?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|100ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e;l:1<7=52;6x 62a2on0D>6;;I153>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9j57>53;090~"4188;4Z26f>4}>13wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p544ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi?nl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q2h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=9283>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb9c94?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c140?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|aeg<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:016?49{%17b?`b3A9386F<669'5d2=<:j:3y:=??c2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b2;q2577k:|k256<72-93n7?>3:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5?03:1=7>50z&04h4}r;4>5<5sW3<70?j8;;4?xu>;3:1>vP63:?2a=<>02wx==:50;0x94c?2:9<70=78;3a<>{zj8?h6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}cgb>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk;<;7>53;090~"46;5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`23=<72:0969u+35d9ba=O;1>0D>88;%321?0<,8k?69;:;[17a?4|1002h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00c5;hc4>5<>dan3:1:7>50z&05$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=:r3264j5}h;0>5<=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;0x=<<>l3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6010;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm19;94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=<5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s031qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj82j6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3;f?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>7}>133o6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<5s0315i4ro0g;>5<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5<6=8391>7:t$26e>cb<@:2?7E=97:&250<03-;j87::5:X00`<5s0315i4ri8194?=ni>0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b2;q2577k:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:3y:=??c2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~ygb529086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srbe394?5=939pD>88;%17b?7f?2cjj7>5;h`3>5<5<4290;w)=;f;1;7>N40=1C?;94$2:a>6>53-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xufn3:1>vPnf:?0=5<68>1vo>50;0xZg6<5:3;6<>9;|q075<72;qU?>>4=2;3>4d63twih=4?:282>6}O;?=0(>:i:0c4?lga2900eo>50;9l766=831i?4>50;194?6|,:>m6>6<;I1;0>N4>>1/?5l53908 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}rce>5<5sWkm70=60;333>{tj90;6?uQb19>7<6=99<0q~=<0;296~X4;916?4>51c38yxddn3:1?7?53zJ022=#;=l1=l94i`d94?=nj90;66a<3183>>d4190;6>4?:1y'71`=;190D>6;;I153>"40k084?5+1`690035$2:a>47432e:n<4?:%1;f?7e021vlh50;0xZd`<5:3;6<>8;|qa4?6=:rTi<63<918243=z{:9;6=4={_104>;4190:n<5r}c0:6?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg1029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zjhk1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?nk50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c1f2?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8i:4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl;2483>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<;<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wimh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a721=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f7?129096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=j9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd3:10;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm42694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zjjn1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rbbg94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wiol4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pll5;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xdd>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}ca1>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{el?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3tho47>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg>d29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6:186>5<7s-9?j7?m7:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:k0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?m50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3:6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:5>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;7>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:4i4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>8d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e91l1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=:h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4>729096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?71;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd60;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm19194?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj82?6=4::183!53n3987E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>8483>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6129086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj82<6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl>7`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`23g<72<0;6=u+35d95g1<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th:;n4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;k:1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2`2>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i67>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?o:50;494?6|,:>m6>:4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65f11c94?"40k0:=>54o0`2>5<#;1h1=o64;|`fg?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnh7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnj7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thm=7>57;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907d??a;29 6>e28;876g>0c83>!5?j3;:?65`1c394?"40k0:n554}c674?6==3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e<=;1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi89<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f12429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo:;4;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c671?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg23>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i750;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6bf29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=kb;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4lj0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3ef94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:nn6=48:183!53n39>7E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0n:18'7=d=98907d??b;29 6>e28;876a>b083>!5?j3;i465rb2fe>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;?0;6:4?:1y'71`=;<1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432c::18'7=d=9k207pl;3683>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<:21<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb51:>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i68m7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi8>m50;694?6|,:>m6><4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876a>b083>!5?j3;i465rb51g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;l0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi?hl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6cd29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=jd;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4ml0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3dd94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:l;6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>h>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb2d1>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4n:0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi=?750;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm13c94?3=83:p(>:i:0`4?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e9;h1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihl4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a``<72=0;6=u+35d977=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?j7e93:1(>6m:0`;?>{elo0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme183>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em80;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em:0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`f1?6=<3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298k4d6290/?5l51c:8?xdcj3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihn4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376smde83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vnoo50;794?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32winn4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207plmd;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c`f>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd69h0;684?:1y'71`=9k=0D>6;;I153>"40k0:n95+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>1d83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`25c<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=?>50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f44629096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?=2;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd6::0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm13694?3=83:p(>:i:218L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h333:9l5g7=83.84o4>b998yg75=3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm10a94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg76l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wx>84?:3y]60=::<0:i55rs3:94?4|5;?1m:522b82f4=z{;31<75<5s48j6;<0a>4603ty9n7>52z?1f?7e9279o7??7:p34<72b09>52`=99?01<9m:025?875i3;;:63>1g8240=z{1k1<7<5>=1==94}r:a>5<5<5:o;64=4=2aa><5<5:k264=4=257><5<5;3864=4=07`><5<5hh15>528b82f4=z{1n1<7<5>=1==84}r:f>5<3s42o6l94=9c9e2=:9;=1m:528g82f4=z{0>1<7?n{_;7?824833870l8:8189fd=1:16o:463:?`7??434hm64=4=2f7><5<5;?15>5210:9=6=:n002?63=958:7>;?l33870=85;;0?8gd20901<<8:8189`g=1:16=:95929>52>=1:16=:j5929>5=>=1:16=575929>5=g=1:16=5l5929>5<6=1:164n4>049~w<3=832pR4;4=gc9553<58386<>9;<3;a?77=27:4>4>049>52g=99=01<<6:024?875;3;;96s|a883>0}:ih0:n<521929553<58=i6<>8;<31e?77?27:>=4>049~wdd=838p1ll51d:89dg=99=0q~ol:1818gd28o370on:025?xufl3:1?v3nc;c4?8ge2h=01lk51c38yvd62909wSl>;d14c?34im6lh4=e69551<5li1==;4=563>46>34>8:7??6:?77=<68<16hh4>079>a5<68<16no4>049~wg>=838p1o95a69>f`<6j81vo750;0x9g?=9k;01om51158yvdf2909w0ln:0`2?8dc28:=7p}mb;296~;ej3;i=63md;333>{tjj0;6?u2bb82f4=:jl0:<;5rscf94?4|5kn1=o?4=cg95514c?34im6o>4=e69550<5lo1==;4=562>46134>8:7??7:?772<68<16hh4>069>`c<68<16nl4>079~wf6=838p1oh5a69>g7<6j81vn?50;0x9f7=9k;01n<51158yvd32902w0m<:0g;?8b72k:01i8511489c6=99?019:>:024?824>3;;463;388240=:m:0:<852b`8242=z{j>1<76=47{4c?34n:6o>4=e:9550<5ll1==;4=561>46234>8n7??5:?f6?77=27im7??8:pg=<72;q6o:4n7:?`e?7e92wxo44?:3y>g<<6j816ol4>069~wg0=832p1nl51d:89a4=j916j<4>069>015=99?019=n:026?8bb28:370k>:026?8df28:27p}lc;296~;dj3k<70mj:0`2?xudl3:1>v3ld;3a5>;dm3;;;6s|d283>6}:l90jj63k4;3a5>;c>3;;;6s|d483>6}:l80jj63k6;3a5>;c03;;;6s|d683>7}:l;0jj63k8;3a5>{tl00;68u2ce8240=:k00:<852c48240=:k80:<852de82f4=z{mk1<71==94}rfa>5<5s4ni6;4603tyoo7>52z?gg?7e927oh7??7:p``<72;q6hh4>b09>`g<68?1vih50;0x9a`=9k;01h;51158yvc72909w0k?:0`2?8c228:=7p}j1;296~;b93;i=63j5;33<>{tm;0;6?u2e382f4=:lj0:<;5rsd194?4|5l91=o?4=ea955>4d634no6<>9;|qf1?6=:r7n97?m1:?gf?77?2wxi;4?:3y>gc<4;916o?4>079~w`1=838p1i>532289f0=99<0q~k7:1818b62:9;70mn:025?xub13:1>v3k2;104>;dm3;;:6s|e`83>0}:mh0:i5521939553<58=i6<>7;<31e?77027:><4>049~w`d=838p1ho5a69>b4<6j81vhm50;0x9`e=9k;01k?51148yvcc2909w0kk:0`2?8`628:37p}je;296~;bm3;i=63i1;33e>{tmo0;6?u2eg82f4=:n80:<45rsg294?4|5o:1=o?4=g3955dd1<5o21=h64=ec9553<5k31==;4}rd:>5<4s4l2646134hh6<>9;|qef?6=:r7m477<;4d63ty:=54?:5y]54><58;3627??;4>089~w47>2909w0?>8;c4?876l3;i=6s|10c94?4|58;j6;<32`?77>2wx=c;333>{t98i1<74d634;:h7??7:p54c=838p1;<310?77>2wx=?>50;0x944728h:70?=4;333>{t9;;1<74d634;987??8:p574=838p1<<=:0`2?875<3;;56s|13194?4|58886;<311?77?2wx=?:50;0x944328h:70?=5;332>{t9;?1<74d634;:n7??7:p571=838pR<<8;<313?7b02wx=?650;0x944020=01<9;|q26d<72;q6=?o51c38944e28:<7p}>4`83>7}Y9=k01>k8:025?xu6=90;6?uQ1428943d2h=0q~?:c;291~;6=j0:i5522`8242=:9081==;4=0:g>46134;:i7??6:p521=838p1<98:0g;?87>=3;;:6s|16:94?5|58=<6l94=05;>4c?349on7??5:p52?=838p1<97:`58941d28h:7p}>7`83>7}:9>k1=o?4=05`>4613ty:;o4?:3y>52d=9k;01<9l:024?xu6?m0;6lu216f95`><5:in6<>9;<0:>46>34;3:7??6:?23d<68?16?o<5117896b>28:>70=jf;331>;6:00:<;5210`955052z?23ab09~w41a2909w0?8f;3a5>;60=0:<;5rs0:3>5<5s4;3<7?m1:?2<1<68>1v<6>:18187?93;i=63>85824==z{8296=4={<3;6?7e927:494>089~w4>42909w0?73;3a5>;60<0:<:5rs0:7>5<5s4;387?m1:?2<0<68?1v<6::18187?=3;i=63>878242=z{82=6=4={<3;2?7e927:4:4>069~w4>?2903w0?78;3f<>;4kl0:<:522c8243=:91=1==84=2`2>462349o;7??5:?0a`<68<16=898b3>;6000:i55228824==:;hl1==;4=2f;>462349nh7??5:?25d<6811v<6n:18487?13k<70?7a;3f<>;513;;:6346234;:m7??6:p5=d=83=p1<6n:`5894>e28o370<6:024?85e;3;;9634603ty:4n4?:3y>5=d=i>16=5h51c38yv7?l3:1>v3>8e82f4=:91l1==84}r3;a?6=:r7:4h4>b09>5=`=99=0q~?60;291~;6190:i5522`8243=:90>1==84=0:g>46034;:i7??7:p5<7=838p1<7?:`5894?228h:7p}>9383>7}:9081=o?4=0;0>4603ty:5>4?:3y>5<5=9k;01<7;:024?xu61=0;6?u218695g7<583>6<>8;|q2e4<72;qU=l?4=505>4613ty:m?4?:2y]5d4<5:no6<>:;<1e6?77>2wx>4?50;7x97?528h:70?72;331>;6?k0:<45213c955?<58896<>:;|q1=6<72;q6>4=51d:897?528:<7p}=9583>7}::0>1=h64=3;1>4613ty9584?:2y>6<2=i>16>4=5a69>6<0=9k;0q~=84;297~;4?=0:i552452955><5=9=6<>n;|q030<72:q6?:;51d:8912728:<70:<6;33f>{t;><1<7=t=256>d1<5:=?6l94=254>4d63ty8m44?:3y]7d?<5:k267g2=9k;0q~=nf;296~;4io0:n<523c6955152z?0f5<6j816?o:51148yv5e93:1>v31==64}r1a6?6=:r78n?4>b09>7g2=9930q~=m3;296~;4j:0:n<523c6955g54z\0f0=:;1?1===4=513><`<588<64h4}r1a3?6=:rT8n:528g8240=z{:i86=4={_1`7>;fm3;;96s|3b`94?4|V:ii70=lb;3f<>{t;jn1<7d1<5:in6;|q0`1<72;qU?i:4=2f7>4c?3ty8h;4?:3y>7a2=i>16?ih51c38yv5c?3:1>v3b09>7ac=99<0q~=k9;296~;4l00:n<523eg955>52z?0`d<6j816?ik511c8yv5cj3:1>v3b09>7ac=99h0q~=kd;296~;4lm0:n<523ed955152z?0``<6j816?ih51148yv5b83:1>vP7`6=9l20q~=j5;296~;4m>0:n<523d;955352z?0a3<6j816?h951158yv5b03:1>v3;4m00:n<5rs2gb>5<4s49n:7??5:?760<68<16?k=51c38yv5bj3:1>v3b09>7c6=99=0q~=jd;296~;4mm0:n<523g1955052z?0a`<6j816?k?51148yv5bn3:1>v3b09>7c4=99=0q~=i1;296~;4n80:n<523g1955>7>52z?0b7<6j816?k=51158yv26?3:1>vP;169>6<0=99?0q~:>f;296~X39o168v3;2782f4=:<;21==;4}r611?6=:r7?>84>b09>070=99=0q~:=7;296~;39o0j;63;2982f4=z{=9;6=4<{_604>;3;90:i552ee8240=z{=986=4={<604?g034>887?m1:p063=838p19=?:858915b28h:7p};3783>7}:<:<1=o?4=51g>4613ty??:4?:3y>061=9k;019=l:024?xu3;10;6?u242:95g7<5=9h6<>9;|q77<<72;q68>751c38915d28:37p};3`83>7}:<:k1=o?4=51f>4613ty??o4?:3y>06d=9k;019=j:02;?xu3;j0;6?u242a95g7<5=9o6<>8;|q77a<72;q68>j51c38915b28:<7p};3g83>7}:<:>1==;4=565>4d63ty?8=4?:3y>016=9k;019:9:025?xu3<80;6?u245395g7<5=>>6<>9;|q707<72;q689<51c38912328:=7p};4283>7}:<=91=o?4=567>4603ty?894?:3y>012=9k;019:::024?xu3<<0;6?u245795g7<5=>=6<>8;|q702<72;qU8994=254>4623twi?4950;597d<4lrB8::5+35d9e5=#9h91?974Z26f>4}>j3wd??l50;9j6`e=831d??750;9jbf<722e89=4?::m01f<722c2:7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg5283:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c12=?6==381:v*<4g8ea>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5:4?::k:b?6=3`386=44i`594?=h9l21<75m1d:94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3a=83;1<7>t$2:a>6?53f;i47>5$2:a>4d?32wx=h650;0xZ4c?34;n47?m8:pe2<72;qUm:521d:95457}Y1>16=h65969~w<5=838pR4=4=0g;><>52z?2a=<4;>16?5651c:8yxd4=j0;684=:7y'71`=nl1C?5:4H244?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f7`229086?4;{%17b?`c3A9386F<669'543=>2.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5n>0;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm2g:94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj;l26=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c0ee?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5>4?::kb3?6=3f;n47>5;c3f"6i=0?985U35g95~?e2te:i54?::k2`5<722cj;7>5;h;e>5<3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<2<7280;6=u+39`95g5<3tyj;7>52z\b3>;an3;:?6s|9283>7}Y1:16jk468:p=c<72;qU5k52fg81=c=z{8n;6=4={_3g4>;an398;6s|1d:94?4|V8o370hi:0`;?xu4>10;6?u2fg8:3>;40>0:o95r}c394?7=83:p(>89:59'71`=99>0(>6m:2;1?!7f<3>>96a>0283>!5?j3;i465rs0g;>5<5sW;n463>e982a==z{h=1<75<4s4;n47?k0:?2a=<>n27:6<><;%152?31}#;=l1ji5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a6ce=8391>7:t$26e>cb<@:2?7E=97:&250<13-;j87::5:X00`<6s0h1qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|1k0vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvn>><:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj::96=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f66629086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb223>5<42808wE=97:&00c<6i>1bmk4?::ka4?6=3f98<7>5;c1:4?6=;3:10D>88;%1;f?5?:2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{tio0;6?uQag9>7<6=99=0q~l?:181[d73492<7??6:p766=838pR>=?;<1:4?7e92wvn?hi:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj;ln6=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f7`c29086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb20b>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6j7>52;294~"46m:030?>i6j80;6)=7b;3a<>=zj:;o6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl<1c83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;9<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?=650;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e;9o1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?<>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:8h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0f;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4:90;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm33394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:896=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c117?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8>94?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a773=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn><9:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55?3:157>50z&00c<4?2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>47432c:k:18'7=d=98907b?m1;29 6>e28h376sm34094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:??6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c161?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th89;4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a701=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>;7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg5213:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl<5`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876a>b083>!5?j3;i465rb270>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4>;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8:>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a732=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==;4=h0:<8523719553<`<5:;264h4=27`><`52z\1af=:;8n1==84}r0f`?6=>r79j84>e99>6cb=io16?=851158966328:>70=>f;331>;4=;0:<85rs3gf>5<2s48m:7?j8:?1ba079>776=99?01>;;:026?xu5mo0;68u22g595`><5;ln6o>4=22;>4613499=7??5:?010<68<1v?h?:18684a03;n463=fg8a4>;48h0:<;523309553<5:?=6<>:;|q1b4<72k751d:896672k:01>>l:025?855;3;;963<598240=z{;l96=49{<0ee?7b0278<<4m0:?04`<68?16??k51148964328:>70=:7;331>{t:o91<78t=3da>4c?349;>7l?;<124?77>278>n4>069>773=99?01>;6:026?xu5n=0;69u22ga95`><5::86o>4=20`>4613499:7??5:p753=839p1?hj:`d8966128h:70=?8;333>{t;9=1<7=t=3de>d`<5::36;<13e?77?2wx?=750;1x96672hl01>>n:0`2?857k3;;;6s|31`94?5|5:::6lh4=22`>4d6349;i7??7:p75b=839p1>>=:`d8966b28h:70=>0;333>{t;9l1<7d`<5:;;6;|q054<72;q6>k;5a69>752=9k;0q~=>2;296~;5n?0j;63=fe8075=z{:;86=4={<0e3?g0348mi7=<0:p742=838p1?h7:`5897`a2:9;7p}<1483>7}::o31m:52312976652z?1bd6573ty8=44?:2y>74?=9l201>4d63ty8=n4?:3y>74?=1>16?4623499;7?m1:?027<68?1v>?i:181856n3;i=63<268243=z{:8;6=4={<114?7e9278>:4>069~w6462909w0==1;3a5>;4:>0:<55rs201>5<5s499>7?m1:?062<6801v><<:181855;3;i=63<26824d=z{:8?6=4={<110?7e9278>:4>0c9~w6422909w0==5;3a5>;4:>0:5<5s499:7?m1:?062<68m1v><6:181[551278>l4>b09~w64e2909wS==b:?06c<6j81v>h4>069~w64b2909w0==e;3a5>;4:o0:<:5rs273>5<5sW9><63<5182a==z{:?:6=4={<164??0349>?7?m1:p704=838p1>;=:0`2?852j3;;:6s|34694?4|5:??6;<16f?77?2wx?8;50;0x963228h:70=:b;33<>{t;<<1<74d6349>n7??9:p701=838p1>;8:0`2?852j3;;n6s|34:94?4|5:?36;<16f?77i2wx?8750;0x963>28h:70=:b;33g>{t;4d6349>?7??7:p70d=838p1>;m:0`2?852;3;;:6s|34a94?4|V:?h70=:c;3f<>{t;4c?349=>7??7:p70c=838p1>;k:858960728h:7p}<5g83>7}:;4603ty8:<4?:3y>70e=1>16?;:51c38yv51:3:1>v3<6382f4=:;?>1==84}r157?6=:r78:>4>b09>732=99=0qpl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;0>1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2;6>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=n3;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4i=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th85n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th8mo4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9<>:181>5<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb53;>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0g2<72;0;6=u+35d9544<@:2?7E=97:&082B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4m;0;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj0k1<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3thm;7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi88>50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl93;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6383>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7394?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c7e>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`6a?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6t$26e>4753A9386F<669'7=d=191C=?k4$0;5>4743-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b998yg3e29096=4?{%17b?76:2B8495G3758 6>e20:0D<1298k4d6290/?5l51c:8?xd2i3:1>7>50z&00c<69;1C?5:4H244?!5?j33;7E?=e:&2=3<69:1/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?>{e=00;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj<21<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th>:7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi:n4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn;l50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl99;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6983>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7594?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c45>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`51?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd36;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj=;n6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th?=;4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9>k:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2cg>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0f3<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd3::0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:ln6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8m84?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn>o7:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl;1c83>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2a`>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0a1<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4l:0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:n>6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8nl4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?;n:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=5883>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb3:a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`1<0<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd5?00;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj;=86=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th9:i4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?88:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=6083>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb37a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`116<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb2d94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9>50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=;1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f14=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5194?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9:50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=?1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f10=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5594?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9650;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=31<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1g=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5`94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9j50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=o1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1`=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4294?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8?50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj<81<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f05=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4694?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8;50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj031<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`:f?6=93:121vn4j50;394?6|,:2i6N69=1/=4851018m4e1290/?5l51b48?xda>3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb0g:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi=ho50;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd6mj0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:n55`1b494?"40k0:o;54}c3f`?6=93:121vn5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e9ll1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`2b5<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj8l96=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9k20cf583>4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f6g7290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm3`494?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>129j5f0=83.84o4>c798yg5fk3:1=7>50z&0>3;i46a>c783>!5?j3;h:65rb2`6>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi?o750;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd4jk0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c1a`?6=93:121vn>m;:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e;j<1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`0gg<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj:n?6=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9890e4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f16d290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm41g94?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>b99l5f0=83.84o4>c798yg26?3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb53:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi8N69=1/=4851c:8k4e1290/?5l51b48?xd3:90;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c616?6=93:121vn9=>:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e<=k1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`70f<7280;6=u+39`95f0<@:<<7E?>4:&2=3<69:1b=n850;&0c79K731<@8;?7)?66;327>o6k?0;6)=7b;3`2>=zj8ki6=4?:183!53n39?:6F<649K713=6>u+35`95f?<,:>j6:k:183>5<7s-9?j7=;d:&2e1<3=<1C?9;4L2:g>6}#;=h1=nm4$26b>4ee3-9?o7?ld:~w70=838p1>7j:37896g528:>7p}=7;296~;41o099637b<5:k<6<>:;|q0a?6=;rT8i63:6;331>;4m3;h:6s|3g83>6}Y;o169:4>049>7c<6k?1v9>50;1xZ16<5<21==;4=5295f0:6=4<{_62?83>28:>70:>:0a5?xu3:3:1?vP;2:?6e?77=27?>7?l6:p06<72:qU8>525c8240=:<:0:o;5rs5694?5|V=>018m51178912=9j<0q~:::180[2234?o6<>:;<66>4e13ty?:7>53z\72>;2m3;;963;6;3`2>{t<>0;6>uQ469>1c<68<168:4>c79~w1>=839pR964=729553<5=21=n84}r6:>5<4sW>2708>:026?82>28i=7p};a;297~X3i27=>7??5:?7e?7d>2wx8o4?:2y]0g=:>:0:<8524c82g3=z{=n1<7=t^5f8932=99?019j51b48yv2b2908wS:j;<46>46234>n6;3n3;h:6s|5183>6}Y=916::4>049>15<6k?1v8?50;1xZ07<5?21==;4=4395f028:>70;=:0a5?xu2;3:1?vP:3:?5e?77=27>?7?l6:p11<72:qU99526c8240=:==0:o;5rs4794?5|V03i019;=:b9>13<6j81v8950;1x96??2m1688<5d:?63?7e92wx954?:2y>7<>=m27?9?4j;<7;>4d63ty>57>53z?0==>>7h4=4;95g7>>7??;<7b>4d63ty>n7>53z?0==<6927?9?4>1:?6f?7e92wx9n4?:2y>7<>=9;1688<5139>1f<6j81v8j50;1x96??289019;=:01890b=9k;0q~;j:18085>03;?70::2;37?83b28h:7p}:f;297~;4110:963;53821>;2n3;i=6s|6183>7}:<<81=;526182f4=z{?;1<7=t=2;;>41<5=?96<94=7395g734>>>7?6;<41>4d63ty=?7>52z?0==<6i27=?7?m1:p21<72;q6?4651b9>21<6j81v;;50;0x913528n01;;51c38yv012909w0::2;3f?80128h:7p}97;296~;3=;0:j6397;3a5>{t>10;6?u2440965=:>10:n<5rs7;94?4|5=?96??4=7;95g7;|q5f?6=;r78554=3:?717<5;27=n7?m1:p2f<72:q6?465259>004=:=16:n4>b09~w3b=83?p1>77:7f891352?n01>7i:7f896?>2?n01>78:848yv>72909w0=6f;52?85>13=<7p}69;297~X>1272m7??5:?:=?7d>2wx5l4?:3y>7b09~w5<1s4924777;<666???3492j777;<1:=?`d3492;7hl;<;`>4d63ty2h7>53z\:`>;>m3;;9636d;3`2>{t1l0;6?u238g9=0=:1l0:n<5rsg494?5|Vo<01k9511789c0=9j<0q~h8:18185>m3h:70h8:0`2?xu68l0;6?u238:955c<5:326<>j;|q25=<72;q6?4h510:896?b28;37p}>2683>7}:;0l1=?94=2;f>4403ty:>k4?:3y>7<>=9;l01>7i:00e?xu6<90;6?u238d9516<5:3n6<:n;|q20g<72;q6?46515`896?a28>i7p}>5183>7}:;0l1=8>4=2;f>4373ty:9i4?:3y>7<`=97j:0c1?xu61>0;6?u238d95<1<5:3n6;|q2a<<72:qU=h74=370>4d634;n57?l6:p5`g=839pRc79~w4cd2908wS?jc:?11d<6j816=hm51b48yv7bl3:1?vP>ee9>60d=9k;01uQ1dg8970628h:70?je;3`2>{t9ll1<7=t^0ge?841?3;i=63>eg82g3=z{8l;6=4<{_3e4>;5>m0:n<521g295f053z\2b4=::>91=o?4=0d2>4e13ty:j?4?:2y]5c4<5;=26;<3e6?7d>2wx=k=50;1xZ4`4348b09>5c2=9j<0q~?i5;297~X6n<16>5l51c3894`228i=7p}>f683>7}:;021=k94=571>4`03ty99>4?:3y>004=9l301?;<:026?xu5=00;6?u244095`g<5;?26<>:;|q11d<72;q688<51da8973f28:>7p}=5c83>7}:<<81=hj4=37a>4623ty9:<4?:3y>004=9lo01?8>:026?xu5>>0;6?u244095``<5;<<6<>:;|q12a<72;q688<51g28970c28:>7p}=7283>7}:<<81=k?4=350>4623ty9;44?:3y>004=9o801?96:026?xu5?o0;6?u244095c5<5;=m6<>:;|q1<0<72;q688<51g6897>228:>7p}=8c83>7}:<<81=k;4=3:a>4623ty95:4?:3y>7<>=:0=019;=:3;4?xu5m?0;6?u238d96a2<5:326?jn;|q1a2<72;q688<52e6896?>2;n>7p}=eb83>7}:<<81>hm4=2;4>7cd3ty8>44?:3y>004=;;301>78:20:?xu4:k0;6?u2440977d<5:3<6>7p}<3983>7}:;021?>64=2;`>4623ty89=4?:3y>7<1=;<:01>7k:025?xu4=j0;6?u2385970e<5:3>6<>9;|q0=6<72;q6?475739>7<3=9k;0q~=64;296~;41=0:n<52387955152z?0=<<5lo16?4j51c38yv5>j3:1>v3<9b82f4=:;0n1==94}r1b4?6=;rT8m=523`795g7<5:k;67}:;h91=o?4=2c7>4603ty8m84?:3y>7d2=9k;01>o::026?xu4i?0;6>uQ3`4896g?28h:70=n6;3`2>{t;h21<74d6349j47??5:p7dg=839pR>on;<1bf?77=278ml4>c79~w6ge290?w0::2;1b=>;41o08m45238;97d?<5:ki6;|q0ef<72:qU?lm4=2cg>4d6349jo7?l6:p7db=838p1>7j:2c:?85fl3;;96s|3c794?5|V:h>70=m6;3a5>;4j<0:o;5rs2`5>5<5s492i7=m5:?0f3<68<1v>l6:180[5e1278nl4>b09>7g?=9j<0q~=ma;296~;41008n:523cc955353z\0fg=:;ki1==;4=2`a>4e13ty8nn4?:3y>7ll:0`2?xu4jm0;6>uQ3cf896e728h:70=md;3`2>{t;j:1<76dc349h<7??5:p7f2=839pR>m;;<1`1?7e9278o94>c79~w6e22909w0=69;1`7>;4k<0:<85rs2a5>5<4sW9h:637f1=9k;0q~=lb;297~X4kk16?nm51c3896ee28i=7p}7}:;0o1?nl4=2a`>4623ty8ok4?:2y]7f`<5:n86;<1`b?7d>2wx?i=50;0x96?a2:im70=k3;331>{t;m>1<7=t^2f7?85c=3;i=636=4={<1:a?5c<278h84>049~w6c62908wS=j1:?0a7<68<16?h?51b48yv5b:3:1?v3;5380a5=:;0l1?h>4=2g1>4d63ty8i>4?:2y]7`5<5:o?6;<1f7?7d>2wx?h:50;0x96?b2:o;70=j4;331>{t;oh1<7=t^2da?85am3;i=63049~w16d2908wS:?c:?74a<6j8168=m51b48yv27l3:1>v3;5380b1=:<9n1==;4}r63a?6=;rT?7p};1183>6}Y<8:019?9:0`2?82683;h:6s|40494?4|5=?969??;<622?77=2wx8<950;1xZ17034>:47??5:?752<6k?1v9?7:18085>03>:;63<9d8752=:<821=o?4}r62=?6=;rT?=45240`95g7<5=;267p};1b83>6}Y<8i019?j:0`2?826k3;h:6s|40g94?4|5=?969?l;<62a?77=2wx8?>50;1xZ14734>9=7??5:?765<6k?1v9<>:180822:3>:j63<9g875c=:<;;1=o?4}r616?6=;rT?>?5243195g7<5=8967p};3083>6}Y<:;019==:0`2?82493;h:6s|42094?4|5:3n69=?;<606?77=2wx89o50;1xZ12f34>?n7?m1:?70d<6k?1v9:m:18185>13>?;63;4c8240=z{=>h6=4<{_67g>;3?h7>52z?0=`<3<>1689j51c38yv23n3:1?vP;4g9>006=99?019:i:0a5?xu3=90;69u238:901c<5=?969:j;<1:b?23m27?9=4>b09~yk71k?0;69uG3758yk71k>0;69uG3758yk71k10;6>uG3758yk71k00;65<6sA9=;6G>c7824:|m53ed290:wE=97:K2g3<6s80vqc?9ce83>4}O;?=0Ex{i9?in6=4>{I153>O6k?0:w<4r}o35gc<728qC?;94I0a5>4}62twe=;j?:182M51?2C:o;4>{08~yk71l80;65<6sA9=;6G>c78244?:0yK731:|m53b3290:wE=97:K2g3<6s80vqc?9d483>4}O;?=0Ex{i9?n=6=4>{I153>O6k?0:w<4r}o35`2<728qC?;94I0a5>4}62twe=;j7:182M51?2C:o;4>{08~yk71l00;65<6sA9=;6G>c7824:|m53bd290:wE=97:K2g3<6s80vqc?9de83>4}O;?=0Ex{i9?nn6=4>{I153>O6k?0:w<4r}o35`c<728qC?;94I0a5>4}62twe=;k?:180M51?2we=;k>:180M51?2we=;k=:180M51?2we=;k<:180M51?2we=;k;:180M51?2we=;k::180M51?2we=;k9:180M51?2we=;k8:180M51?2we=;k7:180M51?2we=;k6:187M51?2we=;kn:181M51?2we=;km:180M51?2we=;kl:187M51?2we=;kk:181M51?2we=;kj:181M51?2we=;ki:181M51?2we=;h?:181M51?2we=;h>:181M51?2we=;h=:181M51?2we=;h<:181M51?2we=;h;:181M51?2we=;h::180M51?2we=;h9:180M51?2we=;h8:1827~N4>>1vb<8i8;290~N4>>1vb<8i9;295~N4>>1B=n851z39y_5303;p544rZ022>4}4<10vqc?9f`83>66|@:<<7p`>6g`94?7|@:<<7D?l6;3x5?{];=21=v7m:|X244<6s:>36psa17d`>5<3lrB8::5rn04e`?6=9rB8::5F1b495~7=uS9?47?t9e8~^46628q8854r}o35b`<72;qC?;94}o35bc<728qC?;94I0a5>4}62twe=:>?:187M51?2we=:>>:180M51?2we=:>=:184M51?2we=:><:187M51?2we=:>;:180M51?2we=:>::187M51?2we=:>9:180M51?2we=:>8:184M51?2we=:>7:187M51?2we=:>6:187M51?2we=:>n:182M51?2C:o;4>{38~yk708k0;65<6sA9=;6G>c78274}O;?=0Ex{i9>;;6=4>{I153>O6k?0:w?4r}o3454<728qC?;94I0a5>4}52twe=:?=:182M51?2C:o;4>{38~yk709:0;65<6sA9=;6G>c7827wE=97:m52402908wE=97:m524?2908wE=97:m524>2909wE=97:m524f2909wE=97:m524e2908wE=97:m524d2908wE=97:m524c2909wE=97:m524b290:wE=97:m524a2909wE=97:m5257290:wE=97:m5256290:wE=97:K2g3<6s;0vqc?83383>4}O;?=0qc?83283>4}O;?=0qc?83583>4}O;?=0qc?83483>4}O;?=0Ex{i9>9=6=4>{I153>{i9>9<6=4>{I153>O6k?0:w<4r}o347=<72=qC?;94}o347<<728qC?;94I0a5>4}52twe=:=n:187M51?2we=:=m:182M51?2C:o;4>{38~yk70;j0;69uG3758yk70;m0;65<5sA9=;6sa161e>5<6sA9=;6G>c78246}O;?=0qc?84483>4}O;?=0Ex{i9>>=6=4={I153>{i9>><6=4>{I153>O6k?0:w?4r}o340=<72=qC?;94}o340<<728qC?;94I0a5>4}52twe=::n:181M51?2we=::m:182M51?2C:o;4>{38~yk705<4sA9=;6sa166e>5<6sA9=;6G>c78277}O;?=0qc?85483>4}O;?=0Ex{i9>?=6=4={I153>{i9>?<6=4>{I153>O6k?0:w?4r}o341=<72;qC?;94}o341<<728qC?;94I0a5>4}62twe=:;n:180M51?2we=:;m:182M51?2C:o;4>{38~yk70=j0;6?uG3758yk70=m0;65<5sA9=;6sa167e>5<6sA9=;6G>c78246}O;?=0qc?86483>4}O;?=0Ex{i9><=6=4={I153>{i9><<6=4>{I153>O6k?0:w<4r}o342=<72;qC?;94}o342<<728qC?;94I0a5>4}62twe=:8n:186M51?2we=n;50;3xL6003td:o;4?:0yK73151zJ022=zf8i26=4>{I153>{i9jk1<7?tH244?xh6kk0;688;|l2gc<728qC?;94}o3g4?6=9rB8::5rn0f2>5<6sA9=;6sa1e094?7|@:<<7p`>d283>4}O;?=0qc?k4;295~N4>>1vb51zJ022=zf8nj6=4>{I153>{i9mh1<788;|l2a4<728qC?;94}o3f6?6=9rB8::5rn0g0>5<6sA9=;6sa1d694?7|@:<<7p`>e783>4}O;?=0qc?j7;295~N4>>1vb51zJ022=zf8oo6=4>{I153>{i9lo1<7?tH244?xh6mo0;688;|l2b1<728qC?;94}o3e1?6=9rB8::5rn0d5>5<6sA9=;6sa1g594?7|@:<<7p`>f983>4}O;?=0qc?i9;295~N4>>1vb51zJ022=zf8ln6=4>{I153>{i9ol1<7?tH244?xh5890;688;|l141<728qC?;94}o031?6=;rB8::5rn325>5<5sA9=;6sa21594?4|@:<<7p`=0983>4}O;?=0qc>1vb?>n:182M51?2we>=l50;3xL6003td952zJ022=zf;:n6=4={I153>{i><31<7=tH244?xh1=k0;6>uG3758yk02k3:1>vF<669~j33c2909wE=97:m20c=83;pD>88;|l51c<728qC?;94}o454?6=9rB8::5rn742>5<6sA9=;6sa67094?7|@:<<7p`97183>4}O;?=0qc881;297~N4>>1vb;9=:180M51?2we::=50;3xL6003td=;94?:0yK73151zJ022=zf?==6=4>{I153>{i>>=1<7?tH244?xh1?10;688;|l53f<728qC?;94}o44`?6=9rB8::5rn75f>5<6sA9=;6sa66d94?7|@:<<7p`98183>4}O;?=0qc871;295~N4>>1vb;6=:182M51?2we:5=50;3xL6003td=494?:0yK73152zJ022=zf?2=6=4={I153>{i>1=1<7?tH244?xh1010;6f290:wE=97:m2=d=83;pD>88;|l55<6sA9=;6sa69d94?7|@:<<7p`99183>4}O;?=0qc861;295~N4>>1vb;7=:180M51?2we:4=50;1xL6003td=594?:0yK73151zJ022=zf?3=6=4>{I153>{i>0=1<7?tH244?xh1110;613:1=vF<669~j3?f290:wE=97:m288;|l5=f<728qC?;94}o4:`?6=9rB8::5rn7;f>5<6sA9=;6sa68d94?7|@:<<7p`9a183>4}O;?=0qc8n1;295~N4>>1vb;o=:182M51?2we:l=50;1xL6003td=m94?:2yK73151zJ022=zf?k=6=4>{I153>{i>h=1<7?tH244?xh1i10;688;|l5ef<728qC?;94}o4b`?6=9rB8::5rn7cf>5<6sA9=;6sa6`d94?7|@:<<7p`9b183>4}O;?=0qc8m1;295~N4>>1vb;l=:182M51?2we:o=50;3xL6003td=n94?:0yK73151zJ022=zf?h=6=4>{I153>{i>k=1<7?tH244?xh1j10;688;|l5ff<728qC?;94}o4a`?6=9rB8::5rn7`f>5<6sA9=;6sa6cd94?7|@:<<7p`9c183>4}O;?=0qc8l1;295~N4>>1vb;m=:182M51?2we:n=50;3xL6003td=o94?:0yK73151zJ022=zf?i=6=4>{I153>{i>j=1<7?tH244?xh1k10;688;|l5gf<728qC?;94}o4``?6=9rB8::5rn7af>5<6sA9=;6sa6bd94?7|@:<<7p`9d183>4}O;?=0qc8k1;295~N4>>1vb;j=:182M51?2we:i=50;3xL6003td=h94?:0yK73151zJ022=zf?n=6=4>{I153>{i>m=1<7?tH244?xh1l10;688;|l5`a<72:qC?;94}o4ga?6=;rB8::5rn7fe>5<6sA9=;6sa6d294?7|@:<<7p`9e083>4}O;?=0qc8j2;295~N4>>1vb;k<:182M51?2we:h:50;3xL6003td=i84?:0yK73151zJ022=zf?o<6=4>{I153>{i>l21<7?tH244?xh1m00;688;|l5aa<72:qC?;94}o4fa?6=9rB8::5rn7ge>5<6sA9=;6sa6g294?7|@:<<7p`9f083>4}O;?=0qc8i2;295~N4>>1vb;h<:182M51?2we:k:50;3xL6003td=j84?:0yK73151zJ022=zf?l<6=4>{I153>{i>o21<7?tH244?xh1n00;688;|l5ba<728qC?;94}o4ea?6=9rB8::5rn7de>5<6sA9=;6sa71294?5|@:<<7p`80083>6}O;?=0qc9?2;295~N4>>1vb:><:182M51?2we;=:50;3xL6003td<<84?:0yK73151zJ022=zf>:<6=4>{I153>{i?921<7?tH244?xh0800;688;|l454<72:qC?;94}o520?6=;rB8::5rn636>5<4sA9=;6sa70:94?7|@:<<7p`81883>4}O;?=0qc9>a;295~N4>>1vb:?m:182M51?2we;51zJ022=zf>8:6=4>{I153>{i?;81<7?tH244?xh0:>0;6290:wE=97:m37g=83;pD>88;|lb64<72;qC?;94}oc10?6=:rB8::5rn`06>5<4sA9=;6saa3494?7|@:<<7p`n2683>4}O;?=0qco=8;295~N4>>1vbl<6:182M51?2wem?o50;3xL6003tdj>n4?:2yK73153zJ022=zfh8m6=4<{I153>{ii:91<788;|lb7=<72;qC?;94}oc0=?6=:rB8::5rn`1`>5<4sA9=;6saa2f94?7|@:<<7p`n3d83>4}O;?=0qco>1vbl:?:182M51?2wem9?50;3xL6003tdj8?4?:0yK73151zJ022=zfh>?6=4>{I153>{ii=?1<7?tH244?xhf<>0;6?uG3758ykg303:1=vF<669~jd2>290:wE=97:me1g=83;pD>88;|lb0g<72;qC?;94}oc7g?6=9rB8::5rn`6g>5<6sA9=;6saa5g94?7|@:<<7p`n4g83>4}O;?=0qco:0;295~N4>>1vbl;>:182M51?2wem8<50;0xL6003tdj9>4?:0yK73187>51zJ022=zfh?>6=4>{I153>{ii<<1<7?tH244?xhf=>0;6vF<669~jd3>2909wE=97:me0g=83;pD>88;|lb1f<72;qC?;94}oc6`?6=9rB8::5rn`7f>5<6sA9=;6saa4d94?7|@:<<7p`n6183>4}O;?=0qco91;296~N4>>1vbl8=:181M51?2wem;=50;4xL6003tdj:94?:5yK7315bzJ022=zfh<=6=4={I153>{ii?=1<710;688;|lb2f<728qC?;94}oc5`?6=9rB8::5rn`4f>5<6sA9=;6saa7d94?7|@:<<7p`n7183>6}O;?=0qco81;297~N4>>1vbl9=:182M51?2wem:=50;1xL6003tdj;94?:2yK73151zJ022=zfh==6=4>{I153>{ii>=1<7?tH244?xhf?10;688;|lb3f<728qC?;94}oc4`?6=9rB8::5rn`5f>5<6sA9=;6saa6d94?7|@:<<7p`n8183>4}O;?=0qco71;295~N4>>1vbl6=:186M51?2wem5:50;3xL6003tdj484?:0yK73151zJ022=zfh226=4>{I153>{ii1k1<7?tH244?xhek00;6>uG3758ykddi3:1?vF<669~jgee2908wE=97:mffb=83;pD>88;|lag`<728qC?;94}o`g4?6=:rB8::5rncf2>5<5sA9=;6sabe094?7|@:<<7p`md583>7}O;?=0qclk5;296~N4>>1vboj9:182M51?2weni950;3xL6003tdih54?:0yK73151zJ022=zfknj6=4>{I153>{ijmn1<76tH244?xhelo0;6?uG3758ykdb83:1>vF<669~jgc6290:wE=97:mf`5=838pD>88;|laa1<72;qC?;94}o`f1?6=9rB8::5rncg4>5<5sA9=;6sabd:94?4|@:<<7p`me883>4}O;?=0qclja;295~N4>>1vbokm:182M51?2wenhm50;3xL6003tdiii4?:3yK73152zJ022=zfkom6=4<{I153>{ijo:1<7?tH244?xhen80;688;|lab=<72;qC?;94}o`e=?6=9rB8::5rncda>5<6sA9=;6sa131;>5<4sA9=;6sa131:>5<6sA9=;6sa131b>5<6sA9=;6sa131a>5<6sA9=;6sa131`>5<6sA9=;6sa131g>5<4sA9=;6sa131f>5<6;rB8::5rn000b?6=;rB8::5rn0074?6=>rB8::5rn0077?6=9rB8::5rn0070?6=;rB8::5rn0071?6=;rB8::5rn0072?6==rB8::5rn007{|l11f<728qvb?9>:182xh5190;6>urn3:b>5<6std9mk4?:2y~j7g>290:wp`=cd83>6}zf;i36=4>{|l1aa<72:qvb?k8:182xh48j0;6>urn225>5<6std8>o4?:2y~j642290:wp`<4`83>6}zf:>?6=4>{|l02<<72:qvb>8<:182xh4010;6>urn2:1>5<6std<9;4?:0y~j20e290:wp`88183>4}zf>3>6=4>{|l4f1<72:qvb:oj:182xh0l:0;6>urn6ag>5<6std6}zf1:i6=4>{|l;75<72:qvb55<6std3:k4?:0y~j=>3290:wp`79883>4}zf1kn6=4>{|l;ga<72:qvb5m8:182xh?mj0;6>urn9g5>5<6stdjn<4?:0y~jde1290:wp`ndc83>4}zfhl;6=4>{|la40<728qvbo?n:182xhe:o0;65<4stdi854?:0y~jf4?290:wp`l4683>6}zfj>:6=4>{|l`23<72:qvbn8?:182xhd0<0;6>urnb5e>5<6stdhm94?:2y~jf?b290:wp`lc283>6}zfjho6=4>{|l`a7<72:qvbnjl:182xhc880;6>urnbda>5<6stdo>=4?:2y~ja7f290:wp`k3g83>6}zfm926=4>{|lg1`<72:qvbi;7:182xhc?m0;6>urne54>5<6stdo5n4?:2y~ja?1290:wp`kbc83>6}zfmh>6=4>{|lg`d<72:qvbij;:182xhcn00;6>urned0>5<6stdn=54?:2y~j`75290:wp`j3683>6}zfl9:6=4>{|lf13<72:qvbh;?:182xhb?<0;6>urnd4e>5<6stdn594?:2y~j`>b290:wp`jb283>6}zflko6=4>{|lf`7<72:qvbhml:182xhbm>0;65<4stdm<=4?:0y~jc422908wp`i1g83>4}zfo>?6=4<{|le7`<728qvbk8<:180xha=m0;65<4stdm;n4?:0y~jcg62908wp`i9c83>4}zfoi;6=4<{|lefd<728qvbkji:180xhal00;65<4stdmj54?:0y~j466l3:1?vsa1134>5<6std:?=750;3xyk749l0;6:7:182xh6;?=1<7=t}o3024<728qvb<=76;297~{i9:2;6=4>{|l27d3=839pqc?<9g83>4}zf89h87>53zm56db290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<GKM8Ud~=>?0^kntZGKM8Ud~=>?0068EKB5>2KEH?L>6:CM@7D5<2KEH>84AOF0F40GIL=H9:6OAD5@02>GIL=H?:6OAD4@12>GILGIL86OAD968EVtak2KX~kQaou23447b3HYyjR``t1235ZojxVKX~kQaou23447>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9<2Kh`?9;@aovZOI^Vcf|ROlls]JJS733KKHXh5MABV\mhvXJHI_=85MABVq0>DBZ[?0NH\]079AAWT7z<1II_\>6:@FVW7u12HN^_QFOCQf?GCUZVcf|RLJRS35?GCUZ{l=7OK]Rspf?GCUZ{xTbbz?01316>DBZ[xySca{0122[lkwWKOY^|Pnnv3457602H^_RGAFN38G1=D9?G87N6B4:ABGQ4B;:7>0H1=16:F?0?69<2N783:4D=7=0>B;>7<0H1950?68@919=2N_MNE>0:FWEFMXadzTHYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t1235723:2=Ci}k7=3;4D`vbE==Ci}kJ0=07;EcweD:6611OmyoN<3<;?AgsiH682l5KaucB81<7611OmyoN<5<;?AgsiH6>255KaucB838f3MkmL28:1<;?AgsiH6<2<5J2:GP1>CT494>7H]31?78AV:56<1N_1=17:GP81<76<1N_1:1a:GP[dhc89:;=l5JS^cm`5678Vcf|RK\_`lg45679h1N_Road12354g?00]jiuYB[Vkeh=>?10f8AVYdeyUn}=>?0328AVYdeyUn}=>?0^kntZCTWjg{Sh?0122`>CTWjg{Sh?01314>CTWjg{Sh?013\mhvXMZUha}Qjq12354??00:8AVYhz9:;?0103?@RFKBUjbi>?01]jiuYB\HI@Sl`k0123546?149F[LIE:2Oy?6K}259EGIM53ON?7KJLE39EB1=ANm;<7KHk1,Km<>@Al8'Bb<74FGf2)Lh6911MJi?"Io0:?C@c9$Ce><64FGf2)Lh412LMh<#Fn23:?C@c9$Ce8<64FGf2)Lh2j2LMh<#Fn^knt1=ANm8<7KHk2,Km<>@Al;'Bb<74FGf1)Lh6911MJi<"Io0:?C@c:$Ce><64FGf1)Lh412LMh?#Fn23;?C@c:$Ce845IFe0.Mk2602LMh?#Fn4;8BCb5%@d>=55IFe0.Mk0>3OLo> Ga60;8BCb5%@d<=55IFe0.Mk>e3OLo> Ga_hos0>@Al:=0JKj<-Hl;?C@c;$Ce=45IFe1.Mk7602LMh>#Fn3;8BCb4%@d9=55IFe1.Mk5>3OLo? Ga30:8BCb4%@d?56HId2/Jj17>3OLo? Ga50:8BCb4%@d=n6HId2/JjZojx=1MJi:;;GDg11=ANm03OLo4 Ga8:DE`=+Nf830JKj7-Hl25<=ANm2&Ec<>8:DE`=+Nf:h0JKj7-Hl\mhv43OYI?6H\Mb9EWHY7%ykyiczl;GQN[4+wi{oexn5ISL]1)ugumg~:7J=4GOF2?L4=5FO@AW[dhc89:;Sdc_HMBGQYffm:;<=?k;HMBGQYj}q:;<=k4INC@PZkrp9:;<e:KLEFRXe|r;<=><109JKDESWds<=>?_hosg>OHIJ^Tc>?013f?LIFK]Ud~=>?0^kntZOHIJ^Tc>?0135?LIFK]x=7DAMESPf?LIEM[XTmcj?01216>OHJLXYSl`k0123[lkwW@EII_\Paof34566m2CDNH\]_omw4566:;1BCOK]R^llp5679Vcf|RG@BDPQ[kis89::=i5FOCGQVZiu89:;>=5FOCGQVZiu89:;Sdc_HMAAWTXg{:;<=?;;HMAI1=NGKY?7DALS49JKFU6=2CDO^<:;HM@W6`?1^kntZOHKZUha}Qjq12354`?3^kntZOHKZUha}Qjq12374`?5^kntZOHKZUha}Qjq12314`Vcf|RG@CR]`iuYby9:;:?7^kntZOHKZUha}Qjq12334>OHD9Ufyu>?013f?LIK8Vg~t=>?003f?LIK8Vg~t=>?033g?LIK8Vg~t=>?0233?LIK8Vg~t=>?0^knt1=NGE;h7DAC1^llp56798o0EBB>_omw4566W`g{SDAC1^llp56798?0EBBKS79JKUQD[880EB^XCR]jiuYNGY]H_<;4INPFUa=NG[OZSl`k012365=NG[OZSl`k0123[lkwW@EYI\Qnne23457c3@EYI\Qbuy2345c=5FOSU3[dhc89:;Sdc_HMQS5Yffm:;<=?k;HMQS5Yj}q:;<=k4INPT4Zkrp9:;<e:KLVR6Xe|r;<=><109JKWQ7Wds<=>?_hos`>OHZ^:Tbbz?01314>OHZ^:Tbbz?013\mhvXAFX\OHZ^:Tc>?00]jiuYNG[];Sb|?01321>OHZ^;<7DA]e`fzb>OHZlkouRoad123472OHZlkouRa}012367=NG[ojhtQ`r1234ZojxVCD^hoky^mq45679:1BC^k4INQ\ghvXmx:;<=k4INQ\ghvXmx:;<k4INQ\ghvXmx:;<9k4INQ\ghvXmx:;<8k4INQ\ghvXmx:;<;k4INQ\ghvXfl:;<=:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>=1e9JKP6Xe|r;<=><119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDYOi;:1Bb9=4Io7f?NCBXVBBI_O]CI[4?II@AJKGh6B_M^CG@ZURJV;o7A^B_@FG[VSEW;n0@]CPCNWMP@TX9m1G\@QLOTLWAWY502F[ARJIF^;8HUKXLOLT=l5CPL]GBCY68h1G\@QKFG]25d=KXDUOJKQ>2`9OTHYCNOU:?l5CPL]GBCY66`9OTHYCNOU:;l5CPL]GBCY60h1G\@QKFG]2=<=KXDUOJKQ=a:NSIZBANV8;m6B_M^FEBZ46i2F[ARJIF^01e>JWEVNMJR<JWEVNMJR<8a:NSIZBANV83m6B_M^FEBZ4>12F[ARJIF^1b?IVJWMLMS>>n;MRN[A@AW:;j7A^B_EDE[64f3EZFSIHI_21b?IVJWMLMS>:6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]809L0>ICKZo0CIM\_hos[JBD[890C_<:;NP1F43>5@R278KW5E9<1D^>L=3:MQ06=HZ<90C_8<;NP47>IU0=1Dbnkl;Nl`aZgil9:;<0Cxz?e:Mvp5YneyUDyy>>4:Mvp4cIr|?Uba}Q@uu420>Ir|>>0Cxz7e:Mvp=YneyUDyy6>1:R`?U(5889:<<=PL59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ2:RP`>VTWjg{Sh?012g?UUXkdzTi|>?00f8TVYdeyUn}=>?2e9SWZejxVoz<=>>;P68U969<2[7=3:4Q=0=0>W;;7<0]1:50?68U929<2[793:4Q=4=2>W;?3:586_37?c8UZ7Xg{:;<=?n;P]2[jt789:Te`~PQ^3\kw6789;>7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU682XJAN]POwgqhdHno8=7_OBCR]Lr`tkipEmjRgbp^PBIFUXGoy`lw@fg3e?WGJKZUDzh|caxMm61=UIDIXSBxjrmczKkYneyUYM@M\_Ntfvig~Gg;o7_OBCR]bja67898;7_OBCR]bja6789Uba}Q]ALAP[dhc89:;=i5]ALAP[dhc89::>=5]ALAP[dhc89::Sdc_SCNGVYffm:;<0:PBIFUXadzT^LCLS048VDKCAZ=0^LCKIR3e?WGJL@Y:S`{w012355=UIDNB_0:PBIAOT9Vg~t=>?0232?WGJL@Y:S`{w012374763[KFHD]>_lw{4567<8;:7_OBDHQ2[hs89:;9;SCN@LU6Wds<=>?6033?WGJL@Y:S`{w0123345TFEMCX>Road123472TFEMCX>Ra}012367=UIDNB_?Q`r1234ZojxVXJAIG\2^mq45679880^LCKIR]jiuYUIDNB_<64R@OQadb~991YM@\jae{\ekb789:9:6\NMSgb`|Yffm:;<=Qfmq]QEHTbimsTmcj?012255=UIDXnmiwPaof34575>2XJA_kndx]bja6788Uba}Q]ALPfeaXign;<=?>119QEHTbimsTmcj?01012>TFE[ojhtQnne2347YneyUYM@\jae{\ekb7898:==5]ALPfeaXign;<===6:PBIWcflpUjbi>?02]jiuYUIDXnmiwPaof34556991YM@\jae{\ekb789>9:6\NMSgb`|Yffm:;<9Qfmq]QEHTbimsTmcj?016255=UIDXnmiwPaof34535>2XJA_kndx]bja678119QEHTbimsTaxv?01225>TFE[ojhtQbuy234576:2XJA_kndx]nq}6789;:=?5]ALPfeaXe|r;<=>=1038VDKUmhnrS`{w0123742Pilr\VDKUmhnrSb|?01221>TF[LFo7_O\EM]nq}6789o0^L]JL^ov|56788l0^L]JL^ov|56788;m7_O\EM]nq}67898:i6\NSDN\ip~789:8j6\NSDN\ip~789:8=k5]ARGO[hs89:;8;SCPAIYj}q:;<=Qfmqa8VDY7Wds<=>?d:PB[5Yj}q:;<=?j;SC\4Zkrp9:;<??;SC\4Zkrp9:;k;SC\5Zkrp9:;<4R@]2[hs89:;Sdcc:PB[7Yj}q:;<=j4R@]1[hs89:;=h5]A^0\ip~789::=h5]A^0\ip~789:9=i5]A^0\ip~789:8==5]A^0\ip~789:Te`~l;SC\7Zkrp9:;7_K\rg78V@Uuzm1YI^|}_omw4566:91YI^|}_omw4566W`g{S_K\rs]mkq6788;>7_ABCRf8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?011g?WUXkdzTi|>?05f8VVYdeyUn}=>?5e9QWZejxVoz<=>93:PWH0=Umhnr:6\jae{33>Tbims;~;5]e`fz52=Umhnr=84Rdcg}712XnjLmd6:PfbFhs12XnjN`{<1<:?WcaKg~7=374Rdd@jq:5601YikMat=1==>TbnJd0906;SgeGkr;=730^hhLnu>5:g=UmoIex1950?;8V``Df}6<2:5]egFlj`753[omHb`j_bos[`w789:9m6\jfEmmaZejxVoz<=>?_hos[WcaLfdnSnc_ds34566n2XnjIaae^llp5679;>0^hhKoog\jjr789;Te`~PRddGkkcXff~;<=?>6:PfbWGT>2Xnj_k~139QacTbyVcf|R\jfSgr5<=Umo_ykh`{199QacSuoldSdc_SgeQwabf};=7_k|umv:?Wct}e~7<374Rdqvhq:6601Yi~{ct=0==>Tb{|f0>0m;Sgpqir;<3:556\jstnw818>3[oxyaz35?;8V`urd}6=2o5]erwop91=8730^h}zlu>4:70UNOVH^_COBE59Pgit33Zixxl5\nePBIFUPZ880_cj]ALAPSWYffm:;<=6]adSCNGVQUWhdo<=>>2`9PjaTFEJY\^Road1235ZojxVYeh_OBCRUQ[dhc89::=<;4SofQEHET_[Uha}Qjq123466<[gnYM@M\WS]`iuYby9:;>319PjaTFEJY\^Rmbp^gr4566W`g{S^`kR@O@WRTXkdzTi|>?00321>Uil[KFO^Y]_bos[`w78988<6]adSCNGVQUWjg{Sh?010\mhvX[gnYM@M\WS]`iuYby9:;>Qfmq]PjaTFEJY\^Rmbp^gr456498?0_cj]ALAPSWYdeyUn}=>?4228WkbUIDIX[_Qlmq]fu567?=5\nePBIFUPZVif|Rk~0126[lkwWZdo^LCLSVP\ghvXmx:;<8?>a:Qm`WGJKZ]YSdc_RlgVDKD[^X:=<5\nePBIFUPZVey<=>?299PjaTFEJY\^Ra}0123[lkwWZdo^LCLSVP\kw6789;:=6]adSCNGVQUWfx;<=?=8:Qm`WGJKZ]YSb|?013\mhvX[gnYM@M\WS]lv56798;:7^`kR@O@WRTXg{:;?03]jiuYTfmXJAN]XR^mq45659=1Xhz;;U[SA==SadodyyO7;UknajssJ8:0XdcjotvA[dhc89:;>;5[ilglqqDXign;<=>Pilr\Plkbg|~ISl`k012356=QKJ30ZDKX_U[SA6=QXHi0Z]OPilr\RUG6=2\[Mh:;WRBvwb<^YKy~R``t123576<^YKy~R``t1235ZojxV\[M|Pnnv34576i2\bh_OBCRUQ`>Pnl[KFO^Y]65i2<>Pnl[KFO^Y]65i\ghvXmx:;<==9;WkgVDKD[^X=8fQlmq]fu5678Vcf|RXfdSCNGVQU>=aTo`~Pep23457602\bh_OBCRUQ21mXkdzTi|>?0015?SocZHGH_Z\94j]`iuYby9:;=Rgbp^Tj`WGJKZ]Y:9ePclr\at6788;9<6XfdSCNGVQU>=aTe`~PVhfQEHET_[?1228RlbUIDIX[_Qlmq]fu5679Vcf|RXfdSCNGVQUWjg{Sh?013250=QamXJAN]XR^antZcv89:9?=5YiePBIFUPZVif|Rk~0121[lkwW_co^LCLSVP\ghvXmx:;5:Tj`WGJKZ]YSnc_ds3455482\bh_OBCRUQ[fkwWl{;<==Pilr\RlbUIDIX[_Qlmq]fu567;8;>7[gkR@O@WRTXkdzTi|>?0513?SocZHGH_Z\Pclr\at678=Uba}QYiePBIFUPZVif|Rk~0127543<^`nYM@M\WS]`iuYby9:;9>>4VhfQEHET_[Uha}Qjq1231ZojxV\bh_OBCRUQ[fkwWl{;<=;>149UmaTFEJY\^Rmbp^gr4561;91]ei\NMBQTVZejxVoz<=>9_hos[SocZHGH_Z\Pclr\at678?;:96XfdSCNGVQUWjg{Sh?01504>Pnl[KFO^Y]_bos[`w789=Te`~PVhfQEHET_[Uha}Qjq12334723_co^LCLSVP\ghvXmx:;<5=?;WkgVDKD[^XTo`~Pep234=YneyU]ei\NMBQTVZejxVoz<=>71078RlbUIDIX[_Qlmq]fu5671::0Zdj]ALAPSWYdeyUn}=>?9^kntZPnl[KFO^Y]_bos[`w7893:=85YiePBIFUPZVif|Rk~013375=QamXJAN]XR^antZcv89;;Sdc_WkgVDKD[^XTo`~Pep235576=2\bh_OBCRUQ[fkwWl{;<>103b?SocZHGH_Z\Pilr\RlbUIDIX[_?>1:Tj`WGJKZ]YSb|?01226>Pnl[KFO^Y]_np345669;1]ei\NMBQTVZiu89:;><<4VhfQEHET_[Ud~=>?0236?SocZHGH_Z\Pos2345Yney;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@<0T^ZCIC58\VRXOGN:i6V\T^KNTICJMG^JXDAA_BMMWQTFAG^X==5WSU]QPIYWZFZN^YW8;YQW[SED>2RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk3;5Wo=2=7<=_g5:5Sdc3:Zpp<=_{}MFcikcb:]b`a67896;2o5Paef3456;97h0Sljk0123878e3Vkoh=>?0=1=`>Yflm:;<=2;:12o5Paef3456;>7n0Sljk012382<76k1Tmij?012?3;>?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0158[jt789::86Q`r1234ZojxVUd~=>?0058[jt789;:86Q`r1235ZojxVUd~=>?1078eabbzl1jbi>?01]lv5678;80mcj?012\kw6789Uba}Qnne2345Yhz9:;<<=4cov4?firf}oy>6m|3:feb<=ca{ohxdaa3:dpfg=a{kUecy>?013`?cueWge<=>?_hos[cueWge<=>?159jkgk33`ei45aAEmvpIC7911eMIaztMG3[lkwWgKOcxzCE1324>hFLf@H>Pos2345413gKOcxzCE1]lv5678Vcf|R`NDnwwH@6Xg{:;<=?6;oCGkprKM8;37cOKotvOA4YneyUeMIaztMG256=iIZ=0bL]PFR@4?kGTW@EIn6`NS^llp56798i0bL]Pnnv3457XadzTbL]Pnnv34576i2dJ_Ra}01235d=iIZUd~=>?0^kntZhF[Vey<=>?169mEjssGL?0bOK]R89mF@TUWOYI56`MESP\MJDc3gHN^_Qnne2345473gHN^_Qnne2345YneyUeNH\]_`lg456798:0bOK]R^kntZhEM[X:86`LARa8jFGTWge<=>>1d9mGDUXff~;<=?Pilr\jFGTWge<=>>179m@QGDCh1eHYOLK^DPFd=iL]KHGRG@Bd9m@QGDCVkeh=>?0308jARFKBUjbi>?01]jiuYiL]KHGRoad1234404nEkmH@Yhz9:;>6:lGmkIB9;1eHd`@E^kntZhCagEN=:5aDnwwK@`?1^kntZhCg|~DIR``t12354chKLZUjbi>?01]jiuYiDMYTmcj?0122g>hKLZUjbi>?003f?kJC[Vkeh=>?1^kntZhKLZUjbi>?003`?kJC[Vddx=>?10g8jIBTWge<=>>_hos[kJC[Vddx=>?10`8jIBTWfx;<=>>c:lO@VYhz9:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>c:lLAZojxVdDI<74nNtfvig~88;0bBxjrmcz4Zgil9:;?0^kntZhH~lxgmt>Paof3456612dDzh|cax34?kTFEE]N;6`]ALWTA1=iZHYh7c\NS^cm`56788o0b_O\_`lg4567W`g{Sc\NS^cm`56788o0b_O\_hos[kTF[8h0b_O\_np34566k2dYM^Q`r1234ZojxVdYM^Q`r123442?0308jWIJ_LUjbi>?01]jiuYiZFG\IRoad12344753gXDAZKPilr\jWIJ_L;?7c[KS99mQAUXNZH37c[KS^KLFf=i]MYTmcj?0122a>hRLZUjbi>?01]jiuYi]MYTmcj?0122g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?0037?kSPMj1eYZKPaof34566m2d^[HQnne2345YneyUeYZKPaof34566m2d^[HQfmq]mQRC6<2d]AL64nWOB[LIEk2d]ALQnne23457b3g\FMRoad1234ZojxVd]ALQnne23457a3g\FMRmbp^gr4567:=1eZ@OPclr\at6789Uba}QaVLC\ghvXmx:;<=?l;oTNEZhh|9:;=hQXHUM_O64nWRB[LIEk2d]\LQnne23457b3g\[MRoad1234ZojxVd]\LQnne23457b3g\[MRgbp^lUTD743g]N;6`XE^DPFc=ig}:;<=Qnne2345433ge<=>?_`lg4567W`g{Sca{0123[dhc89:;=:5om]`hn?pfd|oTod`6;wcoq`Yhxk20tn7:01zoa>~d1<9=ta~l45/6yEFw9:=?7MNw35:9B?4=9rY2m7=;7;034?74<7?m2eaxj627281e?9?56:&07c<4;01v_4753559656=9:>=98>51c0g`>b6km0;6<4>{R;b>6202;:;6<=;6473>4d5lj1}J?l<:182>4<7sZ3j6>:8:323>453>>:2d7?g7dl3:18>4l:72xH65d2;qC=l>4$322>6`43tF8?i4>{%1fa?34?::k0`3<722e8o>4?::m0ed<722e8;<4?::m030<722c85l4?::k:0?6=3`3>6=44o2`a>5<=h:;91<7*=02815<=i:981>65`23094?"58:09=45a21097>=h:;;1<7*=02815<=i:981865`23294?"58:09=45a21091>=h:8l1<7*=02815<=i:981:65`20g94?"58:09=45a21093>=h:8n1<7*=02815<=i:981465`20a94?"58:09=45a2109=>=h:8h1<7*=02815<=i:981m65`20594?"58:09=45a2109f>=n?=0;6)=<51:9j34<72-8;?79<;o036?4<3`=;6=4+211936=i:981?65f6d83>!47;3=87c==5729m654==21b:n4?:%037?143g8;>784;h4a>5<#:991;>5a21093>=n>h0;6)=<59:9j2=<72-8;?79<;o036?g<3`<<6=4+211936=i:981n65f6783>!47;3=87c==5729m654=l21b;n4?:%037?143g8;>7k4;h5a>5<#:991;>5a2109b>=n?h0;6)90b?>=:038?l1?290/>==5729m654=9;10e:950;&146<0;2d93:9j33<72-8;?79<;o036?7332c<97>5$320>255<#:991;>5a210953=b;15>1g|D:9h6ki:3a8 6cb2<1v(>>8:8`8^=b=9ri1qW5g83>>i5m>0;66a=ed83>>o0n3:17d=ma;29?j74n3:17d=ka;29?j45=3:17b=<50:9j7<<72-8;?7=n;o036?7<3`936=4+21197d=i:981>65f3783>!47;39j7c==53`9m654=<21b?>4?:%037?5f3g8;>7;4;h11>5<#:991?l5a21092>=n;80;6)=<58:9j6c<72-8;?7=n;o036??<3`8n6=4+21197d=i:981m65f2e83>!47;39j7c==53`9m654=k21b>o4?:%037?5f3g8;>7j4;h60>5<#:991?l5a2109a>=n<;0;6)j51z&0a`<63t.8<:4>a69je4<722e950z&0420;66g7e;29?lg62900c?>n:188yg1b29096=4?{%133?7512B8?l5f10c94?=h9mh1<75rs8a94?4|V0i01:47e:p3a<72;qU;i527d825d=z{8n=6=4={<59=2=:?l0:ho5rs8f94?4|V0n01:462:p5a1=838p1:4=0`9]65g52z\b5>;02h;0qpl0<42?qG?>m51zJ2e5=zD:9o6{#;9=1=o<4i`394?=h:9k1<75f9b83>>o>l3:17d78:188f2<72<0;6=u+3159`g=O;:k0@>=l:0y'57>=;lk0qd7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xd>m3:1>7>50z&042<6:01C?>o4i03b>5<5<5sW3h70958d9~w52z?4><4<50o1=il4}r3g3?6=:r78?o4>199>3??03ty:h54?:3y>3?47i2T95<5sWk:7095a09~yg75?3:1=<4<:01x 660288<7b?=3;29?l252900e9=50;9j6g<722c9o7>5;h0g>5<>o483:17d=>:188m64=831b?>4?::k00?6=3`9=6=44i2:94?=n;00;66g50z&042<192B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=n9;:1<75f13394?=n9;81<75`1e`94?=zj88>6=46:183!57?3<:7E==4?::k264<722c:>?4?::m2`g<722wi=?850;194?6|,::<68?4H21b?l76j3:17d?>c;29?j7cj3:17p}>2283>7}Y9;901<<9:0fa?xu3:3:1>vP;2:?261<69k1v9=50;0xZ15<588?625825a=z{;i1<794>1g9~w7c=838pR?k4=007>4473ty9j7>52z\1b>;6:=0:><5rs2294?4|V::01<<;:001?xu493:1>vP<1:?260<69k1v><50;0xZ64<588>624825a=z{:>1<727:>84>1g9~w6>=838pR>64=006>4473ty857>52z\0=>;6:<0:><5rs2`94?4|V:h01<<::001?xu6:=0;6?u213695ad<588=6>8:070?j74n3:17de99K76g5<5<5<5<50z&042<6ll1C?>o4i03a>5<5<52z\27c=:9=81=il4}r0f3?6=:rT9i:52152954e52z\206=:9=:1=52z\202=:9=:1=52z\20g=:9=:1=??4}r37`?6=:rT:8i52152957652z\20c=:9=:1=?<4}r365?6=:rT:9<52153954d52z\051=:9=;1=dc9>514=98h0q~?;1;296~;6<80:ho52150954ec}#;9=1?>64o217>5<5<5<5<5<5<5<6=46:183!57?3;n46F<3`9j54d=831b=50;9j577=831b=?<50;9l5ad=831vn>=9:186>5<7s-9;;7?j4:J07d=n98h1<75f10a94?=n98n1<75f10g94?=h9mh1<75rb214>5<4290;w)=?7;3ga>N4;h1b=?m:181[56j278?84>1b9~w67c2909wS=>d:?070<69k1v>?i:181[56n278?84>1e9~w6462909wS==1:?070<69o1v><<:181[55;278?84>1d9~w6422909wS==5:?070<6:91v><8:181[55?278?84>239~w64>2909wS==9:?070<6:81v>1c9~w64c2909wS==d:?073<69m1v>1b9~w6562909wS=<1:?073<69l1v>=::181854=3;on63<36825g=z{:9=6=4={<102?7cj278?:4>1b9~yg7cl3:197>50z&042<2j2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj;8<6=4<:183!57?3?87E=?750;694?6|,::<68;4H21b?l76j3:17d?>c;29?l76l3:17b?kb;29?xd5:l0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3th8=l4?:483>5}#;9=1945G32c8m47e2900e5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a6dg=8391<7>t$224>4bb3A98m6g>1c83>>o69j0;66a>dc83>>{e:l21<7;50;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3gf?6=3th::=4?:283>5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a74?=83?1<7>t$224>0><@:9j7d?>b;29?l76k3:17d?>d;29?l76m3:17b?kb;29?xd49j0;684?:1y'751==k1C?>o4i03a>5<5<5<55;294~"48>0>m6F<3`9j54d=831b=5<7s-9;;7;m;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm33094?3=83:p(>>8:4c8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`061<72<0;6=u+31591d=O;:k0e3:197>50z&042<212B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj:836=4::183!57?3?j7E=c;29?l76l3:17d?>e;29?j7cj3:17pl<2b83>0<729q/?=955`9K76g5<5<N4;h1b=6=4?{%133?3>3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e;:81<7;50;2x 6602<20D>=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3gf?6=3th9j94?:483>5}#;9=1945G32c8m47e2900e5<2290;w)=?7;7;?M54i2c:=o4?::k25f<722c:=i4?::k25`<722e:ho4?::a6cg=83?1<7>t$224>0d<@:9j7d?>b;29?l76k3:17d?>d;29?l76m3:17b?kb;29?xd5nj0;684?:1y'751==k1C?>o4i03a>5<5<5<55;294~"48>0>m6F<3`9j54d=831b=5<7s-9;;7;l;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm15694?3=83:p(>>8:4`8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`203<72<0;6=u+31591d=O;:k0e50z&042<2i2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj8>j6=4::183!57?3?27E=c;29?l76l3:17d?>e;29?j7cj3:17pl>4d83>0<729q/?=95589K76g5<5<N4;h1b=6=4?{%133?3?3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:;h1<7=50;2x 6602<;0D>=n;h32f?6=3`;:o7>5;n3gf?6=3th9>i4?:283>5}#;9=19?5G32c8m47e2900ee09K76g5<5<53;294~"48>0>=6F<3`9j54d=831b=><:187>5<7s-9;;7?j1:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zj;l96=4<:183!57?3?:7E=o69k0;66g>1b83>>i6lk0;66sm2`594?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|q:7?6=;rT2?63;4j802o6s|14d94?4|V8?m70?90;3gf>{t:l=1<7=t^3g4?872;38n;63=e982`g=z{;on6=4={_0fa>;5no0:ho5rs6d94?5|V>l01>l>:8f896d728;i7p}7}Y;kk01>oj:6f8yv74n3:1>vP>3g9>505=9:l0q~=ka;297~X4lh16?6}Y:;?01?70{t9;91<7>70?;6;3gf>{t9==1<742034;?47?kb:p51?=838p1<;<:06:?873i3;on6s|15`94?4|58?86<:m;<37g?7cj2wx=9j50;0x943428>o70?;e;3gf>{t9=l1<742a34;><7?kb:p507=838p1<;<:072?872:3;on6s|2`494?4|5;kj6;4j90:=n5rs3d0>5<5s48m87?kb:?044<69k1v?h::18184a>3;on63<00825f=z{;l<6=4={<0e1e9~w7`>2909w0;48:0:=o5rs3da>5<5s48mo7?kb:?046<69j1v?hk:18184am3;on63<02825a=z{;om6=4={<0e4?7cj278<84>1c9~w7`62909w0;48<0:=n5rs2cf>5<5s49ji7;5:m0:=o522`5954d52z?1e<<6lk16>l9510a8yv56>3:1>v3<1`825a=:;8=1=il4}r121d9>74?=9mh0q~<=a;296~;5:l0:=o5223`95ad52z?16`<69j16>?j51e`8yv54<3:1>v3<398071=:;:91=?::180856i3;on63<32825g=::ol1=dc9>6c6=98i0q~=?2;296~;48:0:ho522g0954e52z?1bc<69j16?=;51e`8yv56j3:1>v3<39805g=:;8i1=il4}r0144>dc9>67b=98i0q~=>d;296~;4;108=i5230g95ad52z?07=<49o16??>51e`8yv5593:1>v3<398064=:;;81=il4}r117?6=:r78?54<229>772=9mh0q~==5;296~;4;108>85233495ad52z?07=<4:o16?>>51e`8yv56<3:1>v3>528051=:;:91=il4}r105?6=;r78?54<309>764=9mh01?h?:03a?xu4:>0;6>u232:9771<5:836{t;;h1<764e3499o7?kb:p77b=838p1>=7:20g?855m3;on6s|23494?5|5;8<6o4>1c9~w6d=83lpR>l4=004>6d<58no644>1c9>6`>=98i01?o6:03f?873<3;:i63>47825`=:9=21=47b34;?o7?>e:?20`<69l16=8>510g8943528;n7)34;9;7=6;<3g`?76m279>:4>1c9>67?=98i01?k7:03f?84f13;:h63>45825a=:9=<1=47d34;?m7?>b:?20f<69m16=9k510f8943728;o70?:2;32`>"5mk08m6`=e`82?xu403:1jvP<8:?262<4027:hi4>1b9>67?=98n01?k7:03a?856?3;:o63=a8825f=:9=>1=47e34;?47?>d:?20d<69m16=9m510a8942b28;i70?:0;32g>;6=;0:=n5+2d`97d=i:lk1>6s|3783>`}Y;?16=?95379>5ab=98h01?k7:03g?856?3;:n63=a8825g=:9=>1=47c34;?47?>b:?20d<69j16=9m510`8942b28;h70?:0;32f>;6=;0:=o5+2d`97d=i:lk1?6s|3583>6}Y;=16=?95359>74?=98o0(?km:2c8j7cf2=1v>=50;1xZ65<588<6>=4=23:>47c3-8nn7=n;o0fe?39;32g>"5mk08m6`=e`85?xu493:1?vP<1:?262<49278=44>1c9'6`d=;h1e>ho57:p75<72lqU?=52135975=:;8i1=47d3499<7?>d:?067<69j16??:510a8964128;i70==8;32a>;4:h0:=h5233a954c<5:8n61d9'6`d=;h1e>ho58:p6c<72lqU>k5213596c=:;8i1=47e3499<7?>e:?067<69l16??:510g8964128;n70==8;32g>;4:h0:=o5233a954b<5:8n61e9'6`d=;h1e>ho59:p6`<72lqU>h5213596`=:;8i1=47b3499<7?>c:?067<69k16??:510f8964128;o70==8;32`>;4:h0:=i5233a954e<5:8n61b9'6`d=;h1e>ho5a:p6a<72lqU>i5213596a=:;8i1=47c3499<7?>b:?067<69m16??:510`8964128;h70==8;32f>;4:h0:=n5233a954d<5:8n61c9'6`d=;h1e>ho5b:p6f<721qU>n5213596f=::o>1=47b348m47?>e:?1bd<69m16>km510f897`b28;h7)1e9>6c>=98n01?hn:03`?84ak3;:o63=fd825g=#:lh1?l5a2dc9`>{t<:0;65uQ429>571=<:16>k:510`897`128;h70;5nh0:=o522ga954c<5;ln65970?=7;61?84a<3;:o63=f7825g=::o21=47b348mo7?>b:?1b`<69m1/>hl53`9m6`g=n2wx=i950;0x965b28lm70=ne;c2?x{e;lh1<7=;:22913}K;:i1=vF>a19~H65c2;qe?hh5349'7`c==2w/?=952368^=b=:r31j7sU2d;96~g=m3wb5>4?::k1`<<722e9o;4?::m0g=<722c8;:4?::m0fa<722e8n94?::m0<0<722c9h:4?::k4b?6=3f9jm7>5;h1:=?6=3`9om7>5;h1`5?6=3`8997>5;n1af?6=3`8j97>5;n0a0?6=3f9j:7>5;n02e?6=,;:86??6;o036?6<3f8:47>5$320>77>3g8;>7?4;n017?6=,;:86??6;o036?4<3f89>7>5$320>77>3g8;>7=4;n015?6=,;:86??6;o036?2<3f89<7>5$320>77>3g8;>7;4;n02b?6=,;:86??6;o036?0<3f8:i7>5$320>77>3g8;>794;n02`?6=,;:86??6;o036?><3f8:o7>5$320>77>3g8;>774;n02f?6=,;:86??6;o036?g<3f8:;7>5$320>77>3g8;>7l4;h1;>5<#:991?:5a21094>=n;?0;6)1e>=<52:9j76<72-8;?7=8;o036?5<3`996=4+211972=i:981865f3083>!47;39<7c==5369m654=>21b>k4?:%037?503g8;>794;h0f>5<#:991?:5a2109<>=n:m0;6)1e>=<5a:9j6g<72-8;?7=8;o036?d<3`>86=4+211972=i:981o65f4383>!47;39<7c==5369m654=m21b8=4?:%037?503g8;>7h4;h1e>5<#:991?:5a210955=h58;0:=65f3e83>!47;39<7co4k3:1(?><:258j76528907d=::18'655=;>1e>=<51598f7ge290:<7?511yO76e=9rB:m=5rL21g>4}#;lo1:6s+31595431<75`1g494?=h9o21<75`1gc94?=h9oi1<75`1gf94?=n90k1<75f18`94?=n90i1<75f18f94?=n90o1<75f18d94?=e98>1<7??:d821~J4;j0:wE?n0:'751=:hh0e<7n:188m4?e2900e<7l:188m4?c2900e<7j:188m4?a2900e4h50;9l5`c=831d=k>50;9l5c4=831d=k:50;9l5c0=831d=k650;9l5cg=831d=km50;9l5cb=831ihi4?:282>6}K;:i1=vF>a19~ 6602mi0el?50;9l65g=831b5n4?::`4>5<4290;w)=?7;fb?M54i2F8?n4>{%315;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srbd:94?5=939p@>=l:0yK5d65<50z&042>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg`>29086<4<{M10g?7|@8k;7p*<068gg>of93:17b5}#;9=1hl5G32c8H65d28q/=?653dc8yl>b2900el?50;9l65g=831v4m50;0xZ03i6s|1e494?4|5>09vPn1:?4>d757;79f~"48>0n;6gi9;29?lc?2900eij50;9j5N4;h1b=50;194?6|,::<68<4H21b?l76j3:17d?>c;29?j7cj3:17plj2;297?6=8r.8<:4:2:J07d=n98h1<75f10a94?=h9mh1<75rbd694?0=83:p(>>8:4g8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3gf?6=3thn97>55;294~"48>0:i95G32c8m47e2900e34o=647e34o?6;b:3;:n63j4;32`>{tlm0;69uQde9>a3<69k16i=4>1b9>a7<69j1v<7n:181[7>i27n87?>f:p547b3ty:5k4?:3y]5<`<5l>1=5<5sWnn70k::0fa?xucn3:1>v3j6;3gf>;b=3;:n6s|e183>7}:m90:ho52e4825f=z{l;1<75<5s4o?647b3twij54?:88;>46|,::<6k64ief94?=n90k1<75f18g94?=nm10;66g>9b83>>o61k0;66g>9e83>>oa13:17bk6:188fc1=83>1<7>t$224>03<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pljb;290?6=8r.8<:4:6:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zjln1<7850;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;on7>5;|`fb?6=;3:1N4;h1b=t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xda;3:1?7>50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zjo?1<7:50;2x 6602<<0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`e2?6=03:1:188k4be2900q~jk:180[bc34l<647d3ty:5l4?:5y]5k3:1?vP>9b9>ag<69k16ii4>1c9~w4?e2909wS?6b:?f`?76n2wx=4j50;0xZ4?c34oo6;a93;:n6s|e883>7}Ym016j;4>dc9~w`g=838p1k951e`89c0=98h0q~km:1818ce28ni70h9:03`?xubk3:1>v3jd;3gf>;a>3;:h6s|ed83>7}:mo0:ho52f7825`=z{o:1<75<5s4l864473tym87>52z?e1?7cj27m:7?=1:~f46229036;4k{%133?77=2c:5l4?::k2=f<722cm57>5;h3:a?6=3`o36=44ief94?=n90l1<75`f`83>>d68=0;684?:1y'751==01C?>o4i03a>5<5<5<5}#;9=19?5G32c8m47e2900e5<2290;w)=?7;7b?M54i2c:=o4?::k25f<722c:=i4?::k25`<722e:ho4?::a555=83<1<7>t$224>4c23A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i6lk0;66s|18c94?2|V83j70??4;32g>;ak3;:n63>03825a=z{83h6=4<{_3:g>;68=0:=i52110954c;6890:=o52110954e53z\2=`=:99>1=1b9~w4?a2909wS?6f:?247<69k1vko50;0xZcg<58:86dc9>555=98h0q~hl:1818`d28ni70??3;32g>{tnm0;6?u2fd82`g=:9991=5<5s4;;<7?kb:?246<69l1v<>>:181877:3;on63>02825c=zuk;nj7>54;294~"48>0>;6F<3`9j54d=831b=1c83>>o69j0;66g>1e83>>i6lk0;66sm1g194?2=83:p(>>8:448L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th:j84?:583>5}#;9=19;5G32c8m47e2900e50z&042<2=2B8?l5f10`94?=n98i1<75f10f94?=h9mh1<75rb0d:>5<3290;w)=?7;75?M54i2c:=o4?::k25f<722c:=i4?::m2`g<722wi=kl50;694?6|,::<68;4H21b?l76j3:17d?>c;29?l76l3:17b?kb;29?xd6nl0;6>4?:1y'751==;1C?>o4i03a>5<5<76f34o<6ij4=g:9`a=:99?1hi521dd954b<58l:61c9>5c1=98h01fd825g=z{l21<7mt=d:965g<5l=1i552f98f<>;68<0n463>eg825f=:9o;1=47d34;m97?>d:?2b2<69j16=k7510a894`e28;i70?ie;32g>{tn00;6ou2f8814d=:m>0m563i8;d:?877=3l270?jf;32f>;6n80:=o521g1954b<58l>61c9>5cd=98n0q~?6a;290~X61h16i:4>9`9>b=<61h16==;518c8yv7>j3:1>vP>9c9>b=<61k1v<7l:187[7>k27n;7?6c:?ek27:<84>9b9~w4?c2909wS?6d:?el2wx=4k50;1xZ4?b34l36<7j;<331?7>m2wx=4h50;1xZ4?a34o<6<7i;<331?7>n2wx5k4?:5y]=c=:lm02o63j8;;`?8`>20i0q~?je;296~X6ml16=hh51e`8yv7a83:1>vP>f19>5c7=9mh0q~?i2;296~X6n;16=k=51e`8yv7a<3:1>vP>f59>5c3=9mh0q~?i6;296~X6n?16=k951e`8yv7a03:1>vP>f99>5c?=9mh0q~?ia;296~X6nh16=kl51e`8yv7al3:1>vP>fe9>5cc=9mh0q~jj:1818bc2h;01h95dd9~w`?=838p1h65a09>b=b<<`52z\2a`=:98>1=hk4}r3e4?6=:rT:j=5210695c67>52z\2b7=:98>1=k<4}r3e0?6=:rT:j95210695c252z\2b3=:98>1=k84}r3e52z\2bd=:98>1=ko4}r3eg?6=:rT:jn5210695ce52z\2ba=:98>1=kj4}r3:e?6=:rT:5l521069552z\2=g=:98>1=4l4}r3:g?6=:rT:5n521069552z\2=a=:98>1=4j4}r3:a?6=:rT:5h521069552z\2=c=:98>1=4h4}|`2a<<72<0968uC32a95~N6i91v@>=k:`y'7`c=>2.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9kn0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6B<3b82!75039nm6sf9383>>o>?3:17d6j:188md7=831d>=o50;9~f65e290:6=4?{%133?7612B8?l5`10:94?=z{0i1<7d783>7}:;:h1=<64=68:3>{t9m=1<7b;29?l76k3:17b?kb;29?xu>k3:1>vP6c:?4>3?g6349==7?>b:p736=838p1:46d:?024<6lk1vqo<{I3b4>{K;:n1mv*"4m?09h4lo0:7)=j8;0fg>h4m90;7p*<0682g6=n1j0;66g6d;29?lg62900c?>n:188m7cd2900n:4?:481>0}K;:i1=vF>a19~ 66028k=7do>:188k76f2900e4m50;9j=a<722c2;7>5;c594?3=83:p(>>8:e`8L65f3E98o7?t$00;>6cf3tc2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj1;1<7=51;1xH65d28qC=l>4}M10`?7|,:on6;5r$224>4e33`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<74<4sE98o7?tH0c3?xJ4;m0:w)=je;48y!57?3;h96gn1;29?j47i3:17d7l:188f2<72:0;6=u+3159`d=O;:k0@>=l:0y'57>=;lk0qd6j:188md7=831d>=o50;9~w{t9m<1<7uC32a95~N6i91v@>=k:0y'7`c=>2w/?=951b48md7=831d>=o50;9j=f<722h<6=4<:183!57?3nj7E=5;n03e?6=3ty2o7>52z\:g>;021o0q~?k6;296~;02;:j7S{zj;9;6=4<:080I54k3;pD5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g52z\b5>;02h;0qpl=d783>6<62:qG?>m51zJ2e5=zD:9o6{#;9=1=n64i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6B<3b82!75039nm6sf8d83>>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f6>229086?4;{M10g?7|@8k;7pB<3e82!5bm3<0q)=?7;3b<>of93:17b5}#;9=1hl5G32c8m=c=831bm<4?::m14d<722wim=4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xu>k3:1>vP6c:?b4?76i2wx=i850;0x9252z\b5>;02h;0qpl=5783>=<42hq/?=952448k7362900e?ol:188m7302900e?:m:188m72c2900e?ok:188m7202900e?:i:188f732290>6=4?{%133?3e3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:<91<7:50;2x 6602<<0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`111<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xu5=80;6?uQ2438973328ni7p}=ab83>7}Y:hi01?;::03f?xu5=>0;6?uQ2458973228;i7p}=4c83>7}Y:=h01?;::03`?xu57}Y:hn01?;<:03g?xu5<>0;6?uQ2558973428;h7p}=4g83>7}Y:=l01?;<:03a?xu5=;0;6?u224795ad<5;??68=51e`8973328;h7psm27094??==3np(>>8:341?j42i3:17d5<4290;w)=?7;3ga>N4;h1b=1c83>>o69j0;66a>dc83>>{e:=n;h32f?6=3`;:o7>5;n3gf?6=3th9:=4?:783>5}#;9=1=h;4H21b?l76j3:17d?>c;29?l76l3:17d?>e;29?l76n3:17b?kb;29?xu5=h0;6?uQ24c8970728ni7p}=ab83>7}Y:hi01?;k:03a?xu5=>0;6>uQ2458970628;i70<:c;32f>{t:=h1<7{t:hl1<7{t:hn1<7<70<:f;32g>{t:4be348>o7?>c:p5a0=838p1?;l:0fa?842l3;:o6s|1e594?4|5;?o68k50;0x973a28ni70<90;32b>{zj;9i6=4l:6826~"48>09?o5`22394?=n:hi1<75f24594?=n:=h1<75f25f94?=n:ho1<75f2`d94?=n:k:1<75f2c394?=n:hn1<75f25594?=n:=l1<75m22c94?2=83:p(>>8:448L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th9?>4?:283>5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17pl=3583>6<729q/?=95509K76g5<N4;h1b=>750;594?6|,::<6o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>i6lk0;66s|22394?4|V;9:70<<9;3gf>{t:hi1<7;5;:0:=o5rs36a>5<5sW8?n63=3`825f=z{;>o6=4={_07`>;5;h0:=i5rs3cf>5<5sW8ji63=38825f=z{;km6=4={_0bb>;5;00:=i5rs3`3>5<5sW8i<63=38825`=z{;h:6=4={_0a5>;5;00:=k5rs3cg>5<5sW8jh63=39825g=z{;><6=4<{_073>;5;?0:=o52225954d52z\10c=:::<1=dc9>665=98i0q~?k6;296~;5;:0:ho52226954e52z?171<6lk16>>7510`8yv44=3:1>v3=3782`g=:::=1=dc9>66>=98i0q~?k9;296~;5;10:ho5222;95763}#;9=1>;64o334>5<6<729q/?=95509K76g5<N4;h1b=;5>?0:ho5rs37:>5<4sW8>563=66825g=::??1=5<5sW9>70<97;32g>{t:k0;6?uQ2c9>633=98i0q~<93;296~;5>>0:ho52274954d52z?120<6lk16>;8510a8yxd5>l0;694<:7y'751=:?o0c??m:188m73>2900e>m50;9j6f<722h9:i4?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=b:?12f<6lk1v?;6:180[421279:i4>1c9>63d=98h0q~=l:181[5d348=h7?>c:p6f<72;qU>n5227`954e52z?12a<6lk16>;m510`8yv41i3:1>v3=6c82`g=::?i1=5<>d5?:0;6>4?:1y'751==81C?>o4i03a>5<5<53;294~"48>0>>6F<3`9j54d=831b=5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rs33`>5<5sW8:o63=7382`g=z{;?26=4<{_06=>;5?:0:=o52263954d7}Y:m16>:?510a8yv41n3:1>v3=7282`g=::>81=dc9>624=98i0qpl=7`83>1<42?q/?=9526c8k77c2900e?;6:188m6c=831b>h4?::`13<<72:0;6=u+315914=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;=36=4<:183!57?3;oi6F<3`9j54d=831b=dc9~w73>2908wS<:9:?13<<69k16>:9510`8yv5b2909wS=j;<04=?76k2wx>h4?:3y]6`=::>=1=dc9>62>=98h0q~<86;296~;5?>0:ho5226:954e3}#;9=1>5>4o33f>5<6<729q/?=95509K76g5<N4;h1b=;5?l0:ho5rs37:>5<4sW8>563=7g825g=::>n1=5<5sW9m70<8f;32g>{t:o0;6?uQ2g9>62b=98i0q~<8b;296~;5?o0:ho5226g954d52z?13a<6lk16>:k510a8yxd50?0;694<:7y'751=:1<0c??i:188m73>2900e9>50;9j75<722h9484?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=f:?1<1<6lk1v?;6:180[421279484>1c9>6=5=98h0q~:?:181[27348397?>c:p75<72;qU?=52291954e52z?1<0<6lk16>5:510`8yv4?:3:1>v3=8282`g=::1>1=5<>d50k0;6>4?:1y'751==81C?>o4i03a>5<5<53;294~"48>0>>6F<3`9j54d=831b=5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rs303>5<5sW89<63=8`82`g=z{;?26=4<{_06=>;50k0:=o5229;954d:6=4={_62?84?j3;:o6s|3083>7}Y;816>57510a8yv4??3:1>v3=8c82`g=::1k1=dc9>6=g=98i0qpl=9383>1<42?q/?=952808k7462900e?;6:188m14=831b??4?::`1=4<72:0;6=u+315914=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;3;6=4<:183!57?3;oi6F<3`9j54d=831b=:181[4592795=4>dc9~w73>2908wS<:9:?1=4<69k16>5h510`8yv252909wS:=;<0:5?76k2wx??4?:3y]77=::1l1=dc9>6<6=98h0q~<7e;296~;50o0:ho52282954e3}#;9=1>464o301>5<6<729q/?=95509K76g5<N4;h1b=;51?0:ho5rs37:>5<4sW8>563=96825g=::0?1=5<5sW>870<67;32g>{t;:0;6?uQ329>6<3=98i0q~<63;296~;51>0:ho52284954d52z?1=0<6lk16>48510a8yxd51l0;694<:7y'751=:0o0c?<<:188m73>2900e>850;9j71<722h95i4?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=1c9>6c:p71<72;qU?95228`954e52z?1=a<6lk16>4m510`8yv4>i3:1>v3=9c82`g=::0i1=b;29?l76k3:17b?kb;29?xd4k90;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0gg<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th8mi4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4j>0;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0ff<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl=4283>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|`2ag<72:0;6=u+315914=O;:k0e50z&042<6ll1C?>o4i03a>5<5<53;294~"48>0>?6F<3`9j54d=831b=mn:186>5<7s-9;;7;l;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm3`:94?3=83:p(>>8:4a8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`0ef<72:0;6=u+315917=O;:k0e3:187>50z&042<2>2B8?l5f10`94?=n98i1<75f10f94?=h9mh1<75rb2`:>5<4290;w)=?7;3ga>N4;h1b=6=4?{%133?3?3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:=:1<7:50;2x 6602<>0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`103<72<0;6=u+31591d=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;>j6=4;:183!57?3?>7E==83>1<7>t$224>4c63A98m6g>1c83>>o69j0;66g>1e83>>i6lk0;66sm25a94?2=83:p(>>8:478L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th98h4?:583>5}#;9=1995G32c8m47e2900e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zj:2<6=4=:183!57?3;956F<3`9j54g=831d=il50;9~w<5=83kpR4=4=3ca>;5ik0:5h5222g954e<5;>;61b9>61c=98n01?;?:03`?xu4k10;6?uQ3b:896ee28ni7p}<7683>3}Y;>=01>li:03a?85di3;:n6347d3ty8ni4?:3y]7gb<5:i;64be3ty8484?:3y]7=3<5:2>6?>n;|q1`2<72:qU>i94=3ca>4?e348?:7?>e:p3c<72kqU;k522``95;<072?76l279854>1c9>61g=98n01?:l:03g?843m3;:n63=51825g=z{:kj6=4={_1be>;4im0:ho5rs2;:>5<5sW92563;4jo0:=n523bc954e<5:k36d:p673=83kpR?<:;<0bf?7>k279nk4>1c9>5`d=98i01>l9:03g?844m3;:i63=47825g=::=k1=47d348?i7?>c:p7gd=838pR>lm;<1ag?7cj2wx>l;50;0xZ7g2349io7?>a:p6g2=838pR?l;;<0ab?7cj2wx?l850;0xZ6g1349j57?kb:p<4<72:q6>ll518f89=7=:9k01?:9:03`?xu5:o0;69u225195ad<5:ij61c9~w75c2909w0<;2;32f>;5;l0:ho5rs31e>5<5s48?>7?>c:?105<6lk1v?j9:180[4d>279h;4=0`9>7g?=98i0q~=nb;296~;4im0:=l523`a95ad52z?0gg<69h16?no51e`8yv4483:1>v3=31814d=::=91=615=98i0q~1c9>66c=98h0q~1c9~w7gb2908w0;5>;09mh5222`96dc52z?103<6lk16>86510`8yv4fn3:1?v3=ac82b1=::?81>lh4=31a>7ga3ty98:4?:4y>600=:==01?8=:364?844j38?;63=4982`g=::<21=f79>66d=:k:0q~<;9;296~;552z?1eg<6n116>>l52c38yv43j3:18v3=57810g=::?81>9l4=31a>72e348?o7?kb:p6g4=838p1?om:0db?841:38i>6s|25f94?5|5;?=6?:k;<00f?43l2798h4>dc9~w4c>290>w0;6m009;69h50;1x97312;>m70<;5=90:ho5rs27e>5<5s48jn7?id:?1`328;j70=n8;3gf>{t;k?1<74be349i57?>b:p617=838p1?=l:8f8972528ni7p}<8783>7}:;1?1m<5239595ad57>5cz?11<<58h16>;6524;8970b2;?270<84;06=>;5?h099452292960?<5;2=6?;6;<0;g?4212795?4=589>6<>=:<301?7j:37:?85??3;:m6s|24c94?4|5;?26l?4=341>73f3ty:il4?:3y>5`?=1m16=hl51e`8yv42?3:18v3=578112=::?81>894=31a>730348>47?kb:p7g>=838p1>l8:03b?85e13;on6s|22394?4|5;9;6l?4=31a>7563ty9894?:3y>612=:9k01?li:03`?xu5=80;6?u22569e4=::<<1>8?4}r1aa?6=:r78o=4>1`9>7g`=9mh0q~<=3;296~X5::16>4k52318 7ce2;;27cvP=239>6<>=:;80(?km:33:?k4bi390q~<=1;296~X5:816>4<52338 7ce2;;27cvP=219>6=e=:;:0(?km:33:?k4bi3?0q~<>f;296~X59o16>58520d8 7ce2;;27cvP=1d9>6=6=:8o0(?km:33:?k4bi3=0q~<>d;296~X59m16>:o520f8 7ce2;;27cvP=1b9>622=:8i0(?km:33:?k4bi330q~<>b;296~X59k16>;k520`8 7ce2;;27cvP=169>63>=:8=0(?km:33:?k4bi3h0q~=7:181[5?3W8:46*=ec803>h5mh0;7p}<6;296~X4>2795h4<6:&1ag<4?2d9il4>;|q00?6=;rT886P=1`9>6hl5369m6`g=:2wx?>4?:3y]76=::021?>5+2d`972=i:lk1?6s|3383>7}Y;;16>4<5339'6`d=;>1e>ho54:p74<72;qU?<5229a974=#:lh1?:5a2dc91>{t;90;6?uQ319>6=0=;91/>hl5369m6`g=>2wx>k4?:3y]6c=::1:1>k5+2d`972=i:lk1;6s|2d83>7}Y:l16>:o52d9'6`d=;>1e>ho58:p6a<72;qU>i5226696a=#:lh1?:5a2dc9=>{t:j0;6?uQ2b9>63c=:j1/>hl5369m6`g=i2wx>o4?:3y]6g=::?21>o5+2d`972=i:lk1n6s|4283>7}Y<:16>465429'6`d=;>1e>ho5c:p07<72;qU8?52280907=#:lh1?:5a2dc9`>{t<80;6?uQ409>6=e=<81/>hl5369m6`g=m2wx8=4?:3y]05=::1<18=5+2d`972=i:lk1j6s|3g83>7}Y;o16>5>53g9'6`d=;>1e>ho5119~w6c=838pR>k4=35b>6c<,;oi6>94n3gb>473:p70<72;qU?85227:970=#:lh1?:5a2dc951=zuk9<<7>512811?54sE98o7?tH0c3?xJ4;m09wc=jf;6;?!5bm3?0q)=?7;30a>o>;3:17d?<6;29?l72n3:17d?=a;29?l50?3:17b6=:188m2`=831d=;?50;9j7h50;9l53c=831b?io50;9j7f7=831d=8:50;9l6g2=831d?4>50;9l5=c=831d894?::m7g?6=3k8;87>5b;39f~J4;j0:wE?n0:O76b=9r.8ih4;;|&042<69?1b5n4?::m2a`<722e:j=4?::m2b7<722e:j94?::m2b3<722c:5l4?::k2=g<722c:5n4?::k2=a<722c:5h4?::`251<72k026kuC32a95~N6i91v(>>8:327?l7>i3:17d?6b;29?l7>k3:17d?6d;29?l7>m3:17d7i:188k4cb2900c:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=5;h;`>5<0;6>4?:1y'751=lh1C?>o4L21`>4}#9;21?ho4}h:f>5<=o4}r3g3?6=:rTj=638:`38yxdb?3:187<55z&0425;h3:a?6=3`o36=44oeg94?=em80;6>4?:1y'751==81C?>o4i03a>5<5<5<>o61l0;66g>9c83>>o61j0;66g>9e83>>ib13:17oh;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66smec83>6<729q/?=95539K76g5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::aac<72=0;6=u+315910=O;:k0e:186>5<7s-9;;7;n;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66smf283>3<729q/?=951d78L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3gf?6=3ty:5l4?:3y]51=5<2sWno70h;:03a?8ce28;i70ki:03`?8`628;h7p}j8;290~Xb027nn7?>c:?f`?76k27nj7?>b:p547e34om652z\2=f=:n80:=h5rs0;g>5<5sW;2h63i1;32f>{tm00;6?uQe89>b6<6lk1vho50;0x9c2=9mh01k=510`8yvce2909w0km:0fa?8`428;h7p}jc;296~;bl3;on63i3;32`>{tml0;6?u2eg82`g=:n:0:=h5rsg294?4|5o;1=il4=g1954`5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a5c3=8391<7>t$224>05<@:9j7d?>b;29?l76k3:17b?kb;29?xd6n>0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3tyoh7>58z?g`?47i27n;7jk;ab<58om64>1b9>5c3=98i01;b?3o370h7:d:894ca28;h70?i1;32f>;6n:0:=o521g7954d<58l<652z\2=g=:n10:5o5rs0;`>5<5sW;2o63i8;3:g>{t90n1<79d83>6}Y90o01h9518g89c>=90o0q~7i:180[?a34no64m4=d:9=f=z{8on6=4={_3fa>;6mo0:ho5rs0d3>5<5sW;m<63>f082`g=z{8l96=4={_3e6>;6n:0:ho5rs0d7>5<5sW;m863>f482`g=z{8l=6=4={_3e2>;6n>0:ho5rseg94?4|5mn1m<52e68ga>{tm00;6?u2e98b5>;a03o27ps|9b83>7}Y1j16=<:59g9~w4cb2909wS?je:?251<6ml1vf19~w4`52909wS?i2:?251<6n;1vf59~w4`12909wS?i6:?251<6n?1v<7n:181[7>i27:=94>9`9~w4?e2909wS?6b:?251<61k1v<7l:181[7>k27:=94>9b9~w4?c2909wS?6d:?251<61m1v<7j:181[7>m27:=94>9d9~yg74=3:1?7?53zN07f<6sA;j<6sC32f95~"4ml0?7p*<0682e<=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi8n4?:481>0}K;:i1=vF>a19~H65c2hq/?hk54:&0af<4>h1/?h:5a09'7`0=:9k0(>k=:8a8j6bb281/?h=59e9m7a`=92.8i44<079m7`7=92w/?=951`c8m5;h132?6=3k=1<7;52;7xH65d28qC=l>4}%133?7f>2cj=7>5;n03e?6=3`3h6=44i8f94?=n1>0;66l8:186>5<7s-9;;7jm;I10e>o>:3:17d78:188m=c=831bm<4?::m14d<722wi?>l50;394?6|,::<6i6910;66s|9b83>7}Y1j16;76j;|q:`?6=:rT2h638:808yv7c>3:1>v3<3c825==:?33<7p}>d683>7}:?38;m6P=0`9~w4b?2909wSo>;<59e4=zuk9=?7>53;294~"48>0:hh5G32c8m47e2900e8<:03`?xu58h0;6?uQ21c892<58h1v>>9:180[57>27<6l?4=240>47e3ty8:?4?:3y>3??c349=?7?kb:~f41c290>6?4:{M10g?7|@8k;7pB<3e82!5bm3>0q)=?7;3bf>of93:17b>{e;:h1<7?50;2x 66028;27E=l27<64<4}r3g2?6=:r78?o4>199>3??03ty:h:4?:3y>3?47i2T95<5sWk:7095a09~yg7di3:187<54zN07f<6sA;j<6sC32f9<~"4ml0?7)=jc;15e>"4m=0j=6*"4m109in5a3d295>{#;9=1=lm4i8a94?=ni80;66a=0`83>>o5mj0;66l8:180>4<4sE98o7?tH0c3?x"48>0:m;5fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7d6j:188md7=831d>=o50;9~w{t9m<1<7a;29?j7cj3:17p}6c;296~X>k27<64m4}r03e?6=:rT9{t:li1<70j=63<5582`g=zuk;>n7>55;091~J4;j0:wE?n0:O76b=9r.8ih4;;|&042<6ik1bm<4?::m14d<722c2o7>5;h;g>5<b2900el?50;9l65g=831vn>=m:182>5<7s-9;;7?>9:J07d=h9821<75rs8a94?4|V0i01:47e:p=a<72;qU5i527;;1?xu6l?0;6?u232`954><5>02;6s|1e594?4|5>09vPn1:?4>d70}K;:i1=vF>a19~H65c28q/?hk54:'751=9hh0el?50;9l65g=831b5n4?::k:`?6=3`3<6=44b683>0<729q/?=95dc9K76g>o?m3:17do>:188k76f2900qo=189K76g5<5sW3h70958d9~w{t9m<1<747?34=15:5rs0f4>5<5s4=1>=o4^32b?xu6l10;6?uQa09>3?g63twi=;?50;195?5|D:9h6kj:59~ 66028i?7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1b4h4?::kb5?6=3f8;m7>5;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srb04f>5<22;0>wA={%1fa?25;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1v5<42808wA={%1fa?25;h;`>5<0;6>4?:1y'751=lh1C?>o4i9g94?=ni80;66a=0`83>>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg72<3:197<55zN07f<6sA;j<6sC32f95~"4ml0?7p*<0682eg=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9j=7<722c2;7>5;h:f>5<:183!57?3;:56F<3`9l54>=831v4m50;0xZ03i6s|9e83>7}Y1m16;77=;|q2`3<72;q6?>l510:892<>?2wx=i950;0x92<58h1U>=o4}r3g4}#;lo186s+31595dd5}#;9=1ho5G32c8m<4=831b5:4?::k;a?6=3`k:6=44o32b>5<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e9l31<7;52;7xH65d28qC=l>4}M10`?g|,:on695+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o864j4n2fe>4=#;l21>hm4n2g3>5=z,::<65<>i58h0;66g=eb83>>d0290>6?4:{M10g?7|@8k;7p*<0682e3=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9O76e=9r.:>545<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e;?;1<7=50;2x 66028nm7E=l278:<4>1b9~w76f2909wS76f3ty9in4?:2y]6`e<5>0j=63<60825g=z{:<;6=4={<59=a=:;?;1=il4}|`23`<72<086:uC32a95~N6i91v@>=k:`y'7`c=<2.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9ho0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1vN4;h1b=k2wx5i4?:3y]=a=:;?;1=5<5sWk:70=:4;32g>{t:9k1<77}:?3k:70=:4;3gf>{t;?:1<7;4>80:ho5r}c:1>5<22:08n;%1f0?g63-9n:7k2d8hh4>;%1f7??c3g9oj7?4$2g;>7cd3g9n<7>4}%133?7fm2c2o7>5;h;g>5<{I3b4>{#;9=1=l84i`394?=h:9k1<75f9b83>>o>l3:17d78:188f2<72<0;6=u+3159`g=O;:k0e4<50;9j=2<722c3i7>5;hc2>5<:2wx=i850;0x965e28;37095969~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psm34694?5=83:p(>>8:438L65f3`;:n7>5;h32g?6=3f;on7>5;|`024<72:0;6=u+31595a`<@:9j7d?>b;29?l76k3:17b?kb;29?xu>k3:1>vP6c:?4>7}Yi816?8:510a8yv47i3:1>vP=0`9>3?47i2wx>hm50;1xZ7cd349>87?>b:?024<69k1v>;<:18181=i816?8:51e`8yv5183:1>v38:8f8960628ni7psm17a94?1==3hp(>>8:04`?j71<3:17d9:188m4522900n<8m:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm17494?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::a531=8391<7>t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd6>00;6>4?:1y'751==;1C?>o4i03a>5<5<54;294~"48>0:i<5G32c8m47e2900evP>659>53g=9mh0q~1c9~w4052909wS?92:?22g<69j1v?>::181[47=27::l4>1b9~w7612909wS1c9~w4022909w0?9b;3gf>;6>?0:=n5rs0f5>5<5s4;=:7?kb:?222<69j1v6`825g=z{8<36=4={<35=?7cj27::l4>1e9~yg70>3:187=56z&042<6??1d=:?50;9j0f<722c:;i4?::k0`d<722h:;84?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=6c:p7ag=838pR>jn;<347?76k2wx=:<50;0x941228ni70?84;32f>{t9>91<74be34;<87?>c:~f43f290?6>49{%133?72i2e:984?::k7g?6=3`;>n7>5;h1:=?6=3k;>57>53;294~"48>0>=6F<3`9j54d=831b=5<7s-9;;7;<;I10e>o69k0;66g>1b83>>i6lk0;66sm14:94?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::p503=838pR<;:;<3647e3ty:9o4?:3y]50d<58?2647d3ty:9;4?:3y>50?=9mh01<;7:03a?xu6=>0;6?u214595ad<58?361?78t$224>4?33f;3j7>5;h6`>5<6=44i2a2>5<c;29?j7cj3:17pl>9383>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|q24be3ty?o7>53z\7g>;61:0:=o52183954d52z\2=0=:9091=52z?2=6<6lk16=4<510`8yv7>93:1>v3>9082`g=:9081=b;29?l76k3:17d?>d;29?j7cj3:17pl<9083>1<729q/?=95549K76g5<5<n6=49:183!57?3?o7E=t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd6090;684?:1y'751==h1C?>o4i03a>5<5<5<53;294~"48>0>>6F<3`9j54d=831b=50;694?6|,::<6;I10e>o69k0;66g>1b83>>o69m0;66a>dc83>>{e9?91<7:50;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`23d<72=0;6=u+31595`5<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl>7183>6<729q/?=95539K76g5<50z&042<6ll1C?>o4i03a>5<5<53;294~"48>0:hh5G32c8m47e2900e289K76g5<h7>52;294~"48>0:>45G32c8m47f2900c?3:1>7>50z&042<6:01C?>o4i03b>5<289K76g5<0z\:7>;58=02o63>348:g>;3k33h70?8d;;`?87di33h70?:b;;`?87>=33h70?91;;`?871m33h70:;:8a8943320i01<6j:8a894c>20i01<9j:8a89=4=1j1v<=9:180[74>273>7o>;<3;6?76i2wx=8h50;0xZ43a348ii7?>c:p57g=838pR<6372;03e>{t?o0;65uQ7g9>652=90h01;3m3;:h63>62825a=:9>k1=53z\0=<=:947f3ty:?k4?:9y]56`<5;:?6<7l;<0ab?76j2785<4>1b9>0`<69o16=hl510`8940428;h70?8a;32g>{t9?o1<739om63<90825a=z{:i:6=4<{_1`5>;61=08o<52185954g87>52z\211=:9<>1>=o4}r0a0?6=:rT9n9522cd95ad52z\0=5=:;0;1=il4}r3;a?6=:rT:4h5219g965g?6=4={_67?8232;:j7p};c;29f~X3k279<94>9`9>0f<58h16=;m54b9>520=5<2=1c9>5=6=98i01?lj:03a?87083;:n6s|19394?4|58=n6l?4=0:1>4be3ty:4k4?:3y>5=c=i816=4:519d8yv71n3:1>v3>7182`g=:9>i1=9d9>563=:9k01<8l:016?87?83;:n6s|17094?4|58;3m3;:o63>81825a=:9?91=47c3ty:oo4?:3y>5fg=:li01=:51g48941d28;h7p}>7g83>6}:9>o15i52838:`>;6090:ho5rs07`>5<5s4;>n7o>;<36`?7cj2wx8k4?:3y>0`<69l169=4>dc9~w4>42909w0?70;32a>;60=0:ho5rs054>5<5s4;=i77k;<34=;50;5x976328on70:l:8f8912=i816=8:59e9>5=c=1m16=;m52178906=98h0q~f39>15<69m16=5:510a8yv4703:18v3=0582b1=:9?i1>=64=0gg>47e34;387?>b:p52b=838p1<9k:32b?870>3;4be3ty:ol4?:2y>5fg=:9k01<;m:8f894?220n0q~?:b;296~;6=k0997>52z?211549~w4162909w0?9e;c2?870>3;<=6s|17694?4|58<:6l?4=04`>4033ty:;44?:3y>52g=9mh01<9?:03`?xu5jm0;6>u22cd954b<5;hn6{tn15i524d82`g=:9ji1==38;m63>9582=0=z{8=n6=4={<34a?47i279nk4>1b9~yg51n3:1=8413:17b?=a;29?j50?3:17dli:188m6df2900e<8>:188k61b2900e4>50;9j5;c10f?6=93:1:02xH65d28qC=l>4}M10`?7|,:on6>5r$224>4703`3h6=44o0gf>5<5<5<5<5<5<5<5<0;f951}K;:i1=vF>a19~ 660282>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17d?6e;29?l7>n3:17d7i:188k4cb2900c:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=5;h;`>5<0;6>4?:1y'751=lh1C?>o4L21`>4}#9;21?ho4}h:f>5<=o4}r3g3?6=:rTj=638:`38yxda13:1?7?53zN07f<6sA;j<6s+3159`f=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi==850;195?5|D:9h6:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v=n;M10g?7|,8836>kn;|k;a?6=3`k:6=44o32b>5<n;|q2`2<72;qUm<527;c2?x{e9921<7=51;1xH65d28qC=l>4}%133?bd3`k:6=44o32b>5<2980ad=za1o1<75fa083>>i58h0;66s|9b83>7}Y1j16;76j;|q2`3<72;q6;7{I3b4>{#;9=1hn5fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7A=n:188yv?d2909wS7l;<59<`=z{8n=6=4={<5965gd683>7}Yi816;7o>;|a55e=8391=7=tL21`>4}O9h:0q)=?7;f`?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zukl36=48:48a!57?3l37d?i8;29?l7>j3:17d?6e;29?l7>n3:17d?6c;29?l7>l3:17bk6:188fc4=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pljb;290?6=8r.8<:4:4:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zjln1<7:50;2x 6602<>0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`fb?6=<3:1N4;h1b=o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66s|1g:94?3|V8l370h=:03a?8ce28;i70kk:03a?8ca28;i7p}>9c83>0}Y90h01k<510a89`d=98i01hj510a89``=98i0q~?6e;296~X61l16j?4>1e9~w4?a2909wS?6f:?ff?76l2wx=4m50;0xZ4?d34oo6{tmk0;6?u2ec82`g=:n80:=n5rsda94?4|5ln1=il4=g3954b4be34l:64623`;m47>5;h3:g?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3:f?6=3`;m:7>5;ndb>5<=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;on7>5;|`eg?6=;3:1N4;h1b=1<7>t$224>4c63A98m6g>1c83>>o69j0;66g>1e83>>i6lk0;66s|1g:94?5|V8l370hi:03e?8`d28;h7p}>9b83>7}Y90i01kh510a8yv7>l3:1>vP>9e9>bc<69k1v<7j:181[7>m27mj7?>d:p5<`=838pR<7i;47b3ty:5o4?:3y]5v3ic;3gf>;am3;:o6srb031>5<22:0o6ml0;66g>9c83>>o6nj0;66g>9`83>>i68m0;66l>1083>6<729q/?=95509K76g5<N4;h1b=5<4sW;ni63>10825g=:99l1=52z\2bf=:98:1=52z\24a=:98:1=il4}r33a?6=:r7:=<4>dc9>546=98h0q~??f;296~;68o0:ho52102954b5}#;9=1985G32c8m47e2900e7>50z&042<6:01C?>o4i03b>5<6<7m;<326?7>j27:1c9~w4?d2908wS?6c:?ek27:<84>9b9~w4?c2908wS?6d:?el27:<84>9e9~w4?b2908wS?6e:?em27:<84>9d9~w4?a2908wS?6f:?en27:<84>9g9~w<`=833pR4h4=ef9=f=:m102o63i9;;`?877>33h70??7;;`?877033h70??9;;`?877k33h7p}>ed83>1}Y9lo01<>l:32b?876:3;ni63>0c825a=z{8l;6=4<{_3e4>;6810j=63>08814d=z{8l96=4<{_3e6>;68>0j=63>09814d=z{8l?6=4<{_3e0>;68?0j=63>06814d=z{8l=6=4<{_3e2>;68?0955z\2b==:n00947f3ty:jl4?:2y]5cg<5mn1m<52e9814d=z{8lh6=4<{_3eg>;cl38;m63>1382bf=z{8lo6=4={_3e`>;6nl0:ho5rsd;94?4|5l21m<52f98f=>{tnh0;6?u2f88b5>;68<0mm6s|11c94?4|58:26l?4=02a>4be3ty:55e=i816=<<511f8yxu>k3:1>vP6c:?251<>n2wx=hk50;0xZ4cb34;:87?je:p5c6=838pR=838pRi2wx=4l50;0xZ4?e34;:87?6b:p5k2wx=4j50;0xZ4?c34;:87?6d:p5m2wx=4h50;0xZ4?a34;:87?6f:~f40c29086?4;{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3bb>of93:17b5}#;9=1hl5G32c8H65d28q/=?653dc8yl>b2900el?50;9l65g=831vnl>50;094?6|,::<6<<6;I10e>o69h0;66a>dc83>>{t1j0;6?uQ9b9>e5<69h1vdc9~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psmag83>6<62:qG?>m51zJ2e5=zD:9o6{#;9=1=n:4i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6g7e;29?lg62900c?>n:188yv?d2909wS7l;<59<`=z{8n=6=4={<5965gd683>7}Yi816;7o>;|a747=8391=7=tL21`>4}O9h:0qA=>8:0a7?lg62900c?>n:188m53;294~"48>0om6F<3`9j<`<722cj=7>5;n03e?6=3ty2o7>52z\:g>;021o0q~?k6;296~;02;:j7S{zj1k1<7=51;1xH65d28qC=l>4}M10`?7|,:on6>5r$224>4e33`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<74<4sE98o7?tH0c3?xJ4;m0:w)=je;18y!57?3;h86gn1;29?j47i3:17d7l:188f2<72:0;6=u+3159`d=O;:k0e5k50;9je4<722e9X58h1v5<42;0?wA={%1fa?55;h;`>5<0;6>4?:1y'751=lh1C?>o4i9g94?=ni80;66a=0`83>>{ei90;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|q:g?6=:rT2o63n0;32e>{t9m<1<7;f83;on6s|1e594?4|5>09vPn1:?4>d71}K;:i1=vF>a19~H65c28q/?hk53:'751=9h20el?50;9l65g=831b5n4?::`4>5<4290;w)=?7;fb?M54i2c3i7>5;hc2>5<5<5290;w)=?7;31=>N4;h1b=52z?4>76f3W8;m6s|1e:94?4|Vh;01:4n1:~f=?=8391>7:tL21`>4}O9h:0qA=>8:0c;?lg62900c?>n:188m53;294~"48>0om6F<3`9j<`<722cj=7>5;n03e?6=3thj<7>52;294~"48>0:>45G32c8m47f2900c47f3ty:h;4?:3y>3?>b34k;6{I3b4>{K;:n1=v*0:m55fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7d6j:188md7=831d>=o50;9~fd6=8381<7>t$224>44>3A98m6g>1`83>>i6lk0;66s|9b83>7}Y1j16m=4>1`9~w4b12909w0958d9>e5<6lk1vn;|q2`=<72;qUm<527;c2?x{el>0;6>4=:5yO76e=9rB:m=5rL21g>4}#;lo1?6s+31595d>5<7s-9;;7jn;I10e>o?m3:17do>:188k76f2900qoo?:181>5<7s-9;;7?=9:J07d=n98k1<75`1e`94?=z{0i1<751e`8yv7c?3:1>v38:32b?[47i2wx=i650;0xZd7<5>0j=6srbe:94?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~ff6=8321>7jtL21`>4}O9h:0qA="4m;02o6`0:m95U8e824g=uS8n57>o5mj0;66a=1183>!47;38;j6`=0383?>i58l0;6)h58;0:76a=0e83>!47;38;j6`=0381?>i58j0;6)h58;0876a=0c83>!47;38;j6`=0387?>d0290o6;4j{M10g?7|@8k;7pB<3e84!5bk39=m6*"4m?09v?n:0:9y_4b138p=n4>5;j6`b=831b5n4?::k1af<722e9==4?:%037?47n2d95=h58;0:76gn4;29 7642h<0b?>=:398md5=83.9<>4n6:l147<432cj>7>5$320>d00<42>qG?>m51zJ2e5=zD:9o64u+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o36?kl;o1f4?7<,:o26>>9;o1f5?75<5<0;6>4>:2yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<7;;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm37494?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::p=f<72;qU5n527;;`?xuf93:1>vPn1:?023<69j1v?>n:181[47i27<6?>n;|q043<72;qU?=84=245>47e3ty9in4?:3y]6`e<5:??67}:?3k:70=:4;3gf>{zj10;694=:4yO76e=9rB:m=5rL21g>2}#;li1?;o4$2g7>d7<,:o=6?>n;%1f6??d3g9oi7?4$2g;>7cd3g9n<7?4}%133?7fk2c2o7>5;hc2>5<5<0;6>4>:2yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<7;;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66s|9b83>7}Y1j16;77l;|qb5?6=:rTj=63<55825f=z{;:j6=4={_03e>;02;:j7p}=eb83>7}Y:li01>;;:03a?xu4=:0;6?u27;c2?852<3;on6srbe83>1<52m51zJ2e5=zD:9o6:u+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o36?kl;o1f4?75<6<62:qG?>m51zJ2e5=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f63329086=4?{%133?353A98m6g>1c83>>o69j0;66a>dc83>>{t1j0;6?uQ9b9>3??d3tyj=7>52z\b5>;4==0:=n5rs32b>5<5sW8;m638:32b?xu5mj0;6?uQ2da8963328;i7p}<5283>7}:?3k:70=:4;3gf>{zj881<7:52;7xH65d28qC=l>4}M10`?1|,:oh6>8n;%1f0?g63-9n:7k2d8hh4>;%1f;|&042<6ij1b5n4?::kb5?6=3f8;m7>5;h0fg?6=3k=1<7=51;1xH65d28qC=l>4}%133?7f>2cj=7>5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g>i58h0;66s|9b83>7}Y1j16;76j;|q2`3<72;q6;752z\14d=:?38;m6s|2da94?4|V;oh70=:4;32f>{t;<91<7;4==0:ho5r}c30>5<32;0>wA=5<42808wA=a79je4<722e9=n;h:f>5<=o4}r3g3?6=:rTj=638:`38yxd4==0;6>4?:1y'751==;1C?>o4i03a>5<5<=o4=6814d=z{;oh6=4={_0fg>;4==0:=o5rs270>5<5s4=1m<5234695ad5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17p}=ee83>6}Y:ln01:4<079>640=98i0q~7l:185[?d34=15n528;;`?8b=1j16=?46c:?27??d3ty9in4?:2y]6`e<5>09in52204954d55z?;>7cd34n1>hm4=0096`e<5891>hm4=335>4be3ty9==4?:3y]646<5891>=o4$3ga>76a3g8nm7>4}r03a?6=:rT9=h4n3gb>4=z{;:o6=4={_03`>;c2;:j7)h5mh097p}=0b83>7}Y:9i0154=0`9'6`d=:9l0b?kn:29~w76e2909wS76f3-8nn752z\b3>;6;3k:7)d001i4n1:&1ag2d9il4=;|qb7?6=:rTj?637:`38 7ce2h<0b?kn:29~wd4=838pRl<4=68b5>"5mk0j:6`=e`87?x{e9jo1<7o58;fxH65d28qC=l>4}M10`?3|,:oh6>8;;%1f1?d33-9m>764$2g4>7be3-9m=794}%133?7f:2P3h7v?k:049yj4b93:1(?><:3g3?k47:3:07b<:3g3?k47:3807b<:3g3?k47:3>07dln:18'655=j01e>=<50:9jf=<72-8;?7l6;o036?7<3`h<6=4+2119f<=i:981>65fb783>!47;3h27c==5b89m654=<21i=nh50;195?5|D:9h64:{%133?53>2ci>7>5;h`0>5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a712=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd4<<0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3tyi>7>53z\a6>;4<:0:=n52356954d;4<<0:ho5rs261>5<5s49??7?kb:?000<69k1v>:<:181853<3;on63<44825f=zuzh96=4={_`1?853>3h97p}m3;296~Xe;2788;4m3:p6ad=838pR?jm;<172?4cj2wvn4<4sE98o7?tH0c3?x"48>0:o45fb383>>oe;3:17b3:1?7=55z&042<45;c177?6=;3:1N4;h1b=1c83>>o69j0;66a>dc83>>{e;=?1<7=50;2x 66028nn7E=47e3tyi?7>53z\a7>;4<:0:=o52356954e52z\1`g=:;=?1=il4}r176?6=:r788>4>dc9>713=98h0q~=;3;296~;4<=0:ho52357954e7>52z\a6>;46s|b283>7}Yj:16?985b29~w7be2909wS{I3b4>{#;9=1=n74ic094?=nj:0;66a=dc83>>d44<:4y'751=;=<0eo<50;9jf6<722e9ho4?::`006<72:0;6=u+315917=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj:>>6=4<:183!57?3;oi6F<3`9j54d=831b=864?:2y]f6=:;=91=47d3ty9ho4?:3y]6ad<5:>>67}:;=>1=il4=266>47d3twxn?4?:3y]f7=:;=<1n?5rsc194?4|Vk901>:9:c18yv4cj3:1>vP=dc9>710=:mh0qpl>d383>6<62:qG?>m51zJ2e5=z,::<65<=7dl=:188mg5=831d>il50;9a715=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd4<=0;6>4?:1y'751==;1C?>o4i03a>5<5<53;294~"48>0:hh5G32c8m47e2900ec:?001<69k1vo=50;1xZg5<5:>86il50;0xZ7be349?97?kb:p714=838p1>:<:0fa?853=3;:n6s|35194?4|5:>?6=6o<4}r`0>5<5sWh870=;6;`0?xu5lk0;6?uQ2e`896212;ni7psm34694?5=83:p(>>8:438L65f3`;:n7>5;h32g?6=3f;on7>5;|`013<72:0;6=u+315914=O;:k0e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zj;o=6=4=:183!57?3;956F<3`9j54g=831d=il50;9~w6342908w0?k0;`1?852<3;on63<57825f=z{:?>6=4<{<3g5?d5349>:7?kb:?01=<69j1v>;8:18187c:3h970=:8;3gf>{t:l;1<7"5mk09i=5a2dc95>{t:mo1<76s|2ef94?4|V;no70?lf;0gf>"5mk09i=5a2dc97>{t:mi1<73;on6*=ec81a5=i:lk186s|b`83>7}Yjh16=i<5b29'6`d=j01e>ho50:pf=<72:qUn5521e39f6=:;<21=g?54z\a1>;6ko0i>63<55825f=::l<1=g?{t1j0;6?uQ9b9>3??d3ty9in4?:3y]6`e<5>09in5rs333>5<4sW8:<638:333?87dm3hj7)h5mh0;7p}=0d83>6}Y:9o01:4=0d9>5fc=j11/>hl521d8j7cf281v?>k:180[47l27<6?>k;<3`a?d03-8nn709=h4n3gb>6=z{;:i6=4<{_03f>;02;:i70?le;`6?!4bj38;j6`=e`87?xu40j963>cd81`c=#:lh1?9j4n3gb>4=z{:>i6=4={<59e1=:9jo1>ik4$3ga>62c3g8nm7<4}r17e?6=:r7<6l=4=0af>7bc3-8nn7=;d:l1ad<43ty8844?:3y>3?g534;hi7ho54:~fa7=8391=7=tL21`>4}O9h:0qA=>8:0`0?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zuk9ho7>55;192~J4;j0:wE?n0:O76b=ir.8ih4<;%1fg?51i2.8i94n1:&0a3<58h1/?h<59b9m7ac=92.8i>46d:l0`c<73-9n47b59j=f<722c2h7>5;hc2>5<5<0;684=:4yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<>o>?3:17o950;794?6|,::<6il4H21b?l?52900e4950;9j<`<722cj=7>5;n03e?6=3th8?o4?:083>5}#;9=1=<74H21b?j7603:17p}6c;296~X>k27<65k4}r;g>5<5sW3o7095939~w4b12909w0=;020=0q~?k7;296~;02;:j7S=838pRl?4=68b5>{zj:??6=4=:183!57?3;956F<3`9j54g=831d=il50;9~f60629086=4?{%133?7cn2B8?l5f10`94?=n98i1<75`1e`94?=z{0i1<7k2wx5i4?:3y]=a=:;?;1={t:li1<7=t^3g`?852<3;:m63<60825f=z{:?86=4={<59e4=:;<>1=il4}r154?6=:r7<64j4=242>4be3twi=?o50;195?5|D:9h6kj:29~ 66028i?7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1b4h4?::kb5?6=3f8;m7>5;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srb5694?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f451290>6?4:{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3bf>of93:17b>{e;:h1<7?50;2x 66028;27E=l27<64<4}r3g2?6=:r78?o4>199>3??03ty:h:4?:3y>3?47i2T95<5sWk:7095a09~yg5?l3:1?7<54zN07f<6sA;j<6sC32f95~"4ml087p*<0682e==ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?l>b2900el?50;9l65g=831vnl>50;094?6|,::<6<<6;I10e>o69h0;66a>dc83>>{t1j0;6?uQ9b9>e5<69h1vdc9~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psm38094?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f61029086?4;{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3b<>of93:17b5}#;9=1hl5G32c8m=c=831bm<4?::m14d<722wim=4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xu>k3:1>vP6c:?b4?76i2wx=i850;0x9252z\b5>;02h;0qpl<9883>6<52=qG?>m51zJ2e5=zD:9o6{#;9=1=l64i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6g7e;29?lg62900c?>n:188ygg729096=4?{%133?7512B8?l5f10c94?=h9mh1<75rs8a94?4|V0i01l>510c8yv7c>3:1>v38:9g89d6=9mh0q~?k7;296~;02;:j7S=838pRl?4=68b5>{zj:i:6=4<:387I54k3;pD5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g>i58h0;66sma183>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3ty2o7>52z\:g>;f83;:m6s|1e494?4|5>03i63n0;3gf>{t9m=1<75<5<5<5<5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a57`=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd6;90;6:4?:1y'751=9l<0D>=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3`;9<7>5;n3gf?6=3ty:>i4?:3y]57b<589;647e3ty::i4?:3y]53b<589:647d3ty:454?:3y]5=><589;647b3ty:4l4?:3y]5=g<589;647d3ty:>o4?:3y]57d<588m6?51e`8945728;i7p}>2g83>7}:9;l1=il4=013>4473twi8o4?:981><}#;9=18o5`4683>>o60?0;66g>6e83>>o60>0;66g>8983>>o6000;66g>8`83>>o60k0;66l;a;297?6=8r.8<:4:1:J07d=n98h1<75f10a94?=h9mh1<75rb5;94?1=83:p(>>8:0g5?M54i2c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:ho4?::p02<72;qU8:524882`g=z{82=6=4={_3;2>;3i3;:n6s|17f94?4|V80;6?uQ195891?=98i0q~?78;296~X60116844>1e9~w4>>2909wS?79:?7=?76m2wx=5o50;0xZ4>f34>2636=4={<6b>4be34>26t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd48o0;6>4?:1y'751==81C?>o4i03a>5<5<5}#;9=19<5G32c8m47e2900e5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66smc`83>6<729q/?=95539K76g5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a7f`=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl<8g83>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|``g?6=>3:1N4;h1b=c;29?l76l3:17d?>e;29?l76n3:17b?kb;29?xdc=3:1:7>50z&042<2n2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=h9mh1<75rb2f2>5<1290;w)=?7;7g?M54i2c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::m2`g<722wih=4?:283>5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17pl>3583>0<729q/?=951d68L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`26f<72:0;6=u+315914=O;:k0e50z&042<2k2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj89h6=4;:183!57?3;n>6F<3`9j54d=831b=2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=n9;:1<75`1e`94?=zj:3?6=4<:183!57?3?:7E=o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66a>dc83>>{e;h91<7=50;2x 66028nn7E=c;29?j7cj3:17pl0<729q/?=951d68L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`0=c<72:0;6=u+315914=O;:k0e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zjk;1<7<50;2x 66028827E=t$224>44>3A98m6g>1`83>>i6lk0;66sm8b83>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th9m94?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4l:0;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`27d<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl<9783>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th8;o4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4i80;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0g2<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17p}>3783>7}Y9:<01<=9:32b?xu4l=0;6?uQ3e68967428;j7p}<8983>7}Y;1201o?510c8yv7>13:1?vP>989>7<2=98h01>7k:03a?xu6:h0;6>uQ13c8944f2;:j70=lf;32g>{t;>=1<77}Yjo16h:4n1:p7gg=838pR>ln;<0b0?76i2wx=;?50;0xZ40634;=h7o>;|q03`<72;qU?:k4=21a>47?3ty2<7>55z\:4>;60<0:5o52d68:g>;6:j0:=o5212a954d7z\;b>;60<02o63>6e8:g>;fn33h70=>1;;`?8>f20i01?o=:8a89dc=1j16?<>59b9><<<>k279m<46c:?g378:g>;40m02o63<938:g>;4?>02o63<988:g>;4k802o6s|38;94?4|V:3270=69;03e>{t9n3;:n6s|3b394?4|V:i:70=l1;03e>{t9021<7c2;:j7p}<9383>7}Y;0801>7=:32b?xu3<3:1>vP;4:?70?47i2wx?nm50;1xZ6ed349ho7`6<69j16h84>dc9~w6?02909w0=68;3gf>;41=0:=n5rs`a94?2|582>6<7l;4be34;887?>b:?27=<69k1v>m;:18185d=3;on631b9~w4542909w0?<4;3gf>;6:j0:=n5rs9094?2|582>6<7j;<:;>4be34;887?>d:?27=<69m1vi<50;0x9a7=i816h>4>dc9~w6762909w0=>1;03e>;48o0:=o5rsc294?5|5hl1m<52ad8b5>;e93;on6s|c683>7}:k909in52c982`g=z{j31<7=t=b296`b<5j21=47d34n;6{t;jo1<77cd349hj7?kb:p`=<72;q6h54=0`9>gd<69j1v<=6:181874>33o70?{t;0i1<74be349j97?>b:p77i:0fa?85f93;:m6s|ad83>7}:il092283o70j>:32b?874<3;:o63>39825f=z{8i27::i4=0`9>564=9?n019l517f8yvga2909w0oi:32b?8gc28;i7p}=a083>7}::h;1>=o4=3c3>47d3ty85>4?:3y>7<2=9mh01>79:03b?xu60m0;6?u219795cb<589h6746=i816?<=51e`8yv75l3:1>v3>2`8b5>;6;;0:>i5rs3c1>5<5s48j>7>j:181857n3;on636}:kj0:ho52d1825g=:;m91=5<5s4in647d3ty:4;4?:2y>5=3=9lo01<==:0:5?82e282=7p}>8683>3}:91?1=k>4=011>4>034>i6<68;<1:1c9>7d5=98h0q~?78;292~;60<0:j?5212095=><5=h1=564=2;;>47d349c:?0e6<69j1v<66:18587?=3;m863>3382<<=:228l=70?<2;3;e>;3j3;3m63<8g825g=:;021=47b349j97?>d:p5=d=83=p1<6::0d;?874:3;3n63;b;3;f>;40o0:=n5238:954`<5:=o6228lj70=68;314>{t;j<1<7d7<5:i<6l<5a09>6d7=i816>l:51e`8yv50i3:1>v3<768b5>;4?k0:ho5rs9`94?2|51k1m<52888b5>;6;?0j=637c;3gf>{t;m81<76}:;h?1=il4=2;e>47d349h97?>c:p7d6=838p1>76:`3896g628ni7p}=9g83>1}:91?1=4h4=3c3>4be34;887?>e:?27=<69l1v<=8:18187403;on63>3b825f=z{88i6=4={<306?75j27:>n4>dc9~w45e2909w0?;6;h0:=l5rs2;6>5<5s492>7o>;<1:2?7cj2wx?i>50;0x96ea28;i70=k1;3gf>{tk?0;68u2c18155=:kj0:=k52cd825c=:l<0:=k523e3954d<,;oi6n;4n3gb>5=z{j>1<7;t=b2965c<5ji1=47d3-8nn7m:;o0fe?776c34ih647d34n>67>55z?`4?47k27ho7?>c:?`a?76l27o97?>c:?0`4<69m1/>hl5c49m6`g=;2wxo<4?:4y>g5<58k16on4>1c9>g`<69l16h84>1c9>7a7=98o0(?km:b78j7cf2=1vqo=97;293??=9:qG?>m51zJ2e5=zD:9o6?ua3dd926=#;lo196s+3159===]:l31=v<7:|m1`<<722c9o;4?::m1`2<722co57>5;n135;h;2>5<r.8ih4?;%1fg?5102.8:o4k=:8a8j6bb281v(>>8:0c6?_>c28q997sU2d;96~402;k1qd7l:188k773290/>==52018j7652910c??=:18'655=:890b?>=:098k776290/>==52018j7652;10c???:18'655=:890b?>=:298k76b290/>==52018j7652=10c?>k:18'655=:890b?>=:498k76d290/>==52018j7652?10c?>m:18'655=:890b?>=:698f2<728;147?>{M10g?7|@8k;7pB<3e86!5bk39=m6*"4m?09v<::319y_4b138p>:4=1;j=f<722e9=94?:%037?46;2d93:l147<632e9=<4?:%037?46;2d93:l147<432e93:l147<232e93:l147<032cjn7>5$320>dgof03:1(?><:`c8j7652;10el950;&1461=1<7*=028be>h58;0>76gn3;29 7642hk0b?>=:798md4=83.9<>4na:l147<032h<6=4<:080I54k3;pD5;c594?5=83:p(>>8:ec8L65f3E98o7?t$00;>6cf3tc3i7>5;hc2>5<5<5sW3h70958d9~w4b12909w09521c8Z76f3ty:h:4?:3y]e4=:?3k:7psm8;297?7=;rF8?n4>{I3b4>{#;9=1=o94i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6B<3b82!75039nm6sf8d83>>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~fa<72:0:6>uC32a95~N6i91v(>>8:0`;?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zuk;96=4<:080I54k3;pD5;c594?5=83:p(>>8:ec8L65f3E98o7?t$00;>6cf3tc3i7>5;hc2>5<5<5sW3h70958d9~w4b12909w09521c8Z76f3ty:h:4?:3y]e4=:?3k:7psm1283>6<62:qG?>m51zJ2e5=z,::<65<50z&042>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg7329086<4<{M10g?7|@8k;7p*<0682fg=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi=k4?:282>6}K;:i1=vF>a19~ 66028hh7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=bd9je4<722e9=n;M10g?7|,8836>kn;|k;a?6=3`k:6=44o32b>5<n;|q2`2<72;qUm<527;c2?x{t1j0;64uQ9b9>3??d34215n52d;;`?87520i01<=59b9>51<>k27:j77l;<03>52z\151=::9095<5sW8:>63>f;03e>"5mk09=>5a2dc95>{t:8;1<7h5mh097p}=1183>7}Y:8:01<=521c8 7ce2;;87cvP=0d9>57<58h1/>hl52018j7cf2=1v?>k:181[47l27o6?>n;%0ff?46;2d9il4:;|q14f<72;qU>=m4=9814d=#:lh1><=4n3gb>3=z{;:i6=4={_03f>;02;:j7)h5mh0<7p}nb;296~Xfj279<7o>;%0ff?gf3g8nm7>4}rc:>5<5sWk270?i:`38 7ce2hk0b?kn:09~wd>=838pRl64=069e4=#:lh1ml5a2dc96>{ti>0;6?uQa69>5652z\b1>;6:3k:7)0q~o;:181[g334n1m<5+2d`9ed=i:lk196s|a283>7}Yi:1647o>;%0ff?gf3g8nm784}rc1>5<5sWk97095a09'6`d=ih1e>ho57:~f4eb290:<7k517yO76e=9rB:m=5rL21g>0}#;li1?;:4$2g6>g2<,:l9655+3d596ad<,:l:6:5r$224>4g43S2o6?u=6;07>x\5m009w?75238~k7c2290/>==52d68j7652910c?k<:18'655=:l>0b?>=:098k7c5290/>==52d68j7652;10c?k>:18'655=:l>0b?>=:298k7ba290/>==52d68j7652=10c?jj:18'655=:l>0b?>=:498k7bc290/>==52d68j7652?10c?jl:18'655=:l>0b?>=:698mgc=83.9<>4md:l147<732cio7>5$320>gboei3:1(?><:cf8j7652:10eo650;&1460=h58;0=76gm5;29 7642kn0b?>=:698f4ea29086<4<{M10g?7|@8k;7p*<0682g<=nj;0;66gm3;29?j4cj3:17o=;6;297?5==r.8<:4<479jf7<722ci?7>5;n0gf?6=3k9??7>53;294~"48>0>>6F<3`9j54d=831b=:;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm35794?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::pf7<72:qUn?52351954e<5:>?61=7>52z?006<6lk16?9;510`8yv53;3:1>v3<4582`g=:;=?1=63<478a6>{tj:0;6?uQb29>710=j:1v?jm:181[4cj2788;4=dc9~yg7c83:1?7?53zN07f<6sA;j<6s+31595f?>i5lk0;66l<4783>6<424?::m1`g<722h88>4?:283>5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=47d349?87?>b:pf6<72:qUn>52351954d<5:>?6il4=266>4be3ty88?4?:3y>715=9mh01>:::03a?xu4<:0;6?u235695ad<5:>>64>:2yO76e=9rB:m=5r$224>4e>3`h96=44ic194?=h:mh1<75m35494?5=;3?p(>>8:265?ld52900eo=50;9l6ad=831i?9=50;194?6|,::<68<4H21b?l76j3:17d?>c;29?j7cj3:17pl<4583>6<729q/?=95539K76g5<1c9~wg5=839pRo=4=260>47e349?87?>c:p6ad=838pR?jm;<171?7cj2wx?9<50;0x962428ni70=;5;32f>{t;=91<74be349?97?>c:~wg4=838pRo<4=265>g43h87p}=dc83>7}Y:mh01>:9:3fa?x{e9m81<7=51;1xH65d28qC=l>4}%133?7d12ci>7>5;h`0>5<5<42:0>w)=?7;172>oe:3:17dl<:188k7be2900n>:<:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm35694?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`000<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xue:3:1?vPm2:?006<69j16?9:510`8yvd42908wSl<;<177?76j278894>1b9~w7be2909wS:=:181853;3;on63<44825g=z{:>86=4={<170?7cj278884>1b9~yvd52909wSl=;<172?d53tyi?7>52z\a7>;4{zj8n86=4<:080I54k3;pD5;c172?6=;3919v*<068003=nj;0;66gm3;29?j4cj3:17o=;3;297?6=8r.8<:4:2:J07d=n98h1<75f10a94?=h9mh1<75rb267>5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a713=8391<7>t$224>4bb3A98m6g>1c83>>o69j0;66a>dc83>>{tj;0;6>uQb39>715=98i01>:;:03a?xue;3:1?vPm3:?006<69k16?9:510a8yv4cj3:1>vP=dc9>713=9mh0q~=;2;296~;4<:0:ho52357954d52z?001<6lk16?9;510a8yxue:3:1>vPm2:?0034?:3y]f6=:;=<1n>5rs3fa>5<5sW8on63<4781`g=zuk;o87>53;397~J4;j0:wE?n0:'751=9j30eo<50;9jf6<722e9ho4?::`003<72:0868u+3159710>i5lk0;66l<4283>6<729q/?=95539K76g5<N4;h1b={tj:0;6>uQb29>715=98h01>:;:03`?xu5lk0;6?uQ2e`8962228ni7p}<4383>7}:;=91=il4=266>47e3ty88>4?:3y>712=9mh01>:::03`?x{tj;0;6?uQb39>710=j;1vo=50;0xZg5<5:>=6o=4}r0gf?6=:rT9ho5235496ad6}K;:i1=vF>a19~ 66028i27dl=:188mg5=831d>il50;9a710=8391?7;t$224>6213`h96=44ic194?=h:mh1<75m35194?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`001<72:0;6=u+315917=O;:k0e50z&042<6ll1C?>o4i03a>5<5<{t:mh1<786{z{k81<7il4=265>7be3twi?8:50;194?6|,::<68?4H21b?l76j3:17d?>c;29?j7cj3:17pl<5783>6<729q/?=95509K76g5<N4;h1b=1c83>>o69j0;66a>dc83>>{e;=n;h32f?6=3`;:o7>5;n3gf?6=3th89h4?:283>5}#;9=19<5G32c8m47e2900e289K76g5<?7>53z?2`5dc9>700=98i0q~=:5;297~;6l80i>63<5782`g=:;<21=d28a6>;4=h0:ho5234a954en7>53z?2`1dc9>70c=98i0q~=:d;296~;6l<0i>63<5d82`g=z{;o>6=4={_0f1>;6l<09ho5+2d`96`25<5sW8n?63>d581`g=#:lh1>h:4n3gb>4=z{;o96=4={_0f6>;6l:09ho5+2d`96`25<5sW8n=63>d381`g=#:lh1>h:4n3gb>6=z{;nm6=4={_0gb>;6l809ho5+2d`96`25<5sW8oi63>d181`g=#:lh1>h:4n3gb>0=z{;no6=4={_0g`>;6ko09ho5+2d`96`25<5sW8oo63=e782`g=#:lh1>h:4n3gb>2=z{ko1<747e3-8nn7lk;o0fe?7"5mk0ih6`=e`81?xuei3:1?vPma:?2`71c9'6`d=jm1e>ho53:pf=<72:qUn5521e39f6=:;<21=gb54z\a1>;6ko0i>63<55825f=::l<1=gb5<5sW3h70959b9~w7732908wS<>4:?4>77334;hi7lj;%0ff?46;2d9il4?;|q157<72:qU><<4=68157=:9jo1nn5+2d`96455<4sW8:=638:332?87dm3hi7)h5mh097p}=1183>6}Y:8:01:4=119>5fc=jh1/>hl52018j7cf2:1v?>j:180[47m27<6?>j;<3`a?d?3-8nn7<>3:l1ad<33ty909<=4n3gb>0=z{;:h6=4<{_03g>;02;:h70?le;`5?!4bj38:?6`=e`85?xu58k0;6>uQ21`892<58k16=nk5b49'6`d=:890b?kn:69~w6352909w095ac9>5fc=:l?0(?km:272?k4bi3:0q~=:0;296~;02h301=6`=e`82?xu46*=ec8014=i:lk1>6s|35g94?4|5>0j;63>cd81a4=#:lh1?8?4n3gb>6=z{:>h6=4={<59e0=:9jo1>ih4$3ga>6363g8nm7:4}r17f?6=:r7<6l:4=0af>7bb3-8nn7=:1:l1ad<23ty88l4?:3y>3?g434;hi7ho56:p71?=838p1:4n2:?2g`<5lj1/>hl53438j7cf2>1vqo{I3b4>{K;:n1mv*"4m?09h4lo0:7)=j8;0fg>h4m90;7p*<0682fc=n1j0;66g6d;29?lg62900c?>n:188m7cd2900n:4?:481>0}K;:i1=vF>a19~ 66028k=7do>:188k76f2900e4m50;9j=a<722c2;7>5;c594?3=83:p(>>8:e`8L65f3E98o7?t$00;>6cf3tc2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj::36=4::386I54k3;pD4$2g`>60f3-9n87o>;%1f2?47i2.8i?46c:l0``<63-9n?77k;o1gb?6<,:o36?kl;o1f4?65}#;9=1ho5G32c8m<4=831b5:4?::k;a?6=3`k:6=44o32b>5<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e;?;1<7=50;2x 66028o;7E=l278:<4>1b9~w76f2909wS76f3ty9in4?:2y]6`e<5>0j=63<60825g=z{:<;6=4={<59=a=:;?;1=il4}|`04<<72<0968uC32a95~N6i91v@>=k:`y'7`c=82.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9ho0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1v7}Y:9k01:4=0`9~w7cd2908wSd7<5:<:65<7s-9;;7?=9:J07d=n98k1<75`1e`94?=zj;nj6=4::183!57?3?27E=c;29?j7cj3:17pl=d283><<729q/?=951ec8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;h314?6=3`;9=7>5;h316?6=3f;on7>5;|`1`0<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17p}=d883>7}Y:m301?jn:0fa?xu5k?0;6?uQ2b4897b620n0q~16>i651e`8yvb>290>wSj6;<0`3??d348o=77l;<1376f349;n7?>c:p75?=838pR>>6;<13=?47i2wx5<4?:2y]=4=:;921>hm4=22:>7cd3ty9h<4?:2y>6a7=:9k01?j7:03b?84ci3;:n6s|2e694?5|5;n:6?kl;<13>m:03a?84c;3;on63=d4825d=z{::j6=4={<13=??c349;n7?kb:p6a6=839p1?m8:337?84ci3;:o63=d28267=#:lh1>nh4n3gb>5=z{;in6=4<{<0`3?46:279hl4>1e9>6a5=9;;0(?km:3ae?k4bi3;0q~09=<522ec954c<5;n86<n95202897b428;m7)h5mh087p}=cc83>7}::j=1>=k4=3f0>47b3-8nn76f1=:9n01?j<:03g?!4bj38hj6`=e`86?xu5k00;6?u22b5965e<5;n86n9521`897b428;i7)h5mh0<7psm37a94?e=9=0:ovB<3b82M7f82wG?>j52zl0ac<1j2.8ih4:;|&042<69:1d?i:50;9l7=>=831b?:950;9l3c<722co57>5;h:0>5<l1<75f13194?=e>;0;6>4=:5yO76e=9rB:m=5rL21g>4}#;lo1>6s+31595f75<7s-9;;7jn;I10e>J4;j0:w)?=8;1fe>{n0l0;66gn1;29?j47i3:17pln0;296?6=8r.8<:4>289K76g5<d783>7}:?32n70o?:0fa?xu6l>0;6?u27;03e>X58h1vj5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb242>5<4290;w)=?7;3gb>N4;h1b={t1m0;6?uQ9e9>737=98i0q~4be3twi>o650;796?3|D:9h6kj:39'7`e=;?k0(>k;:`38 6c12;:j7)=j2;;`?k5cm3;0(>k<:8f8j6ba281/?h652da8j6c7291v(>>8:0cf?l?d2900e4j50;9je4<722e95;h;g>5<b2900el?50;9l65g=831vn>=m:182>5<7s-9;;7?>9:J07d=h9821<75rs8a94?4|V0i01:47e:p=a<72;qU5i527;;1?xu6l?0;6?u232`954><5>02;6s|1e594?4|5>09vPn1:?4>d75}#;9=1=ih4H21b?l76j3:17d?>c;29?j7cj3:17p}6c;296~X>k27<64m4}r;g>5<5sW3o70=91;32g>{t:9k1<7;<155?76j2wx?;>50;0x92<>l278:<4>dc9~yg4ei3:197<55zN07f<6sA;j<6sC32f9e~"4ml097)=jc;15e>"4m=0j=6*"4m:02h6`0:mh5f9b83>>o>l3:17do>:188k76f2900e?kl:188f2<72<0968uC32a95~N6i91v(>>8:0c5?lg62900c?>n:188m=n;h;1>5<>of93:17b=n;n3252z\:g>;021o0q~7k:181[?c34=15?5rs0f5>5<5s498n7?>8:?4><152z?4>76f3W8;m6s|1e:94?4|Vh;01:4n1:~f60629086=4?{%133?7cn2B8?l5f10`94?=n98i1<75`1e`94?=z{0i1<7k2wx5i4?:3y]=a=:;?;1={t:li1<7=t^3g`?81=i816?;?510`8yv5183:1>v38:8f8960628ni7psm8583>0<52m51zJ2e5=zD:9o6lu+3dg96>"4mj08:l5+3d69e4=#;l<1>=o4$2g1>>of93:17b5<2290;w)=?7;fa?M54i2c2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj1<1<7;52;7xH65d28qC=l>4}M10`?g|,:on6?5+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o864j4n2fe>4=#;l21>hm4n2g3>5=z,::<65<>i58h0;66g=eb83>>d0290>6?4:{M10g?7|@8k;7p*<0682e3=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9j=7<722c2;7>5;h:f>5<:183!57?3;:56F<3`9l54>=831v4m50;0xZ03i6s|9e83>7}Y1m16;77=;|q2`3<72;q6?>l510:892<>?2wx=i950;0x92<58h1U>=o4}r3g80;6>4?:1y'751=9ml0D>=n;h32f?6=3`;:o7>5;n3gf?6=3ty2o7>52z\:g>;020i0q~7k:181[?c349==7?>c:p65g=838pR?>n;<5965g53z\1af=:?3k:70=91;32f>{t;?:1<7;4>80:ho5r}c1;j5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f=?57>2d8i<4?;|&042<6k;1b5n4?::k:`?6=3`k:6=44o32b>5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb242>5<4290;w)=?7;3gb>N4;h1b=k2wx5i4?:3y]=a=:;?91=5<5sWk:70=91;32g>{t:9k1<77}:?33o70=93;3gf>{t;?:1<7;4>80:ho5r}c13a?6==391;vB<3b82M7f82wG?>j5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb277>5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a737=8391<7>t$224>4ba3A98m6g>1c83>>o69j0;66a>dc83>>{t1j0;6?uQ9b9>3??d3ty2h7>52z\:`>;4>80:=n5rs`394?4|Vh;01>;;:03`?xu58h0;6?uQ21c892<58h1v?kl:180[4bk278994>1c9>737=98h0q~=:3;296~;02h;01>;;:0fa?xu4>90;6?u27;;g?85193;on6srb9294?5=83:p(>>8:0fe?M54i2c:=o4?::k25f<722e:ho4?::a6g`=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl78;291?6=8r.8<:4>e59K76g5<5<289K76g5<53;294~"48>0>>6F<3`9j54d=831b=:180>5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rb3a0>5<4290;w)=?7;3ga>N4;h1b=c;29?j7cj3:17pl94;296?6=8r.8<:4>289K76g5<52z\0`1=:;m31=il4}r1;55z\032=:090:=o52391954d<5:n3647f3ty59z\4b>;5j?09in522c:96`e<5;hj6?kl;<:7>7cd342=6?kl;<1;278<5<6lk1vi750;;xZa?<5?815n522c49=f=::k215n522cc9=f=:0=02o6376;;`?85?033h70=?e;;`?xu?;3:1?vP73:?;0??c34236?28;o7p}=b483>6}Y:k?01?l9:8f897e628;i7p}=b683>6}Y:k=01?l7:8f897e428;i7p}=b883>6}Y:k301?ln:8f897e228;i7p}<7g83>7}Y;>l01>6;:0fa?xu6::0;6>uQ131896>?2h;01>>j:`38yv>52908w067:0fa?85?k3;:n63<82825f=z{:296=4={<1;0?76i2784>4>dc9~w34=838p1;<521c89=6=98i0q~7>52z?1fc<69j16>n=51e`8yv4d<3:1>v3=bg825a=::j?1=il4}r:7>5<5s42?6?>n;<:;>47d3ty3:7>52z?;2?47i27347?>e:p75c=838p1>>j:32b?85c03;:o6s|2c494?4|5;h=6?>n;<0`5?76k2wx>o650;0x97d?2;:j70{t:kk1<776f348h97?>c:p7a1=838p1>j6:03b?85c03;on6s|2c694?4|5;hm64?:3y>2767:8f8966b20n01>6l:0fa?x{e;>81<7<50;2x 66028827E=t$224>44>3A98m6g>1`83>>i6lk0;66sm34694?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`031<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xd4lm0;6>4?:1y'751==;1C?>o4i03a>5<5<52;294~"48>0:>45G32c8m47f2900c67;|q03=<72=qU?:64=2ga>610349<<7=87:?02f<4?>1v>j::181[5c=278:n453b38yv5el3:1>vP7`d=;kn0q~=m4;296~X4j=16?hl53c68yv5?93:1>vP<809>73`=;>l0q~=m2;296~X4j;16?hl53`48yv5?=3:1>vP<849>7`d=;1?0q~=ma;297~X4jh16?;753cc8960a2:hj7p}<8`83>7}Y;1k01>8i:2:;?xu4?00;6?uQ36;8960a2:=<7p}<7d83>7}Y;>o01>8i:25f?xu4j:0;6?uQ3c1896ce2:i37p}7}Y;m<01>8i:2f7?xu4k:0;6?uQ3b18960a2:i:7p}7}Y;hk01>km:2cb?xu4?80;6?uQ3638961528ni7p}<7483>7}Y;>?01>99:0fa?xu41h0;6>uQ38c896ce2:3270=80;1:=>{t1=0;6?uQ959>73`=0o1v4;50;4xZ<3<5:<264=4=2ga><5<5:=;64=4=244>a?<5:7}Y;1n01>8i:2:g?xu41k0;6?uQ38`8960a2:327p}7}Y;jn01>8i:2a`?xu4190;6?uQ382896172:3;7p}<9383>7}Y;0801>8i:2;1?xu4lk0;69uQ3e`8960>2:nj70=jb;1ge>;4?908hl5rs2:3>5<5sW93<63<6b803c=z{;n26=4={<1ff?4c1278::4=d89~w7e12909w0=jb;0`2>;4>>09o;5rs015>5<5s49<<7?<6:?02c<6;?1v<;i:18185113;>j63<71821c=z{88j6=4={<144?75i278:k4>2`9~w7c02909w0=99;0f3>;4==0:=o5rs3gf>5<5s49=5701>9;:03`?xu3>3:1>v3<71870>;4?=0:=o5rs3f4>5<5s49nn71v:h50;7x960>2>l01>km:6d896172>l01>88:838960d2>l0q~=9d;296~;4>j09n45237g95ad52z?035<6>816?;h51738yv5703:1>v3<66804==:;mn1=702=98i0q~=kc;296~;4lm0:ho5237g954g52z?0ag<5j=16?;m52c78yv74n3:1>v3<68827c=:;>:1=>h4}r35a?6=:r78;=4>6d9>73`=9030q~52z?037<69h16?::51e`8yv72<3:1>v3<718211=:;?l1=8k4}r011?6=:r78:44=249>7`d=:;?0q~=:3;296~;4>j03?63<5582`g=z{;k>6=4={<15=?4f=278io4=a49~w4442909w0=99;317>;4>j0:>>5rs9594?4|5:=;65<4=24`>=352z?035<60l16?;h518:8yv2d2909w0=80;6`?850>3;:m6s|20c94?4|V;;j70=jb;02e>"5mk09=45a2dc94>{t:821<7"5mk09=45a2dc96>{t:;81<76*=ec815<=i:lk1?6s|23394?4|V;8:70=jb;015>"5mk09=45a2dc90>{t:;:1<7"5mk09=45a2dc92>{t:8o1<7"5mk09=45a2dc9<>{t:8i1<7"5mk09=45a2dc9e>{t:8=1<77}Y?=16?;753c9'6`d=?:1e>ho50:p37<72;qU;?5237;97<=#:lh1;>5a2dc95>{t?80;6>uQ709>73?=;116?hl5399'6`d=?:1e>ho52:p35<72:qU;=5237;973=:;lh1?;5+2d`936=i:lk1?6s|6d83>6}Y>l16?;75359>7`d=;=1/>hl5729m6`g=<2wx:i4?:2y]2a=:;?31?>523d`976=#:lh1;>5a2dc91>{t>j0;6>uQ6b9>73?=;;16?hl5339'6`d=?:1e>ho56:p2g<72:qU:o5237;974=:;lh1?<5+2d`936=i:lk1;6s|6`83>6}Y>h16?;75319>7`d=;91/>hl5729m6`g=02wx:44?:2y]2<=:;?31>k523d`96c=#:lh1;>5a2dc9=>{t>10;6>uQ699>73?=:l16?hl52d9'6`d=?:1e>ho5a:p22<72:qU::5237;96a=:;lh1>i5+2d`936=i:lk1n6s|6783>6}Y>?16?;752b9>7`d=:j1/>hl5729m6`g=k2wx:84?:2y]20=:;?31>o523d`96g=#:lh1;>5a2dc9`>{t?j0;6>uQ7b9>73?=<:16?hl5429'6`d=?:1e>ho5e:p3g<72:qU;o5237;907=:;lh18?5+2d`936=i:lk1j6s|7`83>7}Y?h16?hl5409'6`d=?:1e>ho5119~w2?=838pR:74=2ga>16<,;oi6:=4n3gb>473:p33<72;qU;;523d`97a=#:lh1;>5a2dc951=z{>?1<7752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk459EBa333OLo:95IFe54?C@c?$Ce=95IFe5.MkYneyUMJi9"Io37?C@c0>1MJi6"Io:8BCb?%@d:56HId9/Jj47>3OLo4 Ga20:8BCb?%@d8n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue?159JKGK33@EI_95FOBQ6?LID[8?0EBM\249JKFU4n2CDO^Qlmq]fu5678;>0EBM\_bos[`w789:Te`~PINAP[fkwWl{;<=>>f:KLGVYdeyUn}=>?1368MJETWjg{Sh?013\mhvXAFIXSnc_ds34576n2CDO^Qlmq]fu567:;>0EBM\_bos[`w7898Te`~PINAP[fkwWl{;<=<>f:KLGVYdeyUn}=>?3368MJETWjg{Sh?011\mhvXAFIXSnc_ds34556n2CDO^Qlmq]fu567<;>0EBM\_bos[`w789>Te`~PINAP[fkwWl{;<=:>f:KLGVYdeyUn}=>?5368MJETWjg{Sh?017\mhvXAFIXSnc_ds34536n2CDO^Qlmq]fu567>;>0EBM\_bos[`w789f:KLGVYdeyUn}=>?7368MJETWjg{Sh?015\mhvXAFIXSnc_ds3451602CDO^Qfmq68MJJ7k2CD@=Qbuy2345b6G@PVAP[lkwW@E[[N]>5:KLV@Wc3@EYI\Qnne2345473@EYI\Qnne2345YneyUBC_K^_`lg45679m1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345669o1BC_K^_lw{4567:8o0EB\JQ^ov|5678:l0EB\JQ^ov|5678:;m7DA]EP]nq}6789>:i6G@RDS\ip~789:>=<5FOSGR[hs89:;Sdc6:KLV@Wu=2CD^Z>k;HMQS5Yffm:;<=?1e9JKWQ7Wds<=>?e:KLVR6Xe|r;<=>>f:KLVR6Xe|r;<=>>1g9JKWQ7Wds<=>?20g8MJTP8Vg~t=>?0232?LIU_9Ufyu>?01]jiubPnnv34576k2CD^Z>Pos23457b3@EY[=Q`r1234ZojxVCD^Z>Pos23457d3@EY[=Q`r12354c=5FOSU2[dhc89:;Sdc_HMQS4Yffm:;<=?l;HMQS4Yhz9:;<>259JKWcflpUecy>?00]jiuYNG[ojhtQaou23447b3@EYiljv_np34565:2CD^hoky^mq4567W`g{SDA]e`fz[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?6d9JKVYdeyUei=>?059JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]@KPHSM[U:h6B_M^ALQKRBZV837A^B_EDE[<=KXDUOJKQ>a:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U:96B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{012251=JPZOo7@okd^]b`a6789o0Aua}_Sgpqir;97o0Aua}_Sgpqir;:7o0Aua}_Sgpqir;;7o0Aua}_Sgpqir;<7o0Aua}_Sgpqir;=7o0Aua}_Sgpqir;>7o0Aua}_Sgpqir;?780B=<4N008J740BB\84:LLV=7IU:K;>7B\=B318KW523FX8N<;4OS1A66=HZ=90C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?013a?JhdmVey<=>?1b9LjfcXg{:;<=Qfmq]LjfcXg{:;<=?;;Nww4`=H}}:Te`~POtv351=H}};n7B{{1^kntZIr|8;?7B{{2d9Lqq4XadzTCxz=159Lqq5b3F?Rgbp^Mvp6733F8h5@uu6\mhvXG|~?=95@uu7f?Jss=Vcf|RAzt437?Jss>l1Dyy8Pilr\Kpr19=1Dyy9;;Nww<`=H}}2Te`~POtv;54=Wk2Z%>=?<1130[I2W33X6;295^<0<7?T:56=1Z0>09;P>7>5833X6?295^<4<7?T:16?1Z0:4?>59R828f3XU:Sb|?0122e>WX9Vey<=>?_hos[TY6Wfx;<=>>5:PB85813[K7==07;SC?54<76?1YM1?>>49QE979=2XJ0?0:;SC?7;3TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX==5]ALAP[JpbzekrCkh=6:PBIFUXGoy`lw@fg]jiuYUIDIXSBxjrmczKc`6n2XJAN]POwgqhdHf;>0^LCLS^MuawjfqFdTe`~PR@O@WZIqm{fjuB`>d:PBIFUXign;<=>=0:PBIFUXign;<=>Pilr\VDKD[Vkeh=>?00f8VDKD[Vkeh=>?1328VDKD[Vkeh=>?1^kntZTFEJYTmcj?013255=UIDIXSdc_SCNGV713[KFHD]8;SCN@LU6n2XJAIG\1^ov|56788:0^LCKIR3\ip~789::=<5]ALFJW4Yj}q:;<=?>109QEHBN[8Ufyu>?010255=UIDNB_1:PBIAOT9Vg~t=>?04325>TFEMCX=Rczx123437682XJAIG\1^ov|5678>;87_OBDHQ2[hs89:;Sdc7:PBIAOT:o1YM@JFS3]bja67898?7_OBDHQ1[dhc89:;Sdc_SCN@LU5Whdo<=>?1g9QEHBN[;Ujbi>?0007?WGJL@Y9Sl`k0122[lkwW[KFHD]=_`lg45669l1YM@JFS3]lv5678;80^LCKIR0\kw6789Uba}Q]ALFJW7Yhz9:;<4R@OQadb~Whdo<=>?279QEHTbimsTmcj?012\mhvXZHGYiljv_`lg456798:0^LC]e`fz[dhc89::>;5]ALPfeaXign;<=?Pilr\VDKUmhnrSl`k0122546=1028VDKUmhnrSl`k012063=UIDXnmiwPaof3455XadzT^LC]e`fz[dhc89:8=<>4R@OQadb~Whdo<=>;279QEHTbimsTmcj?016\mhvXZHGYiljv_`lg456398:0^LC]e`fz[dhc89:>>;5]ALPfeaXign;<=;Pilr\VDKUmhnrSl`k0126546>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;?0107?WGJZlkouRa}0123[lkwW[KF^hoky^mq45679<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?i;SCPAIYj}q:;<=?>f:PBW@JXe|r;<=>=1d9QEVCKWds<=>?3g9QEVCKWds<=>?30d8VDUBDVg~t=>?053f?WGTMEUfyu>?01725>TF[LFTaxv?012\mhvd3[KTTFW9Ufyu>?0132a>TFW9Ufyu>?0102`>TFW9Ufyu>?01124>TFW9Ufyu>?01]jiueTFW8Ufyu>?013f?WGX9Vg~t=>?003f?WGX9Vg~t=>?033g?WGX9Vg~t=>?0233?WGX9Vg~t=>?0^kntf=UIV8Taxv?012g?WGX:Vg~t=>?00g8VDY5Wds<=>?10g8VDY5Wds<=>?20f8VDY5Wds<=>?3028VDY5Wds<=>?_hosg>TFW:Ufyu>?01f8VDY4Wds<=>?1d9QEZ5Xe|r;<=>>1d9QEZ5Xe|r;<=>=1e9QEZ5Xe|r;<=><119QEZ5Xe|r;<=>Pilr`?WGX?0e9QEZ2Xe|r;<=>>e:PB[1Yj}q:;<=?>e:PB[1Yj}q:;<=<>d:PB[1Yj}q:;<==>0:PB[1Yj}q:;<=Qfmqa8VDY2Wds<=>?d:PB[0Yj}q:;<=?j;SC\1Zkrp9:;<??;SC\1Zkrp9:;k;SC\2Zkrp9:;<4R@]5[hs89:;Sdcc:PB[2Yj}q:;<=j4R@]4[hs89:;=h5]A^5\ip~789::=h5]A^5\ip~789:9=i5]A^5\ip~789:8==5]A^5\ip~789:Te`~l;SC\5]ERa8V@UXadzT^H]>5:PFWw`23[OX~j4RDQqvZhh|9:;=?>4RDQqvZhh|9:;=Rgbp^PFWwtXff~;<=?>5:PLIFUc3[EFO^Qnne2345473[EFO^Qnne2345YneyUYC@M\_`lg456798:0^BCLS^antZcv89:;>;5]OLAP[fkwWl{;<=>Pilr\VJKD[Vif|Rk~01235461Yiljv0s48V`gcq8=0^hoky0p5?Wcflp8<7_kndx0qe>TbimsTEBL\9:PfeaXZHGo7_kndx]bja67898;7_kndx]bja6789Uba}Q]e`fz[dhc89:;=<>4Rdcg}ZojxVXnmiw>c:PfeaXg{:;<=?j;Sgb`|Yhz9:;374Rdd@jq:4601YikMat=6==>TbnJd0806;SgeGkr;>7h0^hhLnu>4>58>3[omOcz37?58V``Cggo:>6\jfEmmaZejxVoz<=>?2`9QacBhflUha}Qjq1234ZojxVXnjIaae^antZcv89:;=k5]egFlj`Yig}:;<<<;;Sge@jhbWge<=>>_hos[WcaLfdnSca{012253=UmoXJ_;5]egPfu446:Pfwpjs12Xnxb{<1<:?Wct}e~7=374Rdqvhq:5601Yi~{ct=1=f>Tb{|f094?>89Qavsk|5>556\jstnw808>3[oxyaz36?`8V`urd}6<6=06;Sgpqir;?78=7_k|umv\4Zhh|9:;?0135?VETAJY:>6]LSHAP[lkwWZIXEN]>b:QJCGSTW@DMCl5\IF]AQVOCPk1XEJQMURLBI@2<[jfy86]lsuc8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><:4Srgw0>R^XL20XdcjotvB56=SadodyyOPclr\at67898h7YgbenwwEZejxVoz<=>?_hos[QojmfMRmbp^gr456798:0XdcjotvB[kis89::>;5[ilglqqGXff~;<=?Pilr\Plkbg|~JSca{01225==SadodyyLm;UknajssJYxn==5[ilglqqDXign;<=>=6:Vji`ir|KUjbi>?01]jiuYSadodyyLPaof34566;2\HO45YIDU\P\VB;2\[Mn5YP@]jiuYQXH;>7[^Nrg78RUGuzm1]\L|}_omw4566:91]\L|}_omw4566W`g{S[^Nrs]mkq6788;j7[gkR@O@WRTc3_co^LCLSVP50n7?3_co^LCLSVP50nYdeyUn}=>?0248RlbUIDIX[_8;k^antZcv89:;Sdc_WkgVDKD[^X=8fQlmq]fu56788;37[gkR@O@WRT1>_hos[SocZHGH_Z\94j]`iuYby9:;=<?0^kntZPnl[KFO^Y]_bos[`w789::=85YiePBIFUPZVif|Rk~012275=QamXJAN]XR^antZcv89::Sdc_WkgVDKD[^XTo`~Pep234476=2\bh_OBCRUQ[fkwWl{;<=<<0:Tj`WGJKZ]YSnc_ds3454XadzTZdj]ALAPSWYdeyUn}=>?2036?SocZHGH_Z\Pclr\at678:9;7[gkR@O@WRTXkdzTi|>?02]jiuYQamXJAN]XR^antZcv89:8=<;4VhfQEHET_[Uha}Qjq123066<^`nYM@M\WS]`iuYby9:;8Rgbp^Tj`WGJKZ]YSnc_ds345269<1]ei\NMBQTVZejxVoz<=>:319UmaTFEJY\^Rmbp^gr4562W`g{S[gkR@O@WRTXkdzTi|>?04321>Pnl[KFO^Y]_bos[`w789<8<6XfdSCNGVQUWjg{Sh?014\mhvX^`nYM@M\WS]`iuYby9:;:?8228RlbUIDIX[_Qlmq]fu5670Vcf|RXfdSCNGVQUWjg{Sh?01:250=QamXJAN]XR^antZcv89:2?=5YiePBIFUPZVif|Rk~012:[lkwW_co^LCLSVP\ghvXmx:;<4?>5:Tj`WGJKZ]YSnc_ds3446482\bh_OBCRUQ[fkwWl{;<<>Pilr\RlbUIDIX[_Qlmq]fu56688;>7[gkR@O@WRTXkdzTi|>?1013?SocZHGH_Z\Pclr\at6798Uba}QYiePBIFUPZVif|Rk~013254g<^`nYM@M\WS]jiuYQamXJAN]XR032?SocZHGH_Z\Pos2345753_co^LCLSVP\kw6789;:>6XfdSCNGVQUWfx;<=>=139UmaTFEJY\^Ra}0123743<^`nYM@M\WS]lv5678Vcf|0:ZPPZTSDVZYC]K]TX58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf<1Sc1>16:Zl8586>2Rd0=0=6:Zl858412Rd0=0Pilr0?]us12RxxJC`ddna?Zgcl9:;<1>1b:]b`a67896:2o5Paef3456;:7h0Sljk0123868c3Vkoh=>?0=694;d?<5Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Rczx12342=Xg{:;<=?;;^mq4567W`g{SRa}012352=Xg{:;<?5nne2345Yhz9:;?129`jq1ohjd>0ebl|9:lB@jssDL::46`NDnwwH@6XadzTbLJ`uuNF44773gKOcxzCE1]lv5678;<0bLJ`uuNF4Ziu89:;Sdc_oCGkprKM9Ud~=>?00;8jDBh}}FN=<64n@FlqqJB9Vcf|R`NDnwwH@76;2dJ_:5aAR]EWG1hFg|~DI85aBDPQ=>hEM[XTJ^L6;o@FVWYNGKn0bOK]R^cm`5678;:0bOK]R^cm`5678Vcf|R`MESP\ekb789::==5aBDPQ[lkwWgHN^_?;;oABWf=iKHYTbbz?0132a>hDIZUecy>?00]jiuYiKHYTbbz?01322>hC\HI@m6`KT@AH[CUEi2dOXLMD_HMAa>hC\HI@Sl`k012367=iL]KHGRoad1234ZojxVdOXLMD_`lg45679?1eHd`CEe9m@lhKMVey<=>?219m@lhKMVey<=>?_hos[kBnfEOTc>?0135?kBnfFO:>6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUecy>?0007?kBh}}ENSca{0122[lkwWgNdyyAJ_omw45669l1eHb{{OD]lv5678;80bIaztNG\kw6789Uba}QaDnwwK@Yhz9:;<<:4nMFP<>hKLZUM_O64nMFP[LIEk2dGH^Qnne23457b3gFO_Road1234ZojxVdGH^Qnne23457d3gFO_Road12354c?013`?kJC[Vey<=>?_hos[kJC[Vey<=>?1c9mHAUXg{:;<?00g8jIQBWhdo<=>?_hos[kJPMVkeh=>?00g8jIQBW`g{ScBXE018jJCe3gENSl`k01235f=iGLUjbi>?01]jiuYiGLUjbi>?013`?kIBW`g{ScAJ189mKscudhs;=<5aOwgqhd7Whdo<=>?299mKscudhs;Sl`k0123[lkwWgE}ibny1]bja6789;27cAyesnb}41hUGD]NSl`k012367=iZFG\IRoad1234ZojxVdYC@YJ_`lg45679880b_ABWD]jiuYiZFG\I<:4nTFP<>hRLZUM_O64nTFP[LIEk2d^H^Qnne23457b3g_O_Road1234ZojxVd^H^Qnne23457d3g_O_R``t12354c?00a8jSKFWge<=>>1d9mRHGXff~;<=?Pilr\jSKFWge<=>>159mRUG?3g\[MRH\B99mRUGXAFHh7cX_A^cm`56788o0b[^N_`lg4567W`g{ScX_A^cm`56788o0b[^N_hos[kPWI890bZK8;oUF[CUEn2ddx=>?0^cm`5678;>0bbz?012\ekb789:Te`~Pnnv3456Xign;<=>>7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:?n:4@Az017762289?:;>m:0`450}i;=k1=6`<4c85?!53139??6s\948014<58<0:?9890c82f2713m;o=7>51;3xW<0=;<;1>=;5126525d=9k=:96xI2c:94?7=93:p_4853439653=9:>=:=l51c521>"49>0:m95+21497ccvF>a29'650=;on0qA=;7;3x 6`?2<1v(>>l:0f2?_>>2;q26;3:17d=72;29?j5cn3:17d=lc;29?j5d?3:17b=me;29?l5?j3:17b=mc;29?j5?n3:17d=l4;29?l5><3:17b=73;29?j5?03:17b=md;29?l5b83:17b=ld;29?j5e<3:17b=8b;29?j50n3:17d=n4;29?l?72900e4?50;9l7f3=831bh84?::m0=2<722e8m84?::m0`2<722e85l4?::m0=f<722c8i84?::m0e:l142<632e9>54?:%03e:l142<432e9>;4?:%03e:l142<232e9>94?:%03e:l142<032e9>?4?:%03e:l142<>32e9>=4?:%03e:l1425$32;>3`o1l3:1(?>7:7d8j7602;10e;m50;&14=<1n2d9<:4<;:k5e?6=,;:36;h4n324>1=h58>0>76g98;29 76?2?l0b?>8:798m31=83.9<549f:l142<032c=:7>5$32;>3`o1<3:1(?>7:7d8j7602h10e;=50;&14=<1n2d9<:4m;:k56?6=,;:36;h4n324>f=h58>0o76g88;29 76?2?l0b?>8:d98m21=83.9<549f:l1425$32;>3`4;h56>5<#:921:k5a215954=>1<7*=0985b>h58>0:>65f7283>!4703o0:3:1(?>7:7d8j76028>07d9>:18'65>=>o1e>=951498m3d=83.9<549f:l142<6>21i?:=50;3a>60=<6?ua3g;96f=#;o2196s+31a9=2=]000:wn4rZ3gf>4}e2tc3j7>5;n36a?6=3f8no7>5;n0e7?6=3`=i6=44i2a7>5<5<5<o413:1(?>7:2c8j7602810e>650;&14=<4i2d9<:4=;:k02?6=,;:36>o4n324>6=1<7*=0980e>h58>0?76g<3;29 76?2:k0b?>8:498m64=83.9<545$32;>6go5n3:1(?>7:2c8j7602010e?k50;&14=<4i2d9<:4n;:k1`?6=,;:36>o4n324>g=h58>0h76g=b;29 76?2:k0b?>8:e98m15=83.9<547>5$32;>6g5<6290;w)=?c;122>N4<=1d>=:50;9~f6d?290>6?49{M172?7|@8k87pB<4682!5a03;0q)=?c;3be>of83:17b5;h:b>5<k1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p=g<72;qU5o527;:b?xu013:1>vP89:?4e?7612wx=io50;0x92<>;27X58o1vb49je5<722e950z&04f08j95ri9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<50;9~w{t1j0;6?uQ9b9>=a<6901ve19~w4be2909w0=;5;323>;02090q~?kc;296~;02;:m7S4=68b4>{zj88=6=4>1;1956}#;9i1=?84o001>5<>o5j3:17dh4?::k1b?6=3`9;6=44i2394?=n;;0;66g<3;29?l532900e>850;9j7=<722c857>5;h1a>5<<4?::m2a5<722wi=?:50;;94?6|,::h68j4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?l7583:17d?=1;29?j7b83:17pl>2483>6<729q/?=m54e9K7125<?5213795`696=4={_61?875;3;:m6s|4283>7}Y<:16=?=510`8yv4e2909wSn4?:3y]6f=:9;91=5<5sW8o70?=3;32a>{t:l0;6?uQ2d9>575=98l0q~7}Y;;16=?:510`8yv542909wS=<;<310?76k2wx?94?:3y]71=:9;>1=5<5sW9=70?=4;32a>{t;10;6?uQ399>572=98l0q~=6:181[5>34;987?=0:p7g<72;qU?o52136957752z?266<6m916=?;510c8yv75<3:1>v3>2582a5=:9;?1=5<96=44i067>5<=6=44i06;>5<j6=44i06`>5<n6=44i073>5<5<>290;w)=?c;3f`>N4<=1b=50;9j577=831d=h>50;9~f42729086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj8>:6=4<:183!57k3;n?6F<459j54g=831b=e19~w7cd2909wS1`9~w4232909wS?;4:?27c<69j1v<:9:181[73>27:?k4>1e9~w42?2909wS?;8:?27c<69l1v<:n:181[73i27:?k4>219~w42d2909wS?;c:?27c<69o1v<:j:181[73m27:?k4>209~w4372909wS?:0:?205<69h1v>?j:181[56m27:8=4>1c9~w45a2909w0?;6<80:=l5rs063>5<5s4;?<7?j0:?204<69k1vqo=;2;29`?5=nr.8?50;9j765=831b?>;50;9j761=831b?>750;9j76d=831i?>h50;;94?6|,::h6o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>o6:90;66g>2083>>i6m90;66sm35294?3=83:p(>>l:0g:?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a717=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t;:o1<770={t;;=1<7{t;;h1<7{t;;l1<7{t;:91<770=;0;32e>{t;:=1<7{t;:h1<750;0x962728o;70=;1;32f>{zj8o96=4::183!57k3?<7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi>?m50;194?6|,::h69h4H267?l76i3:17d?>b;29?j7b83:17pl=2d83>1<729q/?=m5509K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a752=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5io0;6>4?:1y'75e=9l90D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9ii4?:483>5}#;9i19;5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a777=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd4::0;684?:1y'75e===1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=<7:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm33c94?3=83:p(>>l:458L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`06f<72<0;6=u+31a913=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:9;6=4::183!57k3?>7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi?><50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl<3583>0<729q/?=m5549K7125<5<N4<=1b=50;9~f65?290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e;:k1<7;50;2x 66d2:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th8?n4?:483>5}#;9i1995G3568m47f2900e5<2290;w)=?c;76?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a6cb=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5no0;684?:1y'75e==>1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=><:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm2`g94?3=83:p(>>l:4:8L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`206<72<0;6=u+31a912=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj8><6=4::183!57k3?=7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi=9750;794?6|,::h68;4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl>4c83>0<729q/?=m5579K7125<5<N4<=1b=50;9~f42a290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e9<;1<7;50;2x 66d2<>0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th9?=4?:283>5}#;9i18i5G3568m47f2900e5<3290;w)=?c;3f2>N4<=1b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm31:94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wi>k950;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<0`83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|`1ef<72:0;6=u+31a90`=O;=>0ea2908wS6i;<1a4c73ty9in4?:2y]6`e<58?96?kl;<0f`?7b82wx>k=50;0xZ7`4349;87?j0:p3g<72:qU;o523c`9=f=:;kk1=;6=;0:?h5rs2g7>5<4sW9n863<25825d=:9l5222195`6<5:8?6lo4=3ce>4c73ty:>?4?:3y]574<588=6<<=;|q207<72;q6=8<51508942428o;7p}>4583>7}:9<81=9:4=066>4c73ty:8;4?:3y>504=9=<01<:8:0g3?xu6<10;6?u2140951><58>264b83>7}:9<81=9m4=06g>4c73ty:8h4?:3y>504=9=o01<:i:0g3?xu6=90;6?u21409506<58?:6lh510`897gd28o;7p}>e083>6}:9l81=h>4=3ce>47f349im7?>b:p6c>=838p1?h6:0g3?857>3;:m6s|2gc94?4|5;li6km50;0x97`c28o;70=?6;32g>{t:oo1<74c7349;47?>a:p756=838p1>>>:0g3?85703;:n6s|31094?4|5::86k:50;0x97`228o;70=?a;32e>{t:o<1<74c7349;m7?>b:p7g>=838p1>l7:32e?85ej3k;7p}1}:;kh1>=h4=313>47e3488>7?>a:?1ef<69h1v?ok:18184fm3;n<63=ab825g=z{:8;6=4={<110?76k278><4>e19~w6452909w0==4;32`>;4::0:i=5rs30e>5<5s488?7?>a:?175<6m91v?=>:181844;3;:n63=3382a5=z{:9n6=4={<176?54m278?i4>1c9~w6d>2909w0=m8;;`?85ei3;n<6s|30d94?5|5:8?61`9~w6622909w0=?6;3f4>;5n<0:=o5rs224>5<5s49;47?j0:?1b2<69k1v>>6:181857<3;:n63<0`82a5=z{:8>6=4={<176?55=278>;4>e19~w74c2909w0<=e;3f4>;5;;0:=o5rs204>5<5s49?>7==7:?06=<6m91v><6:181853:399563<2`82a5=z{:8i6=4={<176?55j278>n4>e19~w64c2909w0=;2;11`>;4:l0:i=5rs20e>5<5s49?>7==f:?075<6m91v>=6:181853:398563<3`82a5=z{:;n6=4={<366?56m278?i4>e19~w65e2908w0=;2;10f>;4;j0:i=522g7954g53z?007<4;816?><51d2897`028;j7p}<3283>7}:;=81?>=4=217>4c73ty8?84?:3y>714=;:?01>=9:0g3?xu4;>0;6?u23509761<5:936?m51d28943a28;i70<<0;32e>{t;k0;6kuQ3c9>570=;k16=h<510a8974d28;i70<=e;32e>;5mm0:=o522`g954b<58>861e9>51?=98n01<:m:03g?873l3;:h63>4g825a=:9<;1=6g;5:l0:=o522df954b<5;kn61c9>511=98h01<:6:03b?873j3;:o63>4e825f=:9=l1=47d3-8m<7=n;o0fb?739370?j2;32f>;5:l0:=n522df954g<5:8:64>1c9>513=98k01<:8:03`?87313;:o63>4c825g=:9=n1=47e34;>=7?>b:&1b5<4i2d9ik4=;|q02?6=mrT8:63>27802>;6m;0:=l522df954e<5:8:64>1`9>513=98i01<:8:03b?87313;:n63>4c825d=:9=n1=47f34;>=7?>a:&1b5<4i2d9ik4<;|q00?6=;rT8863>27800>;4::0:=i5+2g297d=i:ll186s|3283>6}Y;:16=?85329>775=98i0(?h?:2c8j7ca2<1v><50;1xZ64<588=6><4=200>47e3-8m<7=n;o0fb?039:70==3;32e>"5n908m6`=eg84?xu483:1ivP<0:?263<48278>;4>1b9>77>=98h01>47c349887?>d:?073<69m16?>6510f8965f28;o70="5n908m6`=eg8;?xu5n3:1ivP=f:?263<5n278>;4>1c9>77>=98k01>47e349887?>a:?073<69j16?>6510a8965f28;h70="5n908m6`=eg8:?xu5m3:1ivP=e:?263<5m278>;4>1e9>77>=98n01>47d349887?>c:?073<69k16?>6510c8965f28;i70="5n908m6`=eg8b?xu5l3:1ivP=d:?263<5l278>;4>1`9>77>=98i01>47f349887?>b:?073<69h16?>6510`8965f28;j70="5n908m6`=eg8a?xu5k3:14vP=c:?263<5k279j44>1e9>6cd=98n01?hk:03g?84an3;:o63<00825f=:;991=6g|V;h01<<9:3`897`>28;h70;5nm0:=n522gd954d<5:::658z\77>;6:?0??63=f8825d=::oh1=47e348mj7?>a:?044<69m16?==510f8 7`72:k0b?ki:d9~w14=832pR9<4=005>14<5;l261`9>6c`=98n01>>>:03b?857;3;:o6*=f180e>h5mo0m7p}>dc83>7}:;=21>=:4=2`;>d6rF88;4>{I3b7>{K;==1>v`"4n10>7p*<0b816<=]0009w44i:|X1a`<5sh0n6pg7f;29?l4cm3:17bl;:188m6g42900e>k;:188m6ee2900e?2900c>l?:188k77a290/>=6520g8j7602910c??k:18'65>=:8o0b?>8:098k74?290/>=6520g8j7602;10c?<8:18'65>=:8o0b?>8:298k741290/>=6520g8j7602=10c?<::18'65>=:8o0b?>8:498k743290/>=6520g8j7602?10c?<<:18'65>=:8o0b?>8:698k745290/>=6520g8j7602110c?<>:18'65>=:8o0b?>8:898k747290/>=6520g8j7602h10c??l:18'65>=:8o0b?>8:c98m6>=83.9<54<7:l142<732c8:7>5$32;>61o4;3:1(?>7:258j7602:10e><50;&14=<4?2d9<:4;;:k05?6=,;:36>94n324>0=h58>0=76g=f;29 76?2:=0b?>8:698m7c=83.9<54<7:l1425$32;>61o5j3:1(?>7:258j7602k10e9=50;&14=<4?2d9<:4l;:k76?6=,;:36>94n324>a=h58>0n76g;0;29 76?2:=0b?>8:g98m6`=83.9<54<7:l142<6821b?h4?:%03;:k0`?6=,;:36>94n324>44<3`9h6=4+21:972=i:9=1=>54i2794?"58108;6`=06820>=e:k:1<7??:0824~J44?:029a?72sE9?:7?tH0c0?x"48j09n=5f18f94?=n90o1<75f18d94?=n9h:1<75f1`394?=n9h81<75f9d83>>i6n:0;66a>f483>>i6n>0;66a>f883>>i6nk0;66a>fe83>>i6no0;66a=0083>>i58;0;66lkc;297?7=;rF88;4>{I3b7>{#;9i1ho5fa183>>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|aa2<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zjo21<7=51;1xH62128qC=l=4}%13g?be3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;705<>o61m0;66g>9g83>>o6i;0;66akd;29?gc2290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66smdg83>6<729q/?=m54d9K7125<5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::aa6<72?0;6=u+31a91d=O;=>0e5<7s-9;o7?j9:J001=n98k1<75f10`94?=n98i1<75f10f94?=h9l:1<75rsg:94?2|Vo201h;510a89a`=98k01h=510c8yvc0290?wSk8;47e34o:647d3tyoo7>54z\gg>;b=3;:m63kf;32f>;b93;:n6s|18f94?4|V83o70k<:03f?xu61o0;6?uQ18d89`5=98n0q~?n2;296~X6i;16i>4>1c9~wab=838pRij4=d695`64c734o?6a4<6m916i94>1b9~w`4=838p1h=51d289`2=98n0qpli7;29=?>=99q/?=m5f69j`f<722c:5i4?::k2e4<722cn;7>5;h3:b?6=3`;2i7>5;h3b4?6=3`l36=44od:94?=en?0;694?:1y'75e==81C?9:4i03b>5<5<5<3290;w)=?c;71?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wiin4?:783>5}#;9i19l5G3568m47f2900e1`83>>o69k0;66a>e183>>{en90;6>4?:1y'75e=5<5<n7E=;4:k25d<722c:=o4?::m2a5<722wij94?:583>5}#;9i19?5G3568m47f2900e1`9>af<69m16j94>1c9~w4g6290?wS?n1:?e2?76j27nm7?>c:?e0?76k2wxi:4?:6y]a2=:mh0:=o52eb825g=:ml0:=l52f1825g=:n;0:=l52f5825d=z{83m6=4<{_3:b>;bi3;:m63jc;32e>{t90o1<7a183>7}Y9h:01hm510a8yv`?2908wSh7;47e34l;6{tm00;6?u2f782a5=:n<0:=l5rsdc94?4|5lk1=h>4=g7954d4c734l>6b5<6m916j84>1d9~wc7=838p1k<51d289c3=98l0q~h<:1818`328o;70h::003?x{e99>1<7656;fx 66d28:?7d?6d;29?l7>n3:17dh7:188m4g62900eh950;9j`f<722c:m?4?::me=?6=3k;;?7>55;294~"48j0>96F<459j54g=831b=b;29?l76k3:17d?>d;29?j7b83:17plid;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`244<72<0;6=u+31a913=O;=>0e50z&04f<6mh1C?9:4i03b>5<5<5<47d3ty:5k4?:2y]5<`<58:8647e3ty:m<4?:2y]5d7<58:8647c3tyn;7>53z\f3>;aj3;:n63id;32f>{tlj0;6>uQdb9>bg<69j16jk4>1c9~w4g52909wS?n2:?244<69h1vk750;0xZc?<58:964>e19>554=98k0q~hm:1818`e28o;70??2;32f>{tnj0;6?u2fe82a5=:9981=5<5s4lm650;0x946628o;70??2;32a>{zj8l?6=4;:183!57k3?87E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a5c0=83>1<7>t$22`>06<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl>f983>1<729q/?=m5539K7125<5<54;294~"48j0>>6F<459j54g=831b=50;9~f4`d290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm1gg94?2=83:p(>>l:408L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3th9<=4?:583>5}#;9i19<5G3568m47f2900e50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=z{mi1<7mt=ea965`<5l<1hn52f68gg>;68=0oo63>f5825f=:9o<1=47f34;mm7?>a:?2bf<69h16=kk510a8976728;i70{tm>0;6nu2e6814c=:m?0n;63i7;g4?877<3o<70?i4;32f>;6n?0:=o521g:954d<58lj61c9>656=98k01?><:03a?xua03:1nv3i8;03b>;b>3l370h8:g:894632o2013;:m63>f9825f=:9ok1=47d34;mi7?>a:?145<69j1v<7k:187[7>l27n:7?6d:?e3?7>l27:<94>9e9~w4?b2909wS?6e:?e3?7>m2wx=4h50;6xZ4?a34o=6<7i;4?a34;;87?6f:p5d6=838pR4g73ty:m<4?:2y]5d7<5o=1=l?4=027>4g63ty:m?4?:2y]5d4<5l<1=l<4=027>4g53ty2i7>54z\:a>;ck33i70k8:8`89c>=1k1ve19~w4`22909wS?i5:?2b3<6m91ve19~w4`>2909wS?i9:?2bd<6m91ve19~w4`c2909wS?id:?2b`<6m91ve19~w7652909wS52z?e;69:0:j>5rs0d6>5<5sW;m963>1282b0=z{8l<6=4={_3e3>;69:0:j:5rs0d:>5<5sW;m563>1282b<=z{8li6=4={_3ef>;69:0:jo5rs0dg>5<5sW;mh63>1282ba=z{8lm6=4={_3eb>;69:0:jk5rs322>5<5sW8;=63>128144=z{;:96=4={_036>;69:095<5sW;2h63>1282=a=z{83n6=4={_3:a>;69:0:5h5rs0;e>5<5sW;2j63>1282=c=z{8k;6=4={_3b4>;69:0:m=5rs0c2>5<5sW;j=63>1282e4=z{8k96=4={_3b6>;69:0:m?5r}c3fa?6==3819vB<4782M7f;2wG?995az&0b=<13-9m:7=84:&0a`k2d8i44>;%1e6?4a92d8il4?;|&04f<6k91b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;48 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3`3>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712=64<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e?m0;6>4>:2yO710=9rB:m>5rL264>4}#;o21:6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi>9750;195?5|D:>=6h7:79~ 66d28i27do?:188k76a2900e4l50;9a3?6=;3:1N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1vc`9je5<722e9:;;M172?7|,88<6>h;;|k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e::?1<7=51;1xH62128qC=l=4}M173?7|,:l36;5r$22`>4ee3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;7{I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a7=`=8391>7:tL265>4}O9h90qA=;7;3x 6`?2?1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6o?50;9j60e=831b>8>50;9j604=831b>o<50;9j61e=831b>8:50;9a60g=83?1<7>t$22`>01<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5=10;694?:1y'75e==;1C?9:4i03b>5<5<0e3:1>vP=579>60?=9l:0q~8o510f8yv42k3:1>vP=5b9>60g=98k0q~<:0;296~X5=916>8o510`8yv42:3:1>vP=539>60g=98i0q~86510a8yv43k3:1>vP=4b9>60>=98h0q~<:4;296~X5==16>86510c8yv42?3:1>v3=5`82a5=::<31=e19>60?=98h0qpl=6683><<22mq/?=m52758k73a2900e?l>:188m73d2900e?;?:188m7d42900e?l;:188m7d02900e?l=:188m72d2900n?89:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm27394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a634=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5>=0;6>4?:1y'75e=5<5<56;294~"48j0:il5G3568m47f2900evP=5g9>633=9l:0q~;<510c8yv42k3:1?vP=5b9>630=98k01?8>:03b?xu5=90;6?uQ2428970128;i7p}=b283>7}Y:k901?8::03a?xu5j=0;6?uQ2c68970228;h7p}=b683>7}Y:k=01?8::03g?xu5j;0;6?uQ2c08970328;j7p}=4b83>7}Y:=i01?8;:03a?xu5>90;6?u227495`6<5;<:6;?51d28970528;i7p}>dc83>7}::?81=h>4=346>47f3ty9:>4?:3y>632=9l:01?8::03f?x{e:=:1<7m57;31!57k38?<6a=3783>>o5j80;66g=5b83>>o5=90;66g=5383>>o5j:0;66g=b583>>o5j<0;66g=b783>>o5j;0;66g=4b83>>o5==0;66l=3g83>1<729q/?=m5539K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a66e=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e::n1<7=50;2x 66d2=n0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9?h4?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p}=3783>7}Y::<01?=j:0g3?xu5j80;6?uQ2c38975>28;j7p}=5b83>6}Y:{t:<81<7{t:k>1<770<{t:k<1<7{t:=i1<7=t^36`?844j3;:m63=3b825d=z{;??6=4={_060>;5;k0:=o5rs314>5<5s488j7?j0:?17=<69k1v1`9~w75f2909w0<;5;j0:=o5rs0f`>5<5s488o7?j0:?17a<69k1v54;192~"48j09:i5`20a94?=n:>o5j3:17o<9c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a63d=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:8i1<7;5>h0:=l5rs2794?4|V:?01?8l:03a?xu5j3:1>vP=b:?12d<69k1v?87:181841k3;n<63=6c825d=z{;<26=4={<05e?7b8279:o4>1c9~yg40;3:187=56z&04f<5?:1d>?>50;9j60c=831b?n4?::k1g?6=3k8<>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm26394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p676=838pR?8k50;1xZ73b348<>7?>a:?135<69h1v>m50;0xZ6e<5;=961`9~w70a2909w0<80;3f4>;5?80:=o5r}c04=?6=<391:v*<0b813<=h:;;1<75f24g94?=n;m0;66g=d;29?g4003:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;==6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>:950;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23394?4|V;8:70<87;3f4>{t:1c9~w7132909w0<88;3f4>;5?>0:=l5rs356>5<5s48<:7?j0:?132<69k1vqo<8f;290?5=>r.88k50;9j7`<722c9i7>5;c04a?6=;3:1N4<=1b=50;9~f71d29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:>n1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?<50;0xZ7453481`9~w6c=838pR>k4=35f>47e3ty9i7>52z\1a>;5?j0:=o5rs35b>5<5s4854;192~"48j09485`23194?=n:>o5n3:17o<74;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6=5=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;91<7;50;0:=l5rs2d94?4|V:l01?6;:03a?xu5n3:1>vP=f:?1<7<69k1v?6?:18184?<3;n<63=82825d=z{;2:6=4={<0;6?7b82794>4>1c9~yg4?j3:187=56z&04f<50k1d>?:50;9j60c=831b8=4?::k04?6=3k83m7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm29;94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p672=838pR?<;;<0;=?7b82wx>8k50;1xZ73b3483m7?>a:?1<=<69h1v9>50;0xZ16<5;2j61`9~w7>02909w0<78;3f4>;5000:=o5r}c0:5?6=<391:v*<0b81=4=h:;?1<75f24g94?=n<80;66g<1;29?g4>83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;2n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>5h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23794?4|V;8>70<7f;3f4>{t:83;:m63=8d825d=z{=;1<71c9~w7>d2909w0<60;3f4>;50o0:=l5rs3:g>5<5s483i7?j0:?1r.88k50;9j07<722c8>7>5;c0:2?6=;3:1N4<=1b=50;9~f7?329086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:0?1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?850;0xZ741348297?j0:p60c=839pR?;j;<0:2?76i279594>1`9~w14=838pR9<4=3;5>47e3ty8>7>52z\06>;51=0:=o5rs3;1>5<5s482:7?j0:?1=0<69h1v?7<:18184><3;n<63=94825g=zuk82h7>54;192~"48j095i5`23594?=n:>o4;3:17o<6c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;=1<7j3;n<6s|24g94?5|V;?n70<6c;32e>;51h0:=l5rs5194?4|V=901?7l:03a?xu4;3:1>vP<3:?1=d<69k1v?77:18184>k3;n<63=9c825d=z{;326=4={<0:e?7b82795o4>1c9~yg4f;3:187=56z&04f<5i:1d>?650;9j60c=831b?;4?::k00?6=3k8j>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm2`394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p67>=838pR?<7;<0b5?7b82wx>8k50;1xZ73b348j>7?>a:?1e5<69h1v>850;0xZ60<5;k961`9~w7?a2909w0;5i80:=o5r}c0`0?6=;3:10e7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0ee29K7125<N4<=1b=50;9~f72029086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj:i26=4<:183!57k3>m7E=;4:k25d<722c:=o4?::m2a5<722wi?i:50;794?6|,::h6864H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl0<729q/?=m5599K7125<5<N4<=1b=50;9~f6e7290?6=4?{%13g?353A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3b194?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a615=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5<<0;694?:1y'75e==91C?9:4i03b>5<5<N4<=1b=50;9~f72c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:=l1<7:50;2x 66d2<;0D>:;;h32e?6=3`;:n7>5;h32g?6=3f;n<7>5;|`11a<72=0;6=u+31a95`0<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl=5083>1<729q/?=m5509K7125<5<?7>54;294~"48j0><6F<459j54g=831b=50;9~f73229086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e;0;1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p;5=l02n63=348:f>;5lk02n63<8g8:f>{t:mo1<76t^3ff?84e83;j=63=42825g=::=?1=47e348?j7?>b:?116<69j16>8;510`8yv5c:3:1>vP7a3=9l:0q~=71;292~X40816?n7510c896b328;j70=m2;32e>;4j?0:=l523b2954d52z\0g2=:;jk1=h>4}r1aa?6=:rT8nh523b395`652z\0=h4}r0gg?6=;rT9hn522c295i6k?4=362>7`634=o6l>4=36a>47d348?h7?>a:?10c<69j16>8?510a8973428;j70<:5;32e>{t;k>1<7{t;l>1<7;t^2g7?85d13;:n6347e3ty8oo4?:3y]7fd<5:n?6?o4=3`3>4?a348h87?>a:?2b5<69k16?n>510a8972428;o70<;b;32e>;54c73ty9ml4?:3y]6dg<5:i=6o74=3a7>4c73ty8n=4?:3y]7g6<5:h86a19>3a<58o16>9l510`8yv44<3:18v3=4982a5=:;m>1=47c349h<7?>a:p614=838p1?:8:03b?843;3;n<6s|25694?4|5;><6il50;1xZ7ee348on7l::18185e?3;:563e19~w7522909w0<<5;03b>;5<10:=l5rs362>5<5s48?=7:18584e83;m?63=5c81f4=::?=1>o?4=363>7d634;m<7?>a:?106<69h1v?l=:18684e83;m963=5c81f7=::?=1>o<4=363>7d5348?97?>a:p6g5=839p1?l?:0d4?841?38i?63=4181f6=z{;>j6=4={<07f?7b82799i4>1`9~w7d32908w0;5>>09n95225296g255z?11g<5;9525a897272;>h70<;d;3f4>;5=m0:=o5rs3`6>5<5s48i<7?ib:?105<5j<1v?:j:181843n3;n<63=5e825f=z{;h=6=4={<0a4?7al2798=4=b79~w737290?w0<:b;064>;5>>099=522529606<5;?:6o>51gd897002;h<7p}=5383>6}::

8<4=363>735348>?7?j0:p5`c=83?p1?l?:0c1?87bm38;j63=42825f=::=?1=47f3ty9994?:2y>60d=:<>01?:?:377?842=3;n<6s|37;94?4|5;h;6?>=;<0gf?g73ty8n<4?:3y>7g5=98301>l=:0g3?xu4jo0;6?u23b295`6<5:i869?59b9>611=9l:0q~=60;296~;40o0j<63<9082a5=z{;?n6=4l{<06a?47n279:i4=5d9>625=:i63=84811`=::1h1>8k4=3;2>73b3482;7<:e:?1=a<5=l16>l=524g896?628;27p}=5g83>7}::52z?2a`<>k27:j=4>e19~w73d290?w0<:b;06g>;5>>099n52252960e<5;?o67}:::?1m=52252966052z?10<<58o16>n:510`8yv42>3:1>v3=488b4>;5=k099;5rs2a;>5<5s49hm7?>9:?0g<<6m91v?<7:181[450279m>4=299'6c6=:8o0b?ki:39~w7402909wS<=7:?1=a<5:>1/>k>520g8j7ca2:1v?<9:181[45>2795:4=279'6c6=:8o0b?ki:59~w7422909wS<=5:?1=4<5:<1/>k>520g8j7ca2<1v?<;:181[45<2794o4=259'6c6=:8o0b?ki:79~w7442909wS<=3:?1<0<5::1/>k>520g8j7ca2>1v?<=:181[45:279;k4=239'6c6=:8o0b?ki:99~w7462909wS<=1:?13<<5:81/>k>520g8j7ca201v?4=219'6c6=:8o0b?ki:`9~w77d2909wS<>c:?12a<59j1/>k>520g8j7ca2k1v>650;0xZ6>5<4sW9?7S<>f:?1e6<4<2.9j=4<7:l1ac<53ty8?7>52z\07>;51m08?6*=f1803>h5mo087p}<2;296~X4:2795:4<2:&1b5<4?2d9ik4;;|q05?6=:rT8=63=90805>"5n908;6`=eg86?xu483:1>vP<0:?152z\1b>;50<09j6*=f1803>h5mo0<7p}=e;296~X5m279;k4=e:&1b5<4?2d9ik47;|q1`?6=:rT9h63=7881`>"5n908;6`=eg8:?xu5k3:1>vP=c:?136<5k2.9j=4<7:l1ac52z\1f>;5>m09n6*=f1803>h5mo0i7p};3;296~X3;2795i4;3:&1b5<4?2d9ik4l;|q76?6=:rT?>63=96876>"5n908;6`=eg8g?xu393:1>vP;1:?1=4<392.9j=4<7:l1ac52z\74>;50k0?<6*=f1803>h5mo0m7p}0:p7`<72;qU?h5226d97`=#:o:1?:5a2dd954=z{:n1<72:n0(?h?:258j7ca2880q~=l:181[5d348"5n908;6`=eg820>{zj:=j6=4>3;04>63|D:>=6h6:5:8 6`?2<1v(>>l:01g?l>a2900e<=::188m43b2900e<<6:188m6>62900c:k50;9j3g<722e::=4?::k0e6<722c:?h4?::m22a<722c8i94?::k0gg<722e:9>4?::m1f<<722e85l4?::m2=4<722e?87>5;n6`>5<3;pD5;n3e7?6=3f;m97>5;n3e3?6=3f;m57>5;n3ef?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3b4?6=3`;j=7>5;c327?6=j331jvB<4782M7f;2w/?=m521;8m4?c2900e<7j:188m4?a2900e6}K;=<1=vF>a29~ 66d2mh0el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srbd594?5=939p@>:9:0yK5d55<50z&04f08j95ri9c94?=ni90;66a=0g83>>{t1k0;6?uQ9c9>3?>f3ty:hl4?:3y>3?47n2T95<5sWk;7095a19~ygc1290?6?4:{%13g?c13`nh6=44i0c2>5<>db83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjml1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wxhn4?:3y]`f=:m90:=l5rs0c2>5<5sW;j=63j0;32f>{tm>0;6?uQe69>`c<69k1vij50;0xZab<5ml1=h>4}rff>5<5s4o;647f3twij:4?:985>a}#;9i1j:5f18f94?=nlj0;66gj7;29?l7f93:17d?6e;29?l7>n3:17d?n0;29?jc?2900nk=50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17plja;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`fa?6=<3:1N4<=1b=50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pli2;292?6=8r.8e`9K7125<5<5<52z\2=a=:n:0:=o5rsea94?3|Vmi01k=510c89`g=98k01hk510`89c6=98h0q~k8:187[c034oj647e34on6;a83;:h6s|1`294?4|V8k;70h?:03b?xub03:1>vPj8:?e6?7b82wxi44?:3y>b6<6m916j?4>1`9~w`g=838p1ho51d289c4=98h0q~km:1818cd28o;70h=:03`?xubl3:1>v3je;3f4>;a:3;:h6s|eg83>7}:n90:i=52f3825`=zuk;m87>53;294~"48j0?i6F<459j54g=831b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm1g:94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`2bd<72:0;6=u+31a90c=O;=>0e50z&04f<6m:1C?9:4i03b>5<5<76a34o=6im4=g59`f=:9o>1=47e34;m47?>b:?2bd<69k16=km510`8yvc02903w0k8:32e?8c12l=01k95e69>5c2=98h01f`825d=:9oi1=;a?3;2i6s|18d94?4|V83m70h8:0;e?xu6i90;6?uQ1`289c1=9h:0q~?n1;297~X6i816i;4>a09>b2<6i81v4k50;1xZ{t9o91<770?i6;3f4>{t9o=1<7{t9oh1<77}:lj0j<63j6;fg?xub03:1>v3j7;c3?8`02l20qp}6b;296~X>j27:=>46e:p5c5=838pR34;:?7?i9:p5cd=838pRm2wx=4h50;0xZ4?a34;:?7?6f:p5d6=838pR0q)=?c;3bg>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi=nk50;696?2|D:>=6h7:59'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4=:183!57k3;946F<459j54?=831d=h>50;9~w{t:9l1<7v38:`28963b28o;7psm9983>1<62:qG?9851zJ2e6=zD:><65u+3g:90>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>4ga3`3i6=44i`294?=h:9l1<75f2g394?=e?3:1?7?53zN003<6sA;j?6s+31a95d?5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twx5o4?:3y]=g=:?33i7p}=0g83>7}Y:9l01:4=0g9~w7`62909wSd655;091~J4=92.8ii46c:l0a<<63-9m?7=?b:l0ag<63t.8b19j=g<722c2o7>5;hc3>5<5<0;684=:4yO710=9rB:m>5r$22`>4g>3`k;6=44o32e>5<>o>;3:17o950;794?6|,::h6io4H267?l>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;j510`8yv47n3:1>vP=0g9>3?47n2wx?=l50;1xZ66e34=1m=5237f954g52z?4>7;tL265>4}O9h90qA=;7;3x 6`?2=1v(>>l:0cg?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f4??290>6?4:{M172?7|@8k87pB<4682!5a03>0q)=?c;3b`>of83:17b>{e;=?1<7?50;2x 66d28;37E=;4:m252<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3ge?6=:r78884>169>3??43ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg7183:1?7?53zN003<6sA;j?6sC35595~"4n10?7p*<0b82g==ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k654:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm14194?3=:3?p@>:9:0yK5d51=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb0;2>5<22;0>wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1vk2d8i44>;%1e6?4a92d8il4?;|&04f<6j81b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>6<0sE9?:7?tH0c0?xJ4<>0jw)=i8;68 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?8k50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<6c83>6<729q/?=m51d68L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yv?d2909wS7l;<15f?76j2wxm=4?:3y]e5=:;{t:o;1<7=t^3d2?852m3;:m63<6c825d=z{:?o6=4={<59e5=:;4}r15e?6=:r7<64m4=24a>4c73twi;h4?:480>2}K;=<1=vF>a29~H6202hq/?k654:&0b3<4?=1/?hk5a19'7c6=:9l0(>kl:8`8j6c?281/?hj59b9m7`?=92.8j?4=f09m7`g=82w/?=m51c08m5;h0e5?6=3k=1<7;52;7xH62128qC=l=4}%13g?7f12cj<7>5;n03b?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9;o7jn;I170>o?m3:17d7<:188m=g=831bm=4?::m14c<722wi?9;50;394?6|,::h6i69>0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7ci3:1>v3<448252=:?3387p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9>i7>53;294~"48j0?h6F<459j54g=831b=8m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d70c=98k01>8m:03b?xu4=m0;6?u27;c3?852m3;n<6s|37c94?4|5>02o63<6c82a5=zuk;=n7>57;79f~"48j0::o5`17194?=n:9n1<75f4b83>>o6>80;66g=0`83>>o58k0;66g>3583>>d6>h0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a53?=83>1<7>t$22`>4c13A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66s|17194?4|V8<870?99;3f4>{t:9n1<73;:m6s|4b83>6}Y6083>7}Y9?;01<8n:03a?xu58h0;6?uQ21c8940>28;i7p}=0c83>7}Y:9h01<87:03a?xu6;=0;6?uQ1268940?28;j7p}>6583>7}:9?k1=h>4=046>47e3ty:hl4?:3y>533=9l:01<89:03a?xu6lk0;6?u217495`6<58<2628;h7psm16794?2=;3>l:056?j7083:17d:l:188m41d2900e>k;:188f41329086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e9>81<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th:;>4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}>7183>7}Y9>:01<9<:0g3?xu3k3:1?vP;c:?231<69h16=:<510c8yv70k3:1>vP>7b9>522=98h0q~=j4;296~X4m=16=:<510`8yv7093:1>v3>7582a5=:9>91=e19>525=98h0qpl>5883>1<42?q/?=m514;8k4332900e9m50;9j50g=831b?l=50;9a50>=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd6=?0;6>4?:1y'75e=5<5<;7>53;294~"48j0:i>5G3568m47f2900e1`9~w43f2909wS?:a:?21=<69k1v>o<:181[5f;27:9;4>1c9~w4322909w0?:8;3f4>;6=>0:=l5rs075>5<5s4;>:7?j0:?212<69k1vqo?67;290?5=>r.8969l5<4=831b8n4?::k2==<722c8oo4?::`2=3<72:0;6=u+31a90a=O;=>0e<3:1?7>50z&04f<3n2B8895f10c94?=n98h1<75`1d294?=zj83>6=4<:183!57k3;n?6F<459j54g=831b=:27:584>e19~w1e=839pR9m4=0;5>47f34;287?>a:p5<>=838pR<77;<3:2?76j2wx?nl50;0xZ6ee34;287?>b:p5<5=838p1<79:0g3?87>=3;:m6s|18694?4|583?65<7s-9;o7?j7:J001=n98k1<75f10`94?=n98i1<75`1d294?=zj:3i6=4;:183!57k3?:7E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a52c=83<1<7>t$22`>0?<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7b83:17pl6a;297?6=8r.85<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a5=5=83?1<7>t$22`>00<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5k:0;6>4?:1y'75e=5<5<54;294~"48j0:i;5G3568m47f2900e50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb05:>5<3290;w)=?c;3f<>N4<=1b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm16`94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a5c4=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e91=1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi=i>50;094?6|,::h6<<7;I170>o6900;66a>e183>>{e9t$22`>44?3A9?86g>1883>>i6m90;66sm16594?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wi=5;50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t0o0;620h01<=;:8`8941d20h01=1k168n46b:?21d<>j27:5546b:?225<>j27::i46b:?70??e34;>?77m;<3:5??e34;ni77m;<3;5??e34=n64l4}r301?6=;rT:?8527d8b4>;60<0:=45rs07f>5<5sW;>i63=c2825g=z{8826=4={_31=>;6;=0j<6s|39394?4|V:2:70=6b;32e>{t?l0;6?uQ7d9>3`<58o1v:l50;:xZ2d<5;:26<7j;<3fa?4a927:4<4=f09>3`<5n816=:k510a8940528;h70?89;32e>{t9?:1<7;6=j0:=45rs01f>51=47e34;e:?2b5<69h16=;<510`8941>28;i7p}>6e83>7}Y9?n01<8k:32e?xu4m=0;69uQ3d68941d2h:01<9::2g7?85>j3;:o6s|3b`94?5|V:ii70?67;1`f>;61h0:=45rs070>5<5sW;>?63>52814c=z{;h26=4={_0a=>;5k=0:i=5rs2;b>5<5sW92m63<9c82a5=z{83:6=4={_3:5>;61809019:521d8yv2d290hwS:l;<03=?7>l27?o71c9>5=5=98h01?m<:03b?871n3;:m6s|19694?4|582:6l>4=0:6>4c73ty:5?4?:3y>5<7=i916=4951808yv70l3:1?v3>7b8:g>;6?l0:i=521e2954?52z?22c<6m916=:l510c8yv74<3:18v3=0882e4=:9:>1>=h4=04a>45334;3?7?>a:p537=838p1<8m:042?871:3;n<6s|9883>7}:1109j<529`82a5=z{8on6=49{<03=?7f827:ih4=0g9>52c=98h01<6<:03`?871:3;:m63>78825f=z{021<75<5s4;hi7:18187a83;:n63>f382a5=z{;:n6=4={<03=?7aj27:;o4>1c9~w4>52908w0?71;;`?81b20i01<6<:0g3?xu6=k0;6?u214c9e5=:94}r3;2?6=:r7:4>4>1e9>5=1=9l:0q~?86;296~;6>m02o63>7682a5=z{;:j6=48{<03=?7a;27?87o?;<367??d34;2=77l;<35f?47i272m7?>a:?2<5<69h1v<9i:181870m3;:h63>8182a5=z{;:i6=4<{<03=?7a=27::o4=0c9>5=6=98h0q~=751g;8940e2;:o70?i2;32e>;60>0:=l5rs05`>5<5s4;{t9jo1<7:t=0af>76a34>h6>>m;<36e??d34;2477l;|q21d<72;q6=8o521d8943>28?j7p}>5583>7}:9<91m=5214;950252z?22a719~w4042909w0?90;c3?871j3;=?6s|16:94?4|58=26n<50;1x97e328;h70;6n;0:=o5rs05b>5<5s4;03k;70?6a;3f4>{t9021<776a34;2;7?68:p5=7=838p1<6>:32e?84d<3;:n6srb25:>5<6=39n68:tL265>4}O9h90qA=;7;0xj6`>2<20(>h7:49~ 66d289h7W6=44i2ff>5<5<5<k3:17b:;:188k6b12900n>:::182>5<7s-9;o7?>8:J001=h98=1<75rb0:;>5<683;1==uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51048m=<50;9j550;9j5d7=831b=l<50;9a545=83;;6i4>4zN003<6sA;j?6s+31a95=>5<5<5<4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1v5<42808wA=;6;3xL4g43t.85;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd68<0;6>4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<79:180>4<4sE9?:7?tH0c0?x"48j0on6gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|`242<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj8:36=4<:080I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712=652z\b4>;02h:0qpl>0c83>6<62:qG?9851zJ2e6=z,::h6il4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m;6sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~fc1=83=197lt$22`>c15<5<5<1<729q/?=m5519K7125<5<0e5<7s-9;o7;?;I170>o69h0;66g>1c83>>o69j0;66a>e183>>{en90;684?:1y'75e=9l30D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3ty:ji4?:4y]5cb<5o;1=55z\2=`=:n80:=o52e`825g=:mj0:=o52ed825g=z{8k:6=4={_3b5>;a93;:o6s|1`094?4|V8k970kn:03`?xu61o0;6?uQ18d89`e=98i0q~?n0;296~X6i916ih4>1b9~w`>=838pRh64=g295`64c734l;6af<6m916j=4>1b9~w`b=838p1hk51d289c6=98n0qpl>0583>=<42hq/?=m51168m4`c2900e<7i:188m4g72900e:188m4g52900e<7j:188m4`e2900ck750;9ab`<72?0;6=u+31a91g=O;=>0e5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66smfe83>1<729q/?=m51d48L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3ty:ji4?:2y]5cb<5oo1=52z\2=c=:nl0:=o5rs0c3>5<5sW;j<63ie;32e>{t9h;1<7a383>7}Y9h801kk510f8yv7>m3:1>vP>9d9>bg<69h1vc:pb<<72;qUj452fe82a5=z{ok1<75<5s4li647e3twi=;h3e7?6=3`;2i7>5;h035?6=3`;2h7>5;n33g?6=3k;:<7>53;294~"48j0?h6F<459j54g=831b=j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm11d94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wx=k=50;1xZ4`434;:<7?>a:?24`<69k1v<7j:181[7>m27:==4>1c9~w7662909wSl27:

1`9~w46d2909wS??c:?24c<6m91v<>k:18187683;n<63>0g825d=z{8:n6=4={<33a?7b827:1b9~yg77i3:187>50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb320>5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v<7k:180[7>l27:=<4>9e9>55g=98h0q~?6e;291~X61l16j:4>9d9>552=90o01:0;f?877i3;:m6s|18d94?5|V83m70h8:0;e?877<3;2j6s|1`294?5|V8k;70h8:0c3?877<3;j<6s|1`394?5|V8k:70h8:0c2?877<3;j=6s|1`094?5|V8k970h8:0c1?877<3;j>6s|9d83><}Y1l16hn46b:?f3??e34l364l4=026>54z\2b6=:99h1>=h4=032>4`434;;m7?>c:p5c3=839pRfc9~w4`c290>wS?id:?e==510;8yv7an3:1?vP>fg9>`f>;76a34;:=7=;<037?7b82wxi54?:3y>a23ty:<44?:3y>55>=i916==o51d28yv77k3:1>v3>0c8b4>;6980:5<5sW3i70?>3;;f?xu6n:0;6?uQ1g18947428l87p}>f483>7}Y9o?010;6?uQ1g58947428l<7p}>f883>7}Y9o301fe83>7}Y9on017}Y:9;019e83>7}Y90n019g83>7}Y90l01a083>7}Y9h;01p@>:9:0yK5d56=z,::h65<50z&04f08j95ri9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d653;397~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rs8`94?4|V0h01:47a:p5ag=838p1:4=0g9]65`52z\b4>;02h:0qpl<1c83>6<62:qG?9851zJ2e6=zD:><6{#;9i1=n64i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a<3<72:0:6>uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51b:8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e:h=1<7=51;1xH62128qC=l=4}M173?7|,:l36>5r$22`>4e?3`k;6=44o32e>5<j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6h7:29~ 66d28ki7do?:188k76a2900e4l50;9a3?6=;3:1N4<=1b4l4?::kb4?6=3f8;j7>5;|`:b?6=:3:10e4c73ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg56i3:1?7<54zN003<6sA;j?6sC35595~"4n1087p*<0b82eg=ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831vn4h50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t1k0;6?uQ9c9>=c<6901ve19~w4be2909w09521d8Z76a3ty:hn4?:3y]e5=:?3k;7psm8483>6<52=qG?9851zJ2e6=zD:><6{#;9i1=ll4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;k=6=4<:387I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712>i58o0;66sm9g83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3ty2n7>52z\:f>;>n3;:56s|1ec94?4|5>03m636f;3f4>{t9mh1<74}|`g2?6=;3818vB<4782M7f;2wG?9951z&0b=<43t.8ac9je5<722e9:;;h:b>5<5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twih:4?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmbg83>=<52mqG?9851zJ2e6=zD:><65u+3g:97>"4n?08;?5+36797cg<,:l;6?>i;%1fg??e3g9n47?4$2d1>7`63g9nm7?4}%13g?7f?2P357?t1`8~^7cb2;q:o7?j:|k1b7<722c2n7>5;h0e5?6=3f8:97>5$32;>7733g8;;7>4;n027?6=,;:36??;;o033?7<3f8:>7>5$32;>7733g8;;7<4;n025?6=,;:36??;;o033?5<3f8:<7>5$32;>7733g8;;7:4;c594?b=>3op@>:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9m>7b59Y<<<5s8k1=54rZ3gf>7}6k3;>6pg=f383>>o>j3:17d7:337?k47?3:07b<>3;29 76?2;;?7c7:337?k47?3807b<>1;29 76?2;;?7c7:337?k47?3>07do9:18'65>=i<1e>=950:9je1<72-8;47o:;o033?7<3`k86=4+21:9e0=i:9=1>65fa383>!4703k>7c=65a49m651=<21i;7>55;193~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09'7c5=;9h0b>km:09~ 66d28h=7d7m:188md6=831d>=h50;9j75d=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8;=4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}rc3>5<5sWk;70=80;32f>{t:9l1<7510c8yv4a93:1>vP=f09>70c=98k0q~=9f;296~;4=l0:=o5236295`6h7>52z?4>d6<5:?n6kl:8`8j6c?281/?k<52g38j6cf281v(>>l:0cf?l?e2900el>50;9l65`=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~o?:181[g7349>i7?>b:p65`=838pR?>i;<5965`52z\1b4=:;4=27f>4c73twih7>54;091~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09~ 66d28kn7d7m:188md6=831d>=h50;9j6c7=831i;7>53;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm34g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yvg72909wSo?;<16a?76j2wx>=h50;0xZ76a34=1>=h4}r0e5?6=:rT9j<5234g954gh7>52z?4>d6<5:?n6:8:6y'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf82789h4>1c9~w76a2909wS76a3ty9j<4?:3y]6c7<5:?n6=6h9:257?!5bm3k;7)=i0;03b>"4mj02n6`>of83:17b=60e5o50;9je5<722e9X58o1vN4<=1b=50;9~w{ti90;6?uQa19>70c=98h0q~;<16a?76i2wx?8j50;0x92e19~yg46j3:1?7>50z&04f<6m:1C?9:4i03b>5<5<7>53z\1b7=:?39;n63=1c825g=z{0h1<78t^8`892<>j27364l4=e8:f>;6:33i70?<:8`8yv4a93:1?vP=f09>3?4a9279=o4>1`9~w77f290>w0652g389a<5n816=?4=f09>56<5n816>vP=149>56<58o1/>k>52068j7ca291v??<:181[46;27:>7hh51:p644=838pR??=;52z\154=:038;j6*=f18151=i:ll1?6s|20294?4|V;;;709521d8 7`72;;?7cd6<,;l;6l;4n3ge>5=z{h>1<7k>5a49m6``=92wxm>4?:3y]e6=:l3k;7)7}Yi816;7o?;%0e4?g23g8nj7:4}|`2`7<72h036iuC35495~N6i:1v@>:8:4y'7c0=;?o0(>ki:c18 6`d211/?k?52d28 6`e2>1v(>>l:0c6?_>>2;q:n7?6:|X1a`<5s8n1=;4ro3g5>5<#:921>h;4n324>5=5<#:921>h;4n324>7=54o3g2>5<#:921>h;4n324>1=h58>0;76gm7;29 76?2k20b?>8:098mg0=83.9<54m8:l142<532ci97>5$32;>g>54ic694?"5810i46`=0687?>d6l:0;6>4>:2yO710=9rB:m>5r$22`>4ec3`h:6=44ic094?=h:l:1<75m34294?5=;3?p(>>l:273?ld62900eo<50;9l6`6=831i?9j50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4d83>6<729q/?=m54d9K7125<0e;<17`?76j2788h4>1`9~wg4=839pRo<4=26g>47f349?i7?>b:p6`6=838pR?k?;<17b?7b82wx?9m50;0x962c28o;70=;f;32e>{t;=n1<74c7349?j7?>b:~wg7=838pRo?4=273>g77}Y:l:01>;?:3g3?x{e9m>1<7=51;1xH62128qC=l=4}%13g?7dl2ci=7>5;h`1>5<5<42:0>w)=?c;164>oe93:17dl=:188k7c72900n>:k:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`00c<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xue93:1?vPm1:?00a<69k16?9k510c8yvd52908wSl=;<17`?76i2788h4>1c9~w7c72909wS:l:181853l3;n<63<4g825d=z{:>o6=4={<17a?7b82788k4>1c9~yvd62909wSl>;<164?d63tyi>7>52z\a6>;4=90i>6s|2d294?4|V;o;70=:0;0f4>{zj8n>6=4<:080I53>3;pD5;c164?6=;3919v*<0b8015=nj80;66gm2;29?j4b83:17o=;d;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a71`=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{tj80;6>uQb09>71b=98h01>:j:03b?xue:3:1?vPm2:?00a<69h16?9k510`8yv4b83:1>vP=e19>71`=9l:0q~=;c;296~;452z?00`<6m916?9h510`8yxue93:1>vPm1:?0155<5sW8n<63<5181a5=zuk;o:7>53;397~J4>i5m90;66l<4e83>6<729q/?=m54d9K7125<N4<=1b=50;9~f62a29086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=z{k;1<7=t^c38962c28;i70=;e;32e>{tj;0;6>uQb39>71b=98k01>:j:03a?xu5m90;6?uQ2d28962a28o;7p}<4b83>7}:;=n1=h>4=26e>47f3ty88i4?:3y>71c=9l:01>:i:03a?x{tj80;6?uQb09>706=j81vo<50;0xZg4<5:?;6o<4}r0f4?6=:rT9i=5234296`65}#;9i18i5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6`d=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66s|34f94?5|58n?6o?4=27f>4c7349=<7?>b:p70`=839p1{t;?;1<7g7<5:<96h84=0f5>7c73-8m<76?k?;%0e4?4b=2d9ik4>;|q1a6<72;qU>h=4=0f7>7c73-8m<7h?4=3ga>4c73-8m<752z\a=>;6l?0i>6*=f18a<>h5mo0;7p}m7;297~Xe?27:h84m2:?027<69h1/>k>5b99m6``=92wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6o64n3ge>7=z{k?1<7=t^c7894b42k801>;j:03b?!4a83h37ci7?>b:?1ag<6901/>k>5b99m6``=<2wv?h=:181[4a:27<6?h=;|q:f?6=:rT2n638:8`8yv4a93:1>vP=f09>3?4a92wx><;50;1xZ77234=1><;4=0f1>g?<,;l;6??;;o0fb?653z\156=:?38:?63>d38a3>"5n909=95a2dd95>{t:881<7=t^331?81=:88013?46927:h?4m5:&1b5<59=1e>hh53:p646=839pR???;<59646<58n96o:4$3d3>7733g8nj7:4}r167c13-8m<7=:7:l1ac<73ty89;4?:3y>3?g334;o>71e>hh51:p703=838p1:4n3:?2`7<5m:1/>k>53458j7ca2;1v>;;:18181=i;16=i<52d08 7`72:?<7cv38:`3894b52;o:7)h5mo0?7psmd183>6<62:qG?9851zJ2e6=zD:><6{#;9i1=o94i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f6b1290>6>49{M172?7|@8k87pB<468b!5a0390(>h9:257?!5bm3k;7)=i0;03b>"4mj02n6`50;9l65`=831b>k?50;9a3?6==3819vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722c2o7>5;h;0>5<0;684?:1y'75e=lh1C?9:4i9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<03i6s|1ec94?4|5:>>6db83>7}Yi916;7o?;|a70c=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm37`94?5=83:p(>>l:0g7?M53<2c:=l4?::k25g<722e:i=4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?02g<69h1v?>i:181[47n27<6?>i;|q1b4<72:qU>k?4=27f>47>349=n7?>b:p70b=838p1:4n0:?01`<6m91v>8n:18181=1j16?;l51d28yxd6:00;6>4>:2yO710=9rB:m>5rL264>4}#;o21?6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm12794?3=:3?p@>:9:0yK5d56=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb2;4>5<42;0?wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<0;6>4?:1y'75e=l01C?9:4i9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d66}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm39394?5=:3>p@>:9:0yK5d56=z,::h65<50z&04f>of83:17bn3:1>7>50z&04f<6:11C?9:4i03:>5<5<5sW3i707i:03:?xu6lh0;6?u27;:b?8?a28o;7p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9j?7>53;090~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rb8d94?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx5o4?:3y]=g=:1o0:=45rs0fb>5<5s4=14l529g82a5=z{8ni6=4={<5965`db83>7}Yi916;7o?;|a7fd=8391>7:tL265>4}O9h90qA=;7;3x 6`?2:1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6309l57e=831b=5750;9j53e=831b=5o50;9j5=d=831b=5m50;9j5=b=831b=5k50;9j57g=831i=>>50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl>2d83>6<729q/?=m54d9K7125<0evP>2b9>57`=9l:0q~?79;296~X60016=>>510c8yv71k3:1>vP>6b9>566=98h0q~?7a;296~X60h16=?h510`8yv7?j3:1>vP>8c9>57`=98i0q~?7c;296~X60j16=?h510f8yv7?l3:1>vP>8e9>57`=98o0q~?7e;296~X60l16=?k510`8yv75i3:1>vP>2`9>57c=98k0q~?=d;296~;6;90:i=5213d954g52z?26`<6m916=?h510d8yxd3j3:147<59z&04f<3j2e?;7>5;h3;=?6=3`;=o7>5;h3;e?6=3`;3n7>5;h3;g?6=3`;3h7>5;h3;a?6=3k>j6=4<:183!57k3>o7E=;4:k25d<722c:=o4?::m2a5<722wi844?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p};7;296~X3?27?57?j0:p5=?=838pR<66;<6b>47f3ty::n4?:3y]53e<5=k1=;313;:o6s|19a94?4|V82h70:6:03g?xu60m0;6?uQ19f891?=98o0q~?7e;296~X60l16844>1g9~w1>=838p19o51d2891?=98k0qpl=a483>6<729q/?=m54e9K7125<N4<=1b=50;9~f=2=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xdfk3:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjj=1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3thh57>53;294~"48j0?i6F<459j54g=831b=b;29?j7b83:17pl1<729q/?=m5519K7125<5<53;294~"48j0:i>5G3568m47f2900e5<7s-9;o7;l;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>e183>>{ekm0;6;4?:1y'75e==01C?9:4i03b>5<5<5<5<1290;w)=?c;7a?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2a5<722wi?il50;494?6|,::h6874H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17b?j0;29?xddn3:1?7>50z&04f<6m:1C?9:4i03b>5<5<55;294~"48j0:i45G3568m47f2900e5<2290;w)=?c;7;?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a56d=83>1<7>t$22`>4c03A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3`094?1=83:p(>>l:0ga?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::k25c<722e:i=4?::a7t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd40>0;6;4?:1y'75e=9lk0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;n<7>5;|`0ea<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xd4i>0;6>4?:1y'75e=5<5<55;294~"48j0:i45G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::af5<72;0;6=u+31a957><@:>?7d?>9;29?j7b83:17pl<1e83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th347>52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52z\270=:9:?1>=h4}r1ga?6=:rT8hh5230f954?7>52z\0=7=:j90:=45rs0;`>5<4sW;2o63<9d825d=:;h=1=45213;965`<5:n2676a3tyii7>52z\aa>;c>3k;7p}7}Y;j>01?o6:03:?xu6>90;6?uQ1728940d2h:0q~=78;296~X40116?9;51058yv>d290>wS6l;<3;m27o:77m;<31f?76i27:?o4>1`9~w=d=83;;49h02n6375;;a?84f>33i70j8:8`89g`=1k16h=46b:?0`3<>j27:>446b:?70??e34;8977m;<1:3??e3492o77m;<1;5??e349j?77m;<1`f??e3ty8m>4?:3y]7d5<5:k86?>i;|q21a<72;qU=8j4=2c:>47f3ty8oo4?:3y]7fd<5:ii6?>i;|q2=g<72;qU=4l4=2ae>47f3ty8444?:3y]7=?<5121=<74}r1:3?6=:rT85:52385965`52z\0=f=:;0i1>=h4}r67>5<5sW>?70:;:32e?xu4l?0;6>uQ3e4896b12;:m70j=:03b?xuc;3:1>v3k2;32f>;c<3;n<6s|3`394?4|5:k965=>=90l01lm51d28945428;j70?<7;32e>{t;jo1<74c7349o=7?>9:p`3<72:q6h;4=0g9>`2a:p74g=838p1>?n:32e?85613;:n6s|12094?4|589865=>=9h;015:51d28945428;h70?<7;32g>{tl80;6?u2d18b4>;c:3;n<6s|30`94?4|5:;i6?>i;<12=?76i2wxmk4?:2y>e`4c73tyh:7>52z?ab?4a927h;7?j0:pg=<72:q6nk4=f39>g2<69h16o44>e19~wfc=838p1n9510`89f`=9l:0q~=76;296~;40>0:i=52397954?6=4={<:6>76a342?628o;7p}k7;296~;c?38;j63l9;32f>{t9:21<77}:;h31=h>4=2ca>47>3tyjh7>52z?b`?47n27jo7?>b:p<3<72;q64;4=0g9><1<69h1v>77:18185>?3k;70=69;3f4>{tl90;69u219:95d6<5m:1>=h4=010>47e34;8;7?>b:p53e=83>p1<67:0;g?871k38;j63>30822f=:=h4=`a954g52z?1e3<58o16>l;510`8yv5>l3:1>v3<9d82a5=:;h:1=<74}r3:4?6=:r7:454=039>56d=98i0q~=>c;297~;49k0j<63<1`8b4>;49m0:i=5rs00`>5<5s4;957o?;<305?75k2wx>l950;0x97g02;:m70{t;821<74c7349o57?>c:p02<72;q6894n0:?7f?203tyhm7>53z?`f?7b827hj7?>a:?0`a<6901vnm50;0x9fb=9l:01nh510`8yv7?13:1?v3>8982b6=:9:;1=574=5`95=?56z?2<=<6n<16=>?519c891d=91k01>o=:03b?85??3;:m638c9>0g<60k16?l<510`896>028;i70=nd;32f>{t91i1<78t=0:;>4`>34;8=7?7c:?7f?7?k278m?4>1b9>7=1=98i01>oi:03a?xu60m0;6:u219:95cd<589:6<6k;<6a>4>c349257?>a:?0e7<69m16?59510f896ga28;h7p}>8d83>2}:9121=kj4=012>4>b34>i6<6j;<1:=?76j278m?4>1d9>7=1=98o01>oi:03g?xu60o0;6?u219:95c`<5:k967a7=9l:0q~0j<63=a78b4>;5i00:i=5rs2:7>5<5s493=7o?;<1;1?7b82wx4:4?:5y><37ab=9l:0q~=nc;296~;4im0:i=523`5954d53z?0ec<6m916?l7510`896ea28;i7p}7}:;h91m=523``95`654z?2<=<6i;16>l;51d28945428;o70?<7;32`>{t9:<1<74c734;8n7?>b:p57g=838p1<=>:00b?875j3;n<6s|12c94?4|589i6o?:0g3?xu4lh0;6?u23e;954g<5:ni65:?`f?76m27hh7?>e:?g0?76m278ho4>1`9'6c6=k=1e>hh50:pg6<72gg<69m16oi4>1`9>`1<69m16?il510`8 7`72j>0b?ki:09~wf4=83?p1oh520089fd=98i01nj510`89a2=98i01>jm:03f?!4a83i?7cw0li:332?8ee28;i70mk:03`?8b328;i70=kb;32g>"5n90h86`=eg80?xud83:19v3mf;024>;dj3;:m63ld;32`>;c<3;:m63{zj:=:6=48:b822~J4>k:188k6752900e5j50;9a6fe=8331>7?>{M172?7|@8k87pB<4685!5a03:0(>h9:251?!50=39mm6*{#;9i1=l64Z9;95~422tP9ih4={3596d=h:8=1<7*=09815==i:9=1=65`20494?"58109=55a21596>=h:8?1<7*=09815==i:9=1?65`20194?"58109=55a21590>=h:881<7*=09815==i:9=1965`20394?"58109=55a21592>=h:8:1<7*=09815==i:9=1;65m7;2954:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63t.8b89Y<<<5s;?1>>4rZ3gf>7}5?38:6pg6b;29?j4613:1(?>7:33;?k47?3:07b<>7;29 76?2;;37c3:1(?>7:33;?k47?3807b<>5;29 76?2;;37c7:33;?k47?3>07b<>2;29 76?2;;37c7:33;?k47?3<07b<>0;29 76?2;;37c=65a89m651=821bm54?:%033g8;;7?4;hc4>5<#:921m45a21596>=ni?0;6)=i01e>=954:9je6<72-8;47o6;o033?3<3`k96=4+21:9e<=i:9=1:65fa083>!4703k27c4}O9h90q)=?c;3ae>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d63;pD5;c594?5=83:p(>>l:e;8L6233E9?:7?t$004>6`33tc3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmd;297?7=;rF88;4>{I3b7>{#;9i1=om4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f44=8391=7=tL265>4}O9h90q)=?c;3a`>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d653;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srb0694?5=939p@>:9:0yK5d55;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd6n3:1?7?53zN003<6sA;j?6s+31a95f75<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<750;195?5|D:>=66gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|q:f?6=1rT2n638:8`89=<>j27o64l4=009=g=:9:02n63>4;;a?87a20h01?>59c9~w77>2909wS<>9:?14?47n2.9j=4=199m6``=82wx><950;0xZ77034;m6?>i;%0e4?4602d9ik4>;|q153<72;qU><84=06965`<,;l;6??7;o0fb?452z\150=:9:095rs330>5<5sW8:?63>2;03b>"5n909=55a2dd90>{t:881<71;296~X5981647hh56:p646=838pR???;<5965`<,;l;6??7;o0fb?1<58l1m=5+2g29e<=i:ll1=6s|a683>7}Yi>16=94n0:&1b53;c3?!4a83k27cd6<,;l;6l74n3ge>1=z{h91<77>52z\b6>;?2h:0(?h?:`;8j7ca2?1vl?50;0xZd7<5>0j<6*=f18b=>h5mo0<7psm1e094?772l0::vB<4782M7f;2wG?9955z&0b3<4>l1/?hh5b29'7ce=02.8j<4=e19'7cd=?2w/?=m51`48^=?=:r8=6?:5}[0fa?4|:009>7s`2dc94?"58109i45a21594>=h:l21<7*=0981a<=i:9=1=65`2d594?"58109i45a21596>=h:l<1<7*=0981a<=i:9=1?65`2d694?"58109i45a21590>=h:l91<7*=0981a<=i:9=1965`2d094?"58109i45a21592>=h:l;1<7*=0981a<=i:9=1;65fbe83>!4703hh7c=65bb9m651=921bnl4?:%035<#:921nn5a21597>=nj>0;6)07dl9:18'65>=jj1e>=955:9jf0<72-8;47ll;o033?0<3`h?6=4+21:9ff=i:9=1;65m1e194?5=939p@>:9:0yK5d55<<6gm1;29?ld52900c?k?:188f62c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e;=o1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th88k4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}m1;297~Xe92788i4>1c9>71c=98k0q~l=:180[d5349?h7?>a:?00`<69k1v?k?:181[4b82788k4>e19~w62d2909w0=;d;3f4>;45<5s49?i7?j0:?00c<69k1vq~l>:181[d6349><7l>;|qa6?6=:rTi>63<518a6>{t:l:1<75<42808wA=;6;3xL4g43t.8ce9jf4<722ci>7>5;n0f4?6=3k9><7>53;191~"48j089=5fb083>>oe:3:17b50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=zj:>n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|b083>6}Yj816?9j510`8962b28;j7p}m2;297~Xe:2788i4>1`9>71c=98h0q~v3<4e82a5=:;=l1=e19>71`=98h0qp}m1;296~Xe92789=4m1:pf7<72;qUn?523429f7=z{;o;6=4={_0f4>;4=909i=5r}c3g1?6=;3;1?vB<4782M7f;2w/?=m51bf8mg7=831bn?4?::m1a5<722h89=4?:280>0}#;9i1?8>4ic394?=nj;0;66a=e183>>d44?:1y'75e=5<5<53;294~"48j0?i6F<459j54g=831b=:i:180>5<7s-9;o7?j3:J001=n98k1<75f10`94?=h9l:1<75rsc394?5|Vk;01>:k:03a?853m3;:m6s|b383>6}Yj;16?9j510c8962b28;i7p}=e183>7}Y:l:01>:i:0g3?xu4m67}Yj816?8>5b09~wg4=838pRo<4=273>g452z\1a5=:;<:1>h>4}|`2`3<72:0:6>uC35495~N6i:1v(>>l:0ag?ld62900eo<50;9l6`6=831i?8>50;197?3|,::h6>;?;h`2>5<:;;h32e?6=3`;:n7>5;n3f4?6=3th88h4?:283>5}#;9i18h5G3568m47f2900ee29K7125<5<4sWh:70=;d;32f>;4:k:03b?853m3;:n6s|2d294?4|V;o;70=;f;3f4>{t;=i1<74c7349?j7?>a:p71b=838p1>:j:0g3?853n3;:n6srsc394?4|Vk;01>;?:c38yvd52909wSl=;<164?d53ty9i=4?:3y]6`6<5:?;6?k?;|a5a1=8391=7=tL265>4}O9h90q)=?c;3``>oe93:17dl=:188k7c72900n>;?:180>6<2s-9;o7=:0:ka5?6=3`h96=44o3g3>5<o6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9k50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4g83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|qa5?6=;rTi=63<4e825g=:;=o1=5<4sWh970=;d;32e>;45<5sW8n<63<4g82a5=z{:>h6=4={<17`?7b82788k4>1`9~w62c2909w0=;e;3f4>;45<5sWh:70=:0;`2?xue:3:1>vPm2:?015h>50;0xZ7c7349><77>5;n0f4?6=3k9?h7>53;294~"48j0?i6F<459j54g=831b=:j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35d94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::pf4<72:qUn<5235f954d<5:>n663<4e825d=:;=o1=52z?00a<6m916?9h510c8yv53l3:1>v3<4d82a5=:;=l1={tj;0;6?uQb39>706=j;1v?k?:181[4b82789=4=e19~yg7c13:1?7?53zN003<6sA;j?6s+31a95fb>i5m90;66l<5183>6<425}#;9i18h5G3568m47f2900e5<4290;w)=?c;3f7>N4<=1b=50;9~wg7=839pRo?4=26g>47e349?i7?>a:pf7<72:qUn?5235f954g<5:>n6h>4=26e>4c73ty88n4?:3y>71b=9l:01>:i:03b?xu4m652d28yxd4=l0;6>4?:1y'75e=5<5<53;294~"48j0?h6F<459j54g=831b=8=:180>5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm37694?5=83:p(>>l:5f8L6233`;:m7>5;h32f?6=3f;n<7>5;|`023<72:0;6=u+31a90a=O;=>0e50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;oi6=4=:183!57k3;946F<459j54?=831d=h>50;9~w63c2908w0?k4;`2?852m3;n<63<61825g=z{:?m6=4<{<3g1?d6349=<7?j0:?027<69k1v>8>:18087c>3h:70=92;3f4>;4>=0:=o5rs240>5<4s4;o;7l>;<150?7b8278:;4>1c9~w6022908w0?k8;`2?851>3;n<63<69825g=z{:<<6=4={<3g=?d6349=47?j0:p6`g=838pR?kn;<3g=?4b82.9j=4=e89m6``=82wx>h650;0xZ7c?34;o47hh51:p6`1=838pR?k8;<3g3?4b82.9j=4=e89m6``=:2wx>h850;0xZ7c134;o:7hh53:p6`2=838pR?k;;<3g1?4b82.9j=4=e89m6``=<2wx>h=50;0xZ7c434;o87hh55:p6`4=838pR?k=;<3g7?4b82.9j=4=e89m6``=>2wx>h?50;0xZ7c6348nn7?j0:&1b5<5m01e>hh57:pfa<72;qUni521e;9f7=#:o:1nn5a2dd94>{tjk0;6>uQbc9>5a>=j;16?;6510c8 7`72ki0b?ki:09~wgg=839pRoo4=0f4>g4<5:<=65<4sWh270?k6;`1?851<3;:m6*=f18ag>h5mo087p}m7;297~Xe?27:h84m2:?027<69h1/>k>5bb9m6``=<2wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6om4n3ge>0=z{k?1<7=t^c7894b42k801>;j:03b?!4a83hh7ci7?>b:?1ag<6901/>k>5bb9m6``=?2wv4l50;0xZ02n6s|20;94?5|V;;2709520;894b52kn0(?h?:33;?k4bn3:0q~<>7;297~X59>16;7<>7:?2`7<850;1xZ77134=1><84=0f1>gg<,;l;6??7;o0fb?453z\150=:?38:963>d38a=>"5n909=55a2dd97>{t:891<7=t^330?81=:89013?46:27:h?4m6:&1b5<5911e>hh55:p647=839pR??>;<59647<58n96o;4$3d3>77?3g8nj784}r024?6=;rT9==527;024>;6l;0i86*=f1815==i:ll1;6s|34a94?4|5>0jm63>d381ad=#:o:1?8l4n3ge>5=z{:?j6=4={<59e==:9m81>h64$3d3>63e3g8nj7?4}r16=?6=:r7<6l94=0f1>7c03-8m<7=:b:l1ac<53ty8954?:3y>3?g134;o>7hh53:p700=838p1:4n4:?2`7<5m=1/>k>534`8j7ca2=1v>;::18181=i:16=i<52d18 7`72:?i7cv38:`0894b52;o97)h5mo0=7p}<5283>7}:?3k:70?k2;0f5>"5n9089o5a2dd93>{zj;n=6=4::386I53>3;pD4$2d5>6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568H62128q/=?953g68yl>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;l510`8yv47n3:1>vP=0g9>3?47n2wx>k?50;1xZ7`634=1m=5237`954g52z?4>7;tL265>4}O9h90qA=;7;cx 6`?291/?k853668 6cb2h:0(>h?:32e?!5bk33i7c=j8;38 6cc20i0b>k6:19'7c4=:o;0b>kn:19~ 66d28i?7d7m:188m5<22;0>wA=;6;3xL4g43t.8a89je5<722e950z&04f>o>;3:17d6n:188md6=831d>=h50;9~f622290:6=4?{%13g?7602B8895`10594?=z{0h1<7d`83>7}:;=?1=<94=68:7>{t9mh1<74}|`02g<72:0;6=u+31a95`3<@:>?7d?>a;29?l76j3:17b?j0;29?xu>j3:1>vP6b:?4>3?g7349=n7?>a:p73g=838p1:46c:?02g<6m91vqo=>3;291?4==rF88;4>{I3b7>{K;==1mv*39<86*"4n909h4m00;7)=i2;0e5>h4mh0;7p*<0b82g1=n1k0;66g6c;29?lg72900c?>i:188m7`62900n:4?:481>0}K;=<1=vF>a29~ 66d28k27do?:188k76a2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>>l:ec8L6233`2n6=44i8194?=n0h0;66gn0;29?j47n3:17pl<4483>4<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j5:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e;881<7;52;7xH62128qC=l=4}M173?g|,:l36=5+3g49722<,:on6l>4$2d3>76a3-9no77m;o1f4=#;o81>k?4n2gb>5=z,::h65<>i58o0;66g=f083>>d0290>6?4:{M172?7|@8k87p*<0b82e<=ni90;66a=0g83>>o>j3:17d7l:188m<5=831i;7>55;294~"48j0om6F<459j<`<722c2?7>5;h:b>5<>6=4>:183!57k3;:46F<459l541=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2`d<72;q6?9;5105892<>;2wx=il50;0x92<58o1U>=h4}r3gg?6=:rTj<638:`28yxd4>k0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349=n7?>b:p65`=838pR?>i;<5965`53z\1b4=:?3k;70=9b;32e>{t;?k1<7;4>k0:i=5r}c0g`?6=:3:10e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6a>=8331<7>t$22`>4ba3A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>o6:80;66a>e183>>{e;9l1<7650;2x 66d28nn7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:i=4?::a6ag=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm30394?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx>ik50;0xZ7bb348oj7?j0:p6fd=838pR?mm;<0g2??d3ty9hn4?:3y]6ae<5;no6rTo463=cb8:f>;5l?02n63<0e8:f>;49:02n63<138:f>{t;9n1<72;03b>{t0m0;69uQ8e9>75b=:o;01>?<:3d2?856:38m=6s|30194?4|5:;86?>i;<121?76j2wx>i850;1x97b12;:m70;5lo0:=l5rs3f:>5<4s48o:7k279hl4>e19~w6772909w0=?d;;`?85693;n<6s|2e594?5|5:;>6189~w6732909w0=>2;;`?856=3;n<6s|31g94?4|5::m6i;50;1x97ed2;;270;5l10:><5+2g296a25<3s48ho7<>7:?1`c<69j16>i651328966a288;7)h5mo0:7p}=d383>1}::ji1><84=3fe>47c348o47?>f:?04c<69o1/>k>52e68j7ca2;1v?j>:18084dk38:963=d9825`=:;9l1=7b33g8nj7=4}r0g4?6=;r79on4=129>6a>=98n01>>i:03g?!4a838o86`=eg87?xu5ko0;6>u22ba9644<5;n36nk50;1x97ed2;;:70;48o0:=o5+2g296a25<4s48ho7<>0:?1`=<69h16?=h510c8 7`72;n?7c4;3`I53>3;pD2:m0``<722e85?4?::k0<4<722e5;hf;>5<l1<75f8083>>o5jh0;66g=bb83>>o5jl0;66a<8883>>o6:;0;66l:e;297?4={I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;hi6=4::386I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}6c;296~X>k278:o4>1c9~w76a2909wS76a3ty9j<4?:2y]6c7<5>0j<63<6c825d=z{:4}|`1fa<72<0968uC35495~N6i:1v@>:8:`y'7c>=:2.8j;4<759'7`c=i91/?k>521d8 6cd20h0b>k7:09'7`b=1j1e?h751:&0b7<5n81e?ho50:'75e=9k80e4l50;9j=f<722cj<7>5;n03b?6=3`8m=7>5;c594?3=:3?p@>:9:0yK5d55;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5:7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;08 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?;l50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6c825g=z{;:m6=4={_03b>;02;:m7p}=f083>6}Y:o;01:4n0:?02g<69h1v>8n:18181=1j16?;l51d28yxd?83:197<55zN003<6sA;j?6sC3559e~"4n1097)=i6;140>"4ml0j<6*"4mm02o6`>o>k3:17do?:188k76a2900e?h>:188f2<72<0968uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f60e29086=4?{%13g?7b<2B8895f10c94?=n98h1<75`1d294?=z{0h1<7j2wx5n4?:3y]=f=:;?h1={t:o;1<7=t^3d2?81=i916?;l510c8yv51i3:1>v38:8a8960e28o;7psm8383>0<52<6lu+3g:96>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>>of83:17b=64?::`4>5<2290;w)=?c;fb?M53<2c3i7>5;h;0>5<>i58o0;66sm35794?7=83:p(>>l:03;?M53<2e:=:4?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?000<69>16;77<;|q2`g<72;q6;7e59K7125<5<5sW3i70959c9~w47e3ty9095<4sW8m=638:`28960e28;j7p}<6`83>7}:?33h70=9b;3f4>{zj:396=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l86>>m;o1ff?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wi?;j50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6e825g=z{h:1<77}Y:9l01:4=0g9~w66e2908wS=?b:?02g<69h16?;j510c8yv51k3:1>v38:8a8960c28o;7p}<6`83>7}:?3k;70=9b;3f4>{zj:;36=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8:o4?:283>5}#;9i1=h:4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=9b;32f>{ti90;6?uQa19>70c=98h0q~;<16a?76i278:o4>1`9~w63c2909w095a19>70c=9l:0q~=9a;296~;020i01>8m:0g3?x{e?j0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9o94?:583>5}#;9i19=5G3568m47f2900e3290>6=4?{%13g?7b12B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:2n6=4=:183!57k3;946F<459j54?=831d=h>50;9~f6c429096=4?{%13g?7502B8895f10;94?=h9l:1<75rb2;5>5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6f0=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e:j21<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi>no50;194?6|,::h6o69h0;66g>1c83>>i6m90;66sm39f94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`0a7<72:0;6=u+31a90`=O;=>0e5<5sW9oi63;41;095<2sW93=638c;32e>;40m0:=l523d0954g<5?:1=<74}r5a>5<>sW=i70;5jm09j<522cd96c7<51:1>k?4=9096c7<5:396>>m;<12;5jk02n63=be8:f>;5jo02n6370;;a?8>520h01>7=:8`8967?20h0q~9i:180[1a342;64m4=96954g520i015:510a8yv4ei3:1?vP=b`9>6gd=1j16>n8510c8yv4ek3:1?vP=bb9>6gb=1j16>n6510c8yv4em3:1?vP=bd9>6g`=1j16>no510c8yv5?13:1>vP<889>7=c=9l:0q~?=2;297~X6:;16?4<5a19>74>=i91v:k50;1x9=2=9l:01>79:03b?85?l3;:n6s|39a94?4|5:2n61`<58o16;n4>1c9~w7e22909w0;5k?0:i=5rs3a4>5<5s48h87?>b:?1g=<6m91v?m6:18184d<3;:o63=c`82a5=z{1:1<71=5<5s4296?>i;<:7>47c3ty8=54?:3y>74>=:9l01>k=:03a?xu5jk0;6?u22c`965`<5;i=6oj521d897e?28;i7p}=bg83>7}::kl1>=h4=3ab>47e3ty8i<4?:3y>7`5=98301>k=:0g3?xu5j00;6?u22b695`6<5:3=6i7o?;<43>4c73ty8584?:2y>7<4=1j16?<659b9>7<0=9l:0qpl<7b83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th84=4?:383>5}#;9i1=?64H267?l7613:17b?j0;29?xd4=l0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v4<50;0xZ<4<5:=265m4}r1:7?6=:rT85>5236497<47>54z\0<7=:;o?1?5?4=25b>6>6349<:7=71:p7a`=838pR>ji;<142?5cm2wx?nm50;1xZ6ed349m97=lb:?03d<4kk1v>m8:181[5d?278j846m:181[5?j278;44<889~w6dd2909wS=mc:?0b0<4j91v>6i:181[5?n278j84<8g9~w6e32908wS=l4:?036<4k=16?:753b68yv5><3:1>vP<959>72?=;080q~=73;296~X40:16?:753938yv5?03:1>vP<899>72?=;120q~=md;296~X4jm16?k;53e08yv5b83:1>vP72?=;mo0q~=ld;296~X4km16?:753b`8yv5e<3:1>vP7c3=;k>0q~=8b;296~X4?k16?:m51d28yv50n3:1>vP<7g9>7=6=9l:0q~=n4;297~X4i=16?k;53`18961f2:k87p}60;296~X>8278;447b:p=4<72?qU5<523619;1h5523649`==z{:i>6=4={_1`1>;4n<08o85rse794?4|Vm?01>96:cg8yv5>?3:1>vP<969>72?=;0=0q~=n5;296~X4i<16?:753`18yv5c?3:1>vP72?=;m<0q~=6a;296~X41h16?:o538c8yv5>k3:1>vP<9b9>72?=;0i0q~=j5;290~X4m<16?:=53d6896`22:o?70=8a;1f0>{t;1k1<739356s|2eg94?4|5:l>6?jj;<145?4cm2wx>nl50;0x96`22;ii70=81;0`f>{t9:?1<7452349<57?<5:p50c=838p1>9<:07f?850i3;>i6s|13;94?4|5:=j6<<6;<14=?7512wx>hm50;0x96142;oh70=:e;32e>{t:o91<77`4349n;7?>b:p00<72;q6?:75459>72c=98h0q~:9:181850i3>?70=8e;32e>{t:mi1<77bd349<=77c3=?k16?:o57c9>727=0m16?:857c9~w6102909w0=86;0aa>;4?10:i=5rs043>5<5s4991v>>k:181850939;h631c9~w6c12909w0=j7;3f4>;4?10:=45rs3a2>5<5s49m979b9~w7e72909w0=8a;0a=>;4??09nn5rs25g>5<5s499:?03`<6m91v<;<:181850i3;>?63<78821a=z{;8j6=4={<147?45i278j84=2`9~w63c2909w0=86;5e?852m3;n<6s|2`c94?4|5:=86?on;<1e1?4fi2wx=?<50;0x9614288970=86;316>{t0:0;6?u236c93`=:;><14<5rs0;2>5<5s496?:03:?xu59o0;6?uQ20d896`22;;m7)h5mo0;7p}=1e83>7}Y:8n01>h::33g?!4a838:i6`=eg82?xu5:10;6?uQ23:896`22;837)h5mo097p}=2683>7}Y:;=01>h::304?!4a838:i6`=eg80?xu5:?0;6?uQ234896`22;8=7)h5mo0?7p}=2483>7}Y:;?01>h::306?!4a838:i6`=eg86?xu5:=0;6?uQ236896`22;8?7)h5mo0=7p}=2283>7}Y:;901>h::300?!4a838:i6`=eg84?xu5:;0;6?uQ230896`22;897)h5mo037p}=2083>7}Y:;;01>h::302?!4a838:i6`=eg8:?xu5:90;6?uQ232896`22;8;7)h5mo0j7p}=1b83>7}Y:8i01>h::33`?!4a838:i6`=eg8a?xu083:1>vP80:?036<4j2.9j=49f:l1ac<73ty=i7>52z\5a>;4?:0856*=f185b>h5mo0:7p}9d;297~X1l278;>4<8:?0b0<402.9j=49f:l1ac<53ty=o7>53z\5g>;4?:08:63"5n90=j6`=eg80?xu1i3:1?vP9a:?036<4<278j84<4:&1b5<1n2d9ik4;;|q5=?6=;rT=563<72807>;4n<08?6*=f185b>h5mo0>7p}98;297~X10278;>4<2:?0b0<4:2.9j=49f:l1ac<13ty=;7>53z\53>;4?:08=63"5n90=j6`=eg84?xu1>3:1?vP96:?036<48278j84<0:&1b5<1n2d9ik47;|q51?6=;rT=963<7281b>;4n<09j6*=f185b>h5mo027p}94;297~X1<278;>4=e:?0b0<5m2.9j=49f:l1ac53z\57>;4?:09h63"5n90=j6`=eg8a?xu1:3:1?vP92:?036<5k278j84=c:&1b5<1n2d9ik4l;|q55?6=;rT==63<7281f>;4n<09n6*=f185b>h5mo0o7p}88;297~X00278;>4;3:?0b0<3;2.9j=49f:l1ac53z\43>;4?:0?>63"5n90=j6`=eg8e?xu0>3:1>vP86:?0b0<392.9j=49f:l1ac<682wx;84?:3y]30=:;o?18=5+2g292c=i:ll1=<5rs6694?4|V>>01>h::2d8 7`72?l0b?ki:008yv142909wS9<;<1e1?5b3-8m<78i;o0fb?743ty<>7>52z\46>;4n<08h6*=f185b>h5mo0:86s|7083>7}Y?816?k;53b9'6c6=>o1e>hh5149~w3d=838pR;l4=2d6>63<,;l;6;h4n3ge>40|I|I/O|INPUT||||||||| +P10|nAS_IOB|O|I/O|OUTPUT||||||||| +P11|nDTACK_FSB|O|I/O|OUTPUT||||||||| +P12|A_FSB<9>|I|I/O|INPUT||||||||| +P13|nAS_FSB|I|I/O|INPUT||||||||| +P14|nBERR_FSB|O|I/O|OUTPUT||||||||| +P15|A_FSB<5>|I|I/O|INPUT||||||||| +P16|A_FSB<2>|I|I/O|INPUT||||||||| +P17|nOE|O|I/O|OUTPUT||||||||| +P18|nBERR_IOB|I|I/O|INPUT||||||||| +P19|A_FSB<6>|I|I/O|INPUT||||||||| +P20|A_FSB<7>|I|I/O|INPUT||||||||| +P21|GND||GND|||||||||| +P22|CLK2X_IOB|GCK|I/O/GCK1|||||||||| +P23|CLK_FSB|GCK|I/O/GCK2|||||||||| +P24|nRAS|O|I/O|OUTPUT||||||||| +P25|nLDS_FSB|I|I/O|INPUT||||||||| +P26|VCC||VCCIO|||||||||| +P27|CLK_IOB|GCK/I|I/O/GCK3|||||||||| +P28|TIE||I/O|||||||||| +P29|nADoutLE1|O|I/O|OUTPUT||||||||| +P30|TIE||I/O|||||||||| +P31|GND||GND|||||||||| +P32|TIE||I/O|||||||||| +P33|nVMA_IOB|O|I/O|OUTPUT||||||||| +P34|TIE||I/O|||||||||| +P35|RA<1>|O|I/O|OUTPUT||||||||| +P36|TIE||I/O|||||||||| +P37|TIE||I/O|||||||||| +P38|VCC||VCCIO|||||||||| +P39|RA<3>|O|I/O|OUTPUT||||||||| +P40|TIE||I/O|||||||||| +P41|TIE||I/O|||||||||| +P42|nROMCS|O|I/O|OUTPUT||||||||| +P43|TIE||I/O|||||||||| +P44|GND||GND|||||||||| +P45|TDI||TDI|||||||||| +P46|TIE||I/O|||||||||| +P47|TMS||TMS|||||||||| +P48|TCK||TCK|||||||||| +P49|E_IOB|I|I/O|INPUT||||||||| +P50|RA<5>|O|I/O|OUTPUT||||||||| +P51|VCC||VCCIO|||||||||| +P52|nUDS_FSB|I|I/O|INPUT||||||||| +P53|RA<6>|O|I/O|OUTPUT||||||||| +P54|nDTACK_IOB|I|I/O|INPUT||||||||| +P55|RA<7>|O|I/O|OUTPUT||||||||| +P56|A_FSB<4>|I|I/O|INPUT||||||||| +P57|VCC||VCCINT|||||||||| +P58|RA<8>|O|I/O|OUTPUT||||||||| +P59|nVPA_IOB|I|I/O|INPUT||||||||| +P60|RA<9>|O|I/O|OUTPUT||||||||| +P61|nWE_FSB|I|I/O|INPUT||||||||| +P62|GND||GND|||||||||| +P63|RA<11>|O|I/O|OUTPUT||||||||| +P64|A_FSB<8>|I|I/O|INPUT||||||||| +P65|RA<10>|O|I/O|OUTPUT||||||||| +P66|A_FSB<23>|I|I/O|INPUT||||||||| +P67|nADoutLE0|O|I/O|OUTPUT||||||||| +P68|A_FSB<21>|I|I/O|INPUT||||||||| +P69|GND||GND|||||||||| +P70|nAoutOE|O|I/O|OUTPUT||||||||| +P71|A_FSB<20>|I|I/O|INPUT||||||||| +P72|nDinLE|O|I/O|OUTPUT||||||||| +P73|A_FSB<19>|I|I/O|INPUT||||||||| +P74|nCAS|O|I/O|OUTPUT||||||||| +P75|GND||GND|||||||||| +P76|A_FSB<18>|I|I/O|INPUT||||||||| +P77|nRAMLWE|O|I/O|OUTPUT||||||||| +P78|A_FSB<3>|I|I/O|INPUT||||||||| +P79|nRAMUWE|O|I/O|OUTPUT||||||||| +P80|A_FSB<15>|I|I/O|INPUT||||||||| +P81|nROMWE|O|I/O|OUTPUT||||||||| +P82|A_FSB<13>|I|I/O|INPUT||||||||| +P83|TDO||TDO|||||||||| +P84|GND||GND|||||||||| +P85|nVPA_FSB|O|I/O|OUTPUT||||||||| +P86|A_FSB<11>|I|I/O|INPUT||||||||| +P87|RA<0>|O|I/O|OUTPUT||||||||| +P88|VCC||VCCIO|||||||||| +P89|A_FSB<10>|I|I/O|INPUT||||||||| +P90|A_FSB<1>|I|I/O|INPUT||||||||| +P91|RA<2>|O|I/O|OUTPUT||||||||| +P92|A_FSB<12>|I|I/O|INPUT||||||||| +P93|A_FSB<14>|I|I/O|INPUT||||||||| +P94|RA<4>|O|I/O|OUTPUT||||||||| +P95|A_FSB<16>|I|I/O|INPUT||||||||| +P96|A_FSB<17>|I|I/O|INPUT||||||||| +P97|nDinOE|O|I/O|OUTPUT||||||||| +P98|VCC||VCCINT|||||||||| +P99|nRES|GSR/I|I/O/GSR|||||||||| +P100|GND||GND|||||||||| + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/cpld/XC95144XL/MXSE.pnx b/cpld/XC95144XL/MXSE.pnx index ad3e656..500291d 100644 --- a/cpld/XC95144XL/MXSE.pnx +++ b/cpld/XC95144XL/MXSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/MXSE.rpt b/cpld/XC95144XL/MXSE.rpt index 778e983..add4798 100644 --- a/cpld/XC95144XL/MXSE.rpt +++ b/cpld/XC95144XL/MXSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: MXSE Date: 10-24-2021, 7:13AM +Design Name: MXSE Date: 12-11-2021, 6:24AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -108/144 ( 75%) 448 /720 ( 62%) 237/432 ( 55%) 82 /144 ( 57%) 67 /81 ( 83%) +110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 39/54 82/90 11/11* -FB2 18/18* 38/54 33/90 6/10 -FB3 18/18* 29/54 51/90 7/10 -FB4 12/18 36/54 81/90 10/10* -FB5 8/18 21/54 81/90 3/10 -FB6 14/18 41/54 75/90 10/10* -FB7 18/18* 20/54 35/90 10/10* -FB8 11/18 13/54 10/90 10/10* +FB1 9/18 36/54 80/90 11/11* +FB2 18/18* 35/54 34/90 6/10 +FB3 14/18 35/54 77/90 6/10 +FB4 10/18 36/54 82/90 10/10* +FB5 17/18 35/54 47/90 4/10 +FB6 18/18* 24/54 37/90 10/10* +FB7 17/18 35/54 76/90 10/10* +FB8 7/18 8/54 6/90 10/10* ----- ----- ----- ----- - 108/144 237/432 448/720 67/81 + 110/144 244/432 439/720 67/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 1 1 | ** Power Data ** -There are 108 macrocells in high performance mode (MCHP). +There are 110 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -66,160 +66,162 @@ Signal Total Total Loc Pin Pin Pin P Name Pts Inps No. Type Use Mode Rate State nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST -RA<0> 2 3 FB1_11 17 I/O O STD FAST -RA<3> 2 3 FB2_11 6 I/O O STD FAST -RA<4> 2 3 FB2_14 8 I/O O STD FAST -RA<6> 2 3 FB2_17 10 I/O O STD FAST -nLDS_IOB 3 7 FB3_5 24 I/O O STD FAST RESET -nDoutOE 2 7 FB3_11 29 I/O O STD FAST RESET -nAS_IOB 1 5 FB3_14 32 I/O O STD FAST RESET -nUDS_IOB 3 7 FB3_17 34 I/O O STD FAST RESET -nRAS 3 8 FB4_2 87 I/O O STD FAST -RA<1> 2 3 FB4_6 90 I/O O STD FAST -RA<2> 2 3 FB4_9 92 I/O O STD FAST -RA<5> 2 3 FB4_12 94 I/O O STD FAST -nVMA_IOB 2 9 FB4_15 96 I/O O STD FAST RESET -nDinOE 2 6 FB5_8 39 I/O O STD FAST -nROMCS 2 5 FB5_11 41 I/O O STD FAST -nADoutLE1 14 18 FB5_14 43 I/O O STD FAST SET +nOE 1 2 FB1_11 17 I/O O STD FAST +nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET +nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET +nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET +nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET +RA<0> 2 3 FB4_2 87 I/O O STD FAST +RA<2> 2 3 FB4_8 91 I/O O STD FAST +RA<4> 2 3 FB4_12 94 I/O O STD FAST +nDinOE 2 6 FB4_17 97 I/O O STD FAST +RA<1> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_8 39 I/O O STD FAST +nROMCS 2 5 FB5_12 42 I/O O STD FAST nCAS 1 1 FB6_2 74 I/O O STD FAST RESET -nOE 1 2 FB6_6 77 I/O O STD FAST -nRAMLWE 1 5 FB6_9 79 I/O O STD FAST -nRAMUWE 1 5 FB6_12 81 I/O O STD FAST -nROMWE 1 2 FB6_15 85 I/O O STD FAST -nVPA_FSB 1 2 FB6_17 86 I/O O STD FAST -RA<7> 2 3 FB7_2 50 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<9> 2 3 FB7_12 58 I/O O STD FAST -RA<11> 1 1 FB7_17 61 I/O O STD FAST -RA<10> 1 1 FB8_2 63 I/O O STD FAST -nADoutLE0 1 2 FB8_8 66 I/O O STD FAST +nRAMLWE 1 5 FB6_6 77 I/O O STD FAST +nRAMUWE 1 5 FB6_9 79 I/O O STD FAST +nROMWE 1 2 FB6_12 81 I/O O STD FAST +nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST +RA<5> 2 3 FB7_2 50 I/O O STD FAST +RA<6> 2 3 FB7_6 53 I/O O STD FAST +RA<7> 2 3 FB7_9 55 I/O O STD FAST +RA<8> 2 3 FB7_12 58 I/O O STD FAST +RA<9> 2 3 FB7_15 60 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +RA<10> 1 1 FB8_6 65 I/O O STD FAST +nADoutLE0 1 2 FB8_9 67 I/O O STD FAST nAoutOE 0 0 FB8_12 70 I/O O STD FAST -nDinLE 1 2 FB8_17 73 I/O O STD FAST RESET +nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET -** 76 Buried Nodes ** +** 78 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cs/nOverlay1 2 3 FB1_5 STD RESET -fsb/Ready2r 9 22 FB1_7 STD RESET -fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_8 STD -fsb/Ready1r 7 17 FB1_9 STD RESET -fsb/VPA 22 30 FB1_13 STD RESET -$OpTx$FX_DC$607 6 12 FB1_16 STD -cnt/RefCnt<7> 1 7 FB2_1 STD RESET -cnt/RefCnt<6> 1 6 FB2_2 STD RESET -cnt/RefCnt<5> 1 5 FB2_3 STD RESET -cnt/RefCnt<4> 1 4 FB2_4 STD RESET -cnt/RefCnt<3> 1 3 FB2_5 STD RESET -cnt/RefCnt<2> 1 2 FB2_6 STD RESET -cnt/RefCnt<1> 1 1 FB2_7 STD RESET -iobs/PS_FSM_FFd1 2 3 FB2_8 STD RESET +$OpTx$FX_DC$606 5 12 FB1_4 STD +fsb/Ready1r 7 17 FB1_7 STD RESET +fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD +fsb/Ready2r 9 22 FB1_12 STD RESET +fsb/VPA 22 30 FB1_15 STD RESET +$OpTx$FX_DC$602 2 5 FB1_17 STD +iobm/VPArr 1 1 FB2_1 STD RESET +iobm/VPArf 1 1 FB2_2 STD RESET +iobm/RESrr 1 1 FB2_3 STD RESET +iobm/RESrf 1 1 FB2_4 STD RESET +iobm/IOREQr 1 1 FB2_5 STD RESET +cnt/RefCnt<3> 1 3 FB2_6 STD RESET +cnt/RefCnt<2> 1 2 FB2_7 STD RESET +cnt/RefCnt<1> 1 1 FB2_8 STD RESET fsb/BERR1r 2 4 FB2_9 STD RESET -cs/nOverlay0 2 7 FB2_10 STD RESET -cnt/RefDone 2 10 FB2_12 STD RESET -$OpTx$FX_DC$603 2 5 FB2_13 STD -IOU0 3 5 FB2_15 STD RESET -IOL0 3 5 FB2_16 STD RESET +cnt/RefDone 2 10 FB2_10 STD RESET +cnt/TimeoutBPre 3 11 FB2_13 STD RESET +TimeoutB 3 12 FB2_15 STD RESET +TimeoutA 3 10 FB2_16 STD RESET iobs/IOReady 4 8 FB2_18 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB3_1 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB3_2 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB3_3 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB3_4 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB3_6 STD RESET -iobm/BERRrr 1 1 FB3_7 STD RESET -iobm/BERRrf 1 1 FB3_8 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB3_9 STD SET -ALE0M 2 7 FB3_10 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB3_12 STD RESET -BERR_IOBS 4 8 FB3_13 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB3_15 STD RESET -IOBERR 8 11 FB3_16 STD RESET -IOACT 10 15 FB3_18 STD RESET +IORW0 18 20 FB3_3 STD RESET +iobs/IOACTr 1 1 FB3_4 STD RESET +iobs/Clear1 1 3 FB3_6 STD RESET +fsb/ASrf 1 1 FB3_7 STD RESET +ALE0S 1 2 FB3_8 STD RESET +iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET +iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET +IOREQ 14 19 FB3_13 STD RESET +iobm/ETACK 1 6 FB3_14 STD RESET +iobs/IORW1 16 19 FB3_17 STD RESET +ram/BACTr 1 2 FB3_18 STD RESET ram/RASEL 20 15 FB4_1 STD RESET -fsb/Ready0r 3 8 FB4_3 STD RESET -iobm/ETACK 1 6 FB4_5 STD RESET -ram/RAMReady 16 15 FB4_7 STD RESET -ram/RAMDIS2 7 15 FB4_11 STD RESET +cs/nOverlay1 2 3 FB4_3 STD RESET +iobs/Load1 14 18 FB4_6 STD RESET +iobs/Once 17 18 FB4_10 STD RESET +ram/RAMDIS1 18 15 FB4_14 STD RESET +fsb/BERR0r 3 8 FB4_16 STD RESET +IOACT 10 15 FB5_1 STD RESET +iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET +iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RAMDIS1 18 15 FB4_13 STD RESET -ram/Once 5 10 FB4_16 STD RESET -IORW0 18 20 FB5_3 STD RESET -iobs/PS_FSM_FFd2 14 19 FB5_7 STD RESET -IOREQ 14 19 FB5_9 STD RESET -ALE0S 1 2 FB5_15 STD RESET -iobs/IORW1 16 19 FB5_17 STD RESET -iobs/Once 17 18 FB6_1 STD RESET -fsb/BERR0r 3 8 FB6_3 STD RESET -ram/RS_FSM_FFd3 11 14 FB6_4 STD RESET -TimeoutB 3 12 FB6_5 STD RESET -TimeoutA 3 11 FB6_7 STD RESET -ram/RS_FSM_FFd1 5 10 FB6_8 STD RESET -ram/RS_FSM_FFd2 13 14 FB6_10 STD RESET -iobs/Load1 14 18 FB6_13 STD RESET -iobm/VPArr 1 1 FB7_1 STD RESET -iobm/VPArf 1 1 FB7_3 STD RESET -iobm/RESrr 1 1 FB7_4 STD RESET -iobm/RESrf 1 1 FB7_5 STD RESET -iobm/IOREQr 1 1 FB7_6 STD RESET -iobm/Er2 1 1 FB7_7 STD RESET -iobm/DTACKrr 1 1 FB7_9 STD RESET -iobm/DTACKrf 1 1 FB7_10 STD RESET -iobs/IOL1 2 2 FB7_11 STD RESET -iobm/ES<3> 3 6 FB7_13 STD RESET -iobm/ES<1> 3 4 FB7_14 STD RESET -iobm/ES<0> 3 7 FB7_15 STD RESET -iobm/ES<4> 4 7 FB7_16 STD RESET -iobm/ES<2> 5 7 FB7_18 STD RESET -ram/BACTr 1 2 FB8_10 STD RESET -iobs/IOACTr 1 1 FB8_11 STD RESET -iobm/Er 1 1 FB8_13 STD RESET -fsb/ASrf 1 1 FB8_14 STD RESET -cnt/RefCnt<0> 0 0 FB8_15 STD RESET -RefAck 1 2 FB8_16 STD RESET -iobs/IOU1 2 2 FB8_18 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET +iobm/BERRrr 1 1 FB5_7 STD RESET +iobm/BERRrf 1 1 FB5_9 STD RESET +cnt/RefCnt<0> 0 0 FB5_10 STD RESET +iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET +ALE0M 2 7 FB5_13 STD RESET +iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET +BERR_IOBS 4 8 FB5_15 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOBERR 8 11 FB5_18 STD RESET +iobm/Er2 1 1 FB6_1 STD RESET +iobm/DTACKrr 1 1 FB6_3 STD RESET +iobm/DTACKrf 1 1 FB6_4 STD RESET +RefAck 1 2 FB6_5 STD RESET +iobs/IOU1 2 2 FB6_7 STD RESET +iobs/IOL1 2 2 FB6_8 STD RESET +iobm/ES<3> 3 6 FB6_10 STD RESET +iobm/ES<1> 3 4 FB6_11 STD RESET +iobm/ES<0> 3 7 FB6_13 STD RESET +IOU0 3 5 FB6_14 STD RESET +IOL0 3 5 FB6_16 STD RESET +iobm/ES<4> 4 7 FB6_17 STD RESET +iobm/ES<2> 5 7 FB6_18 STD RESET +cnt/RefCnt<5> 1 5 FB7_1 STD RESET +cnt/RefCnt<4> 1 4 FB7_3 STD RESET +cs/nOverlay0 2 7 FB7_4 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET +ram/Once 5 10 FB7_10 STD RESET +ram/RAMDIS2 7 15 FB7_11 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET +cnt/RefCnt<7> 1 7 FB7_14 STD RESET +cnt/RefCnt<6> 1 6 FB7_16 STD RESET +ram/RAMReady 16 15 FB7_17 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET +iobm/Er 1 1 FB8_18 STD RESET ** 35 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use -A_FSB<15> FB1_3 12 I/O I -A_FSB<1> FB1_5 13 I/O I -A_FSB<9> FB1_8 15 I/O I -A_FSB<5> FB1_9 16 I/O I -A_FSB<2> FB1_12 18 I/O I +A_FSB<9> FB1_3 12 I/O I +nAS_FSB FB1_5 13 I/O I +A_FSB<5> FB1_8 15 I/O I +A_FSB<2> FB1_9 16 I/O I +nBERR_IOB FB1_12 18 I/O I A_FSB<6> FB1_14 19 I/O I -nBERR_IOB FB1_15 20 I/O I +A_FSB<7> FB1_15 20 I/O I CLK2X_IOB FB1_17 22~ GCK/I/O GCK nRES FB2_2 99~ GSR/I/O GSR/I -nAS_FSB FB2_12 7 I/O I -nUDS_FSB FB2_15 9 I/O I +A_FSB<22> FB2_15 9 I/O I CLK_FSB FB3_2 23~ GCK/I/O GCK -nVPA_IOB FB3_6 25 I/O I +nLDS_FSB FB3_6 25 I/O I CLK_IOB FB3_8 27~ GCK/I/O GCK/I -A_FSB<13> FB4_5 89 I/O I -A_FSB<14> FB4_8 91 I/O I -A_FSB<17> FB4_11 93 I/O I -A_FSB<19> FB4_14 95 I/O I -A_FSB<21> FB4_17 97 I/O I -A_FSB<22> FB6_5 76 I/O I -A_FSB<20> FB6_8 78 I/O I -A_FSB<18> FB6_11 80 I/O I -A_FSB<16> FB6_14 82 I/O I -E_IOB FB7_5 52 I/O I -nDTACK_IOB FB7_6 53 I/O I -A_FSB<3> FB7_9 55 I/O I -A_FSB<7> FB7_11 56 I/O I -A_FSB<4> FB7_14 59 I/O I -A_FSB<8> FB7_15 60 I/O I -nWE_FSB FB8_5 64 I/O I -A_FSB<12> FB8_6 65 I/O I -A_FSB<11> FB8_9 67 I/O I -nLDS_FSB FB8_11 68 I/O I -A_FSB<23> FB8_14 71 I/O I -A_FSB<10> FB8_15 72 I/O I +A_FSB<10> FB4_5 89 I/O I +A_FSB<1> FB4_6 90 I/O I +A_FSB<12> FB4_9 92 I/O I +A_FSB<14> FB4_11 93 I/O I +A_FSB<16> FB4_14 95 I/O I +A_FSB<17> FB4_15 96 I/O I +E_IOB FB5_17 49 I/O I +A_FSB<18> FB6_5 76 I/O I +A_FSB<3> FB6_8 78 I/O I +A_FSB<15> FB6_11 80 I/O I +A_FSB<13> FB6_14 82 I/O I +A_FSB<11> FB6_17 86 I/O I +nUDS_FSB FB7_5 52 I/O I +nDTACK_IOB FB7_8 54 I/O I +A_FSB<4> FB7_11 56 I/O I +nVPA_IOB FB7_14 59 I/O I +nWE_FSB FB7_17 61 I/O I +A_FSB<8> FB8_5 64 I/O I +A_FSB<23> FB8_8 66 I/O I +A_FSB<21> FB8_11 68 I/O I +A_FSB<20> FB8_14 71 I/O I +A_FSB<19> FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -237,176 +239,172 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 \/5 0 FB1_1 (b) (b) nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O (unused) 0 0 /\5 0 FB1_3 12 I/O I -(unused) 0 0 /\5 0 FB1_4 (b) (b) -cs/nOverlay1 2 0 \/2 1 FB1_5 13 I/O I -nBERR_FSB 3 2<- \/4 0 FB1_6 14 I/O O -fsb/Ready2r 9 4<- 0 0 FB1_7 (b) (b) +$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) +(unused) 0 0 /\3 2 FB1_5 13 I/O I +nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O +fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) +(unused) 0 0 0 5 FB1_8 15 I/O I +(unused) 0 0 \/3 2 FB1_9 16 I/O I fsb/VPA__or00001/fsb/VPA__or00001_D2 - 8 3<- 0 0 FB1_8 15 I/O I -fsb/Ready1r 7 5<- /\3 0 FB1_9 16 I/O I -(unused) 0 0 /\5 0 FB1_10 (b) (b) -RA<0> 2 0 \/2 1 FB1_11 17 I/O O -(unused) 0 0 \/5 0 FB1_12 18 I/O I -fsb/VPA 22 17<- 0 0 FB1_13 (b) (b) -(unused) 0 0 /\5 0 FB1_14 19 I/O I -(unused) 0 0 /\5 0 FB1_15 20 I/O I -$OpTx$FX_DC$607 6 1<- 0 0 FB1_16 (b) (b) -(unused) 0 0 /\1 4 FB1_17 22 GCK/I/O GCK -(unused) 0 0 \/3 2 FB1_18 (b) (b) + 8 3<- 0 0 FB1_10 (b) (b) +nOE 1 0 \/4 0 FB1_11 17 I/O O +fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I +(unused) 0 0 \/5 0 FB1_13 (b) (b) +(unused) 0 0 \/5 0 FB1_14 19 I/O I +fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I +(unused) 0 0 /\5 0 FB1_16 (b) (b) +$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK +(unused) 0 0 \/5 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$603 14: A_FSB<20> 27: fsb/BERR1r - 2: $OpTx$FX_DC$607 15: A_FSB<21> 28: fsb/Ready0r - 3: A_FSB<10> 16: A_FSB<22> 29: fsb/Ready1r - 4: A_FSB<11> 17: A_FSB<23> 30: fsb/Ready2r - 5: A_FSB<12> 18: A_FSB<8> 31: fsb/VPA - 6: A_FSB<13> 19: A_FSB<9> 32: fsb/VPA__or00001/fsb/VPA__or00001_D2 - 7: A_FSB<14> 20: BERR_IOBS 33: iobs/IOReady - 8: A_FSB<15> 21: TimeoutA 34: nADoutLE1 - 9: A_FSB<16> 22: TimeoutB 35: nAS_FSB - 10: A_FSB<17> 23: cs/nOverlay0 36: nDTACK_FSB - 11: A_FSB<18> 24: cs/nOverlay1 37: nWE_FSB - 12: A_FSB<19> 25: fsb/ASrf 38: ram/RAMReady - 13: A_FSB<1> 26: fsb/BERR0r 39: ram/RASEL + 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r + 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r + 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r + 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r + 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA + 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 + 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady + 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 + 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB + 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB + 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB + 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB X.XXXXXXXXXX.XXXXXXXX..XXXXXXX..XXXXXX.. 32 -cs/nOverlay1 ......................X.X.........X..... 3 -nBERR_FSB .............XXXX..X.X...XX.......X..... 9 -fsb/Ready2r ..XXXXXXXXXX.XXXXXX.X..XX....X....X.X... 22 +nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 +$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 +nBERR_FSB ............XXXX..X.X..XX.......X....... 9 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 fsb/VPA__or00001/fsb/VPA__or00001_D2 - ..XXXXXXXXXX.XXXXXX.X..X.....X......X... 20 -fsb/Ready1r .....XX.XXXX.XXXX......XX...X...XXX.X... 17 -RA<0> ..X.........X.........................X. 3 -fsb/VPA XXXXXXXXXXXX.XXXXXXX...XXXXXX.XXX.X..X.. 30 -$OpTx$FX_DC$607 .....XX.XXXX.XXX.......X.........X..X... 12 + ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 +nOE ................................X.X..... 2 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 +fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 +$OpTx$FX_DC$602 ............XXXX....X................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<7> 1 0 0 4 FB2_1 (b) (b) -cnt/RefCnt<6> 1 0 0 4 FB2_2 99 GSR/I/O GSR/I -cnt/RefCnt<5> 1 0 0 4 FB2_3 (b) (b) -cnt/RefCnt<4> 1 0 0 4 FB2_4 (b) (b) -cnt/RefCnt<3> 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/RefCnt<2> 1 0 0 4 FB2_6 2 GTS/I/O (b) -cnt/RefCnt<1> 1 0 0 4 FB2_7 (b) (b) -iobs/PS_FSM_FFd1 2 0 0 3 FB2_8 3 GTS/I/O (b) +iobm/VPArr 1 0 0 4 FB2_1 (b) (b) +iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/RESrr 1 0 0 4 FB2_3 (b) (b) +iobm/RESrf 1 0 0 4 FB2_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) +cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) -cs/nOverlay0 2 0 0 3 FB2_10 (b) (b) -RA<3> 2 0 0 3 FB2_11 6 I/O O -cnt/RefDone 2 0 0 3 FB2_12 7 I/O I -$OpTx$FX_DC$603 2 0 0 3 FB2_13 (b) (b) -RA<4> 2 0 0 3 FB2_14 8 I/O O -IOU0 3 0 0 2 FB2_15 9 I/O I -IOL0 3 0 0 2 FB2_16 (b) (b) -RA<6> 2 0 0 3 FB2_17 10 I/O O +cnt/RefDone 2 0 0 3 FB2_10 (b) (b) +nLDS_IOB 3 0 0 2 FB2_11 6 I/O O +nUDS_IOB 3 0 0 2 FB2_12 7 I/O O +cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) +nDoutOE 2 0 0 3 FB2_14 8 I/O O +TimeoutB 3 0 0 2 FB2_15 9 I/O I +TimeoutA 3 0 0 2 FB2_16 (b) (b) +nAS_IOB 1 0 0 4 FB2_17 10 I/O O iobs/IOReady 4 0 0 1 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: TimeoutB 27: iobs/IOACTr - 2: A_FSB<14> 15: cnt/RefCnt<0> 28: iobs/IOL1 - 3: A_FSB<16> 16: cnt/RefCnt<1> 29: iobs/IOReady - 4: A_FSB<20> 17: cnt/RefCnt<2> 30: iobs/IOU1 - 5: A_FSB<21> 18: cnt/RefCnt<3> 31: iobs/Once - 6: A_FSB<22> 19: cnt/RefCnt<4> 32: iobs/PS_FSM_FFd1 - 7: A_FSB<23> 20: cnt/RefCnt<5> 33: iobs/PS_FSM_FFd2 - 8: A_FSB<4> 21: cnt/RefCnt<6> 34: nADoutLE1 - 9: A_FSB<5> 22: cnt/RefCnt<7> 35: nAS_FSB - 10: A_FSB<7> 23: cnt/RefDone 36: nLDS_FSB - 11: BERR_IOBS 24: cs/nOverlay0 37: nUDS_FSB - 12: IOBERR 25: fsb/ASrf 38: ram/RASEL - 13: RefAck 26: fsb/BERR1r + 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 + 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 + 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 + 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr + 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady + 6: IOU0 18: cnt/RefDone 30: iobs/Once + 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 + 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 + 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB + 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES + 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB + 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<7> ..............XXXXXXX................... 7 -cnt/RefCnt<6> ..............XXXXXX.................... 6 -cnt/RefCnt<5> ..............XXXXX..................... 5 -cnt/RefCnt<4> ..............XXXX...................... 4 -cnt/RefCnt<3> ..............XXX....................... 3 -cnt/RefCnt<2> ..............XX........................ 2 -cnt/RefCnt<1> ..............X......................... 1 -iobs/PS_FSM_FFd1 ..........................X....XX....... 3 -fsb/BERR1r ..........X.............XX........X..... 4 -cs/nOverlay0 ...XXXX................XX.........X..... 7 -RA<3> X......X.............................X.. 3 -cnt/RefDone ............X.XXXXXXXXX................. 10 -$OpTx$FX_DC$603 ...XXXX......X.......................... 5 -RA<4> .X......X............................X.. 3 -IOU0 .............................X.XXX..X... 5 -IOL0 ...........................X...XXX.X.... 5 -RA<6> ..X......X...........................X.. 3 -iobs/IOReady ...........X............X.X.X.X.XXX..... 8 +iobm/VPArr ..................................X..... 1 +iobm/VPArf ..................................X..... 1 +iobm/RESrr .................................X...... 1 +iobm/RESrf .................................X...... 1 +iobm/IOREQr ...X.................................... 1 +cnt/RefCnt<3> .........XXX............................ 3 +cnt/RefCnt<2> .........XX............................. 2 +cnt/RefCnt<1> .........X.............................. 1 +fsb/BERR1r X..................XX...........X....... 4 +cnt/RefDone ......X..XXXXXXXXX...................... 10 +nLDS_IOB ..X.X.................XXXXX............. 7 +nUDS_IOB ....XX................XXXXX............. 7 +cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 +nDoutOE ....X................XXXXXX............. 7 +TimeoutB ........XXXXXXXXX.XX............X....... 12 +TimeoutA .......X.XXXXXXX...X............X....... 10 +nAS_IOB ......................XXXXX............. 5 +iobs/IOReady .X.................X.......XXXXXX....... 8 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 29/25 -Number of signals used by logic mapping into function block: 29 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/IOS_FSM_FFd7 1 0 /\3 1 FB3_1 (b) (b) -iobm/IOS_FSM_FFd6 1 0 0 4 FB3_2 23 GCK/I/O GCK -iobm/IOS_FSM_FFd5 1 0 0 4 FB3_3 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB3_4 (b) (b) -nLDS_IOB 3 0 0 2 FB3_5 24 I/O O -iobm/IOS_FSM_FFd1 1 0 0 4 FB3_6 25 I/O I -iobm/BERRrr 1 0 0 4 FB3_7 (b) (b) -iobm/BERRrf 1 0 0 4 FB3_8 27 GCK/I/O GCK/I -iobm/IOS_FSM_FFd8 2 0 0 3 FB3_9 28 I/O (b) -ALE0M 2 0 0 3 FB3_10 (b) (b) -nDoutOE 2 0 0 3 FB3_11 29 I/O O -iobm/IOS_FSM_FFd2 4 0 0 1 FB3_12 30 I/O (b) -BERR_IOBS 4 0 0 1 FB3_13 (b) (b) -nAS_IOB 1 0 \/3 1 FB3_14 32 I/O O -iobm/IOS_FSM_FFd3 5 3<- \/3 0 FB3_15 33 I/O (b) -IOBERR 8 3<- 0 0 FB3_16 (b) (b) -nUDS_IOB 3 0 \/2 0 FB3_17 34 I/O O -IOACT 10 5<- 0 0 FB3_18 (b) (b) +(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK +IORW0 18 13<- 0 0 FB3_3 (b) (b) +iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) +nRAS 3 0 0 2 FB3_5 24 I/O O +iobs/Clear1 1 0 0 4 FB3_6 25 I/O I +fsb/ASrf 1 0 0 4 FB3_7 (b) (b) +ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I +iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) +(unused) 0 0 /\5 0 FB3_10 (b) (b) +nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O +iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) +IOREQ 14 9<- 0 0 FB3_13 (b) (b) +iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) +nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_16 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) +ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: BERR_IOBS 11: iobm/DTACKrr 21: iobm/IOS_FSM_FFd8 - 2: CLK_IOB 12: iobm/ETACK 22: iobm/RESrf - 3: IOBERR 13: iobm/IOREQr 23: iobm/RESrr - 4: IOL0 14: iobm/IOS_FSM_FFd1 24: iobs/IOACTr - 5: IORW0 15: iobm/IOS_FSM_FFd2 25: iobs/Once - 6: IOU0 16: iobm/IOS_FSM_FFd3 26: iobs/PS_FSM_FFd2 - 7: fsb/ASrf 17: iobm/IOS_FSM_FFd4 27: nADoutLE1 - 8: iobm/BERRrf 18: iobm/IOS_FSM_FFd5 28: nAS_FSB - 9: iobm/BERRrr 19: iobm/IOS_FSM_FFd6 29: nBERR_IOB - 10: iobm/DTACKrf 20: iobm/IOS_FSM_FFd7 + 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 + 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 + 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once + 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 + 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 + 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 + 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB + 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB + 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB + 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 + 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 + 12: IORW0 24: iobs/IOACTr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/IOS_FSM_FFd7 .X..........X.......X................... 3 -iobm/IOS_FSM_FFd6 ...................X.................... 1 -iobm/IOS_FSM_FFd5 ..................X..................... 1 -iobm/IOS_FSM_FFd4 .................X...................... 1 -nLDS_IOB ...XX..........XXXXX.................... 7 -iobm/IOS_FSM_FFd1 ..............X......................... 1 -iobm/BERRrr ............................X........... 1 -iobm/BERRrf ............................X........... 1 -iobm/IOS_FSM_FFd8 .X..........XX......X................... 4 -ALE0M ............X..XXXXXX................... 7 -nDoutOE ....X.........XXXXXX.................... 7 -iobm/IOS_FSM_FFd2 .X.....XXXXX...X.....XX................. 9 -BERR_IOBS X.X...X................XXXXX............ 8 -nAS_IOB ...............XXXXX.................... 5 -iobm/IOS_FSM_FFd3 .X.....XXXXX...XX....XX................. 10 -IOBERR .XX....XXXXX...X.....XX.....X........... 11 -nUDS_IOB ....XX.........XXXXX.................... 7 -IOACT .X.....XXXXXX..XXXXXXXX................. 15 +IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 +iobs/IOACTr ..........X............................. 1 +nRAS .......XXX..XX................X..XX..... 8 +iobs/Clear1 ...........................XXX.......... 3 +fsb/ASrf ..............................X......... 1 +ALE0S ...........................XX........... 2 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 +nADoutLE1 ......................X..X...X.......... 3 +iobs/PS_FSM_FFd1 .......................X...XX........... 3 +IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 +iobm/ETACK ...............XXXXX...........X........ 6 +nVMA_IOB ..........X....XXXXXXX.........X........ 9 +iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 +ram/BACTr ..............X...............X......... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** @@ -415,256 +413,264 @@ Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) -nRAS 3 3<- /\5 0 FB4_2 87 I/O O -fsb/Ready0r 3 1<- /\3 0 FB4_3 (b) (b) -(unused) 0 0 /\1 4 FB4_4 (b) (b) -iobm/ETACK 1 0 \/2 2 FB4_5 89 I/O I -RA<1> 2 2<- \/5 0 FB4_6 90 I/O O -ram/RAMReady 16 11<- 0 0 FB4_7 (b) (b) -(unused) 0 0 /\5 0 FB4_8 91 I/O I -RA<2> 2 0 /\1 2 FB4_9 92 I/O O -(unused) 0 0 \/4 1 FB4_10 (b) (b) -ram/RAMDIS2 7 4<- \/2 0 FB4_11 93 I/O I -RA<5> 2 2<- \/5 0 FB4_12 94 I/O O -ram/RAMDIS1 18 13<- 0 0 FB4_13 (b) (b) -(unused) 0 0 /\5 0 FB4_14 95 I/O I -nVMA_IOB 2 0 /\3 0 FB4_15 96 I/O O -ram/Once 5 0 0 0 FB4_16 (b) (b) -(unused) 0 0 \/5 0 FB4_17 97 I/O I +RA<0> 2 2<- /\5 0 FB4_2 87 I/O O +cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) +(unused) 0 0 \/4 1 FB4_5 89 I/O I +iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I +(unused) 0 0 /\5 0 FB4_7 (b) (b) +RA<2> 2 0 \/2 1 FB4_8 91 I/O O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +iobs/Once 17 12<- 0 0 FB4_10 (b) (b) +(unused) 0 0 /\5 0 FB4_11 93 I/O I +RA<4> 2 0 \/3 0 FB4_12 94 I/O O +(unused) 0 0 \/5 0 FB4_13 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) +nDinOE 2 2<- \/5 0 FB4_17 97 I/O O (unused) 0 0 \/5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 13: cnt/RefCnt<6> 25: iobm/VPArr - 2: A_FSB<12> 14: cnt/RefCnt<7> 26: nAS_FSB - 3: A_FSB<15> 15: cnt/RefDone 27: nVMA_IOB - 4: A_FSB<21> 16: cs/nOverlay1 28: ram/BACTr - 5: A_FSB<22> 17: fsb/ASrf 29: ram/Once - 6: A_FSB<23> 18: fsb/Ready0r 30: ram/RAMDIS1 - 7: A_FSB<2> 19: iobm/ES<0> 31: ram/RAMDIS2 - 8: A_FSB<3> 20: iobm/ES<1> 32: ram/RAMReady - 9: A_FSB<6> 21: iobm/ES<2> 33: ram/RASEL - 10: IOACT 22: iobm/ES<3> 34: ram/RS_FSM_FFd1 - 11: RefAck 23: iobm/ES<4> 35: ram/RS_FSM_FFd2 - 12: cnt/RefCnt<5> 24: iobm/VPArf 36: ram/RS_FSM_FFd3 + 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once + 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 + 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 + 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 + 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB + 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB + 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr + 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once + 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL + 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 + 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 + 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ...XXX.....XXXXXX........X.XX....XXX.... 15 -nRAS ...XXX....X....X.........X...XX......... 8 -fsb/Ready0r ...XXX.........XXX.......X.....X........ 8 -iobm/ETACK ..................XXXXX...X............. 6 -RA<1> X.....X.........................X....... 3 -ram/RAMReady ...XXX.....XXXXXX........X.XX....XXX.... 15 -RA<2> .X.....X........................X....... 3 -ram/RAMDIS2 ...XXX.....XXXXXX........X..X.X..XXX.... 15 -RA<5> ..X.....X.......................X....... 3 -ram/RAMDIS1 ...XXX.....XXXXXX........X.XX....XXX.... 15 -nVMA_IOB .........X........XXXXXXX.X............. 9 -ram/Once ...XXX.........XX........X..X....XXX.... 10 +ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +RA<0> X.......X.......................X....... 3 +cs/nOverlay1 ....................X.X.....X........... 3 +iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<2> .X...........X..................X....... 3 +iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<4> ...X..........X.................X....... 3 +ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +fsb/BERR0r .........XXXX..X......XX....X........... 8 +nDinOE .........XXXX...............XX.......... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 21/33 -Number of signals used by logic mapping into function block: 21 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\1 4 FB5_1 (b) (b) -(unused) 0 0 \/5 0 FB5_2 35 I/O (b) -IORW0 18 13<- 0 0 FB5_3 (b) (b) -(unused) 0 0 /\5 0 FB5_4 (b) (b) -(unused) 0 0 /\3 2 FB5_5 36 I/O (b) -(unused) 0 0 \/5 0 FB5_6 37 I/O (b) -iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_7 (b) (b) -nDinOE 2 1<- /\4 0 FB5_8 39 I/O O -IOREQ 14 10<- /\1 0 FB5_9 40 I/O (b) -(unused) 0 0 /\5 0 FB5_10 (b) (b) -nROMCS 2 2<- /\5 0 FB5_11 41 I/O O -(unused) 0 0 /\2 3 FB5_12 42 I/O (b) -(unused) 0 0 \/5 0 FB5_13 (b) (b) -nADoutLE1 14 9<- 0 0 FB5_14 43 I/O O -ALE0S 1 0 /\4 0 FB5_15 46 I/O (b) -(unused) 0 0 \/5 0 FB5_16 (b) (b) -iobs/IORW1 16 11<- 0 0 FB5_17 49 I/O (b) -(unused) 0 0 /\5 0 FB5_18 (b) (b) +IOACT 10 5<- 0 0 FB5_1 (b) (b) +RA<1> 2 0 /\3 0 FB5_2 35 I/O O +iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) +iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) +iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) +RA<3> 2 0 0 3 FB5_8 39 I/O O +iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) +cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) +iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) +nROMCS 2 0 0 3 FB5_12 42 I/O O +ALE0M 2 0 0 3 FB5_13 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) +BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) +iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O I +IOBERR 8 5<- \/2 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 8: A_FSB<21> 15: iobs/IORW1 - 2: A_FSB<14> 9: A_FSB<22> 16: iobs/Once - 3: A_FSB<16> 10: A_FSB<23> 17: iobs/PS_FSM_FFd1 - 4: A_FSB<17> 11: IORW0 18: iobs/PS_FSM_FFd2 - 5: A_FSB<18> 12: cs/nOverlay1 19: nADoutLE1 - 6: A_FSB<19> 13: fsb/ASrf 20: nAS_FSB - 7: A_FSB<20> 14: iobs/IOACTr 21: nWE_FSB + 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 + 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 + 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf + 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr + 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr + 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once + 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 + 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 + 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB + 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB + 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL + 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 XXXXXXXXXXXXX.XXXXXXX................... 20 -iobs/PS_FSM_FFd2 XXXXXXXXXX.XXX.XXXXXX................... 19 -nDinOE ......XXXX.........XX................... 6 -IOREQ XXXXXXXXXX.XXX.XXXXXX................... 19 -nROMCS ......XXXX.X............................ 5 -nADoutLE1 XXXXXXXXXX.XX..XXXXXX................... 18 -ALE0S ................XX...................... 2 -iobs/IORW1 XXXXXXXXXX.XX.XXXXXXX................... 19 +IOACT .........X...XXXXXX.XXXXXXXX............ 15 +RA<1> X.....X...........................X..... 3 +iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 +iobm/IOS_FSM_FFd6 ........................X............... 1 +iobm/IOS_FSM_FFd5 .......................X................ 1 +iobm/IOS_FSM_FFd4 ......................X................. 1 +iobm/BERRrr .................................X...... 1 +RA<3> .X.....X..........................X..... 3 +iobm/BERRrf .................................X...... 1 +cnt/RefCnt<0> ........................................ 0 +iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 +nROMCS ..XXXX.....X............................ 5 +ALE0M ..................X.XXXXXX.............. 7 +iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 +BERR_IOBS ........X.X.X...............XXXXX....... 8 +iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 +IOBERR .........XX..XXXXX..X.....XX.....X...... 11 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Once 17 12<- 0 0 FB6_1 (b) (b) -nCAS 1 0 /\4 0 FB6_2 74 I/O O -fsb/BERR0r 3 0 \/2 0 FB6_3 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB6_4 (b) (b) -TimeoutB 3 2<- /\4 0 FB6_5 76 I/O I -nOE 1 0 /\2 2 FB6_6 77 I/O O -TimeoutA 3 0 0 2 FB6_7 (b) (b) -ram/RS_FSM_FFd1 5 0 0 0 FB6_8 78 I/O I -nRAMLWE 1 0 \/3 1 FB6_9 79 I/O O -ram/RS_FSM_FFd2 13 8<- 0 0 FB6_10 (b) (b) -(unused) 0 0 /\5 0 FB6_11 80 I/O I -nRAMUWE 1 0 \/4 0 FB6_12 81 I/O O -iobs/Load1 14 9<- 0 0 FB6_13 (b) (b) -(unused) 0 0 /\5 0 FB6_14 82 I/O I -nROMWE 1 0 0 4 FB6_15 85 I/O O -(unused) 0 0 0 5 FB6_16 (b) -nVPA_FSB 1 0 \/3 1 FB6_17 86 I/O O -(unused) 0 0 \/5 0 FB6_18 (b) (b) +iobm/Er2 1 0 0 4 FB6_1 (b) (b) +nCAS 1 0 0 4 FB6_2 74 I/O O +iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) +iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) +RefAck 1 0 0 4 FB6_5 76 I/O I +nRAMLWE 1 0 0 4 FB6_6 77 I/O O +iobs/IOU1 2 0 0 3 FB6_7 (b) (b) +iobs/IOL1 2 0 0 3 FB6_8 78 I/O I +nRAMUWE 1 0 0 4 FB6_9 79 I/O O +iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I +nROMWE 1 0 0 4 FB6_12 81 I/O O +iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) +IOU0 3 0 0 2 FB6_14 82 I/O I +nVPA_FSB 1 0 0 4 FB6_15 85 I/O O +IOL0 3 0 0 2 FB6_16 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I +iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: cnt/RefCnt<2> 29: nADoutLE1 - 2: A_FSB<14> 16: cnt/RefCnt<3> 30: nAS_FSB - 3: A_FSB<16> 17: cnt/RefCnt<4> 31: nLDS_FSB - 4: A_FSB<17> 18: cnt/RefCnt<5> 32: nUDS_FSB - 5: A_FSB<18> 19: cnt/RefCnt<6> 33: nWE_FSB - 6: A_FSB<19> 20: cnt/RefCnt<7> 34: ram/BACTr - 7: A_FSB<20> 21: cnt/RefDone 35: ram/Once - 8: A_FSB<21> 22: cs/nOverlay1 36: ram/RAMDIS1 - 9: A_FSB<22> 23: fsb/ASrf 37: ram/RAMDIS2 - 10: A_FSB<23> 24: fsb/BERR0r 38: ram/RASEL - 11: TimeoutA 25: fsb/VPA 39: ram/RS_FSM_FFd1 - 12: TimeoutB 26: iobs/Once 40: ram/RS_FSM_FFd2 - 13: cnt/RefCnt<0> 27: iobs/PS_FSM_FFd1 41: ram/RS_FSM_FFd3 - 14: cnt/RefCnt<1> 28: iobs/PS_FSM_FFd2 - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -iobs/Once XXXXXXXXXX...........XX..XXXXX..X................. 18 -nCAS .....................................X............ 1 -fsb/BERR0r ......XXXX.X..........XX.....X.................... 8 -ram/RS_FSM_FFd3 .......XXX.......XXXXXX......X....X...XXX......... 14 -TimeoutB ..........XXXXXXXXXX..X......X.................... 12 -nOE .............................X..X................. 2 -TimeoutA ..........X.XXXXXXXX..X......X.................... 11 -ram/RS_FSM_FFd1 .......XXX...........XX......X....X...XXX......... 10 -nRAMLWE .............................XX.X..XX............. 5 -ram/RS_FSM_FFd2 .......XXX.......XXXXXX......X...X....XXX......... 14 -nRAMUWE .............................X.XX..XX............. 5 -iobs/Load1 XXXXXXXXXX...........XX..XXXXX..X................. 18 -nROMWE .............................X..X................. 2 -nVPA_FSB ........................X....X.................... 2 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 20/34 -Number of signals used by logic mapping into function block: 20 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB7_1 (b) (b) -RA<7> 2 0 0 3 FB7_2 50 I/O O -iobm/VPArf 1 0 0 4 FB7_3 (b) (b) -iobm/RESrr 1 0 0 4 FB7_4 (b) (b) -iobm/RESrf 1 0 0 4 FB7_5 52 I/O I -iobm/IOREQr 1 0 0 4 FB7_6 53 I/O I -iobm/Er2 1 0 0 4 FB7_7 (b) (b) -RA<8> 2 0 0 3 FB7_8 54 I/O O -iobm/DTACKrr 1 0 0 4 FB7_9 55 I/O I -iobm/DTACKrf 1 0 0 4 FB7_10 (b) (b) -iobs/IOL1 2 0 0 3 FB7_11 56 I/O I -RA<9> 2 0 0 3 FB7_12 58 I/O O -iobm/ES<3> 3 0 0 2 FB7_13 (b) (b) -iobm/ES<1> 3 0 0 2 FB7_14 59 I/O I -iobm/ES<0> 3 0 0 2 FB7_15 60 I/O I -iobm/ES<4> 4 0 0 1 FB7_16 (b) (b) -RA<11> 1 0 0 4 FB7_17 61 I/O O -iobm/ES<2> 5 0 0 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<17> 8: iobm/ES<0> 15: iobs/Load1 - 2: A_FSB<18> 9: iobm/ES<1> 16: nDTACK_IOB - 3: A_FSB<19> 10: iobm/ES<2> 17: nLDS_FSB - 4: A_FSB<20> 11: iobm/ES<3> 18: nRES - 5: A_FSB<8> 12: iobm/ES<4> 19: nVPA_IOB - 6: A_FSB<9> 13: iobm/Er 20: ram/RASEL - 7: IOREQ 14: iobm/Er2 + 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB + 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB + 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB + 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 + 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 + 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL + 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 + 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..................X..................... 1 -RA<7> X...X..............X.................... 3 -iobm/VPArf ..................X..................... 1 -iobm/RESrr .................X...................... 1 -iobm/RESrf .................X...................... 1 -iobm/IOREQr ......X................................. 1 -iobm/Er2 ............X........................... 1 -RA<8> .X...X.............X.................... 3 +iobm/Er2 ......X................................. 1 +nCAS .....................X.................. 1 iobm/DTACKrr ...............X........................ 1 iobm/DTACKrf ...............X........................ 1 -iobs/IOL1 ..............X.X....................... 2 -RA<9> ..XX...............X.................... 3 -iobm/ES<3> .......XXXX.XX.......................... 6 -iobm/ES<1> .......XX...XX.......................... 4 -iobm/ES<0> .......XXXXXXX.......................... 7 -iobm/ES<4> .......XXXXXXX.......................... 7 -RA<11> ..X..................................... 1 -iobm/ES<2> .......XXXXXXX.......................... 7 +RefAck ......................XX................ 2 +nRAMLWE ..............X.X.XXX................... 5 +iobs/IOU1 ..........X......X...................... 2 +iobs/IOL1 ..........X.....X....................... 2 +nRAMUWE ..............X..XXXX................... 5 +iobm/ES<3> .XXXX.XX................................ 6 +iobm/ES<1> .XX...XX................................ 4 +nROMWE ..............X...X..................... 2 +iobm/ES<0> .XXXXXXX................................ 7 +IOU0 .........X.XXX...X...................... 5 +nVPA_FSB X.............X......................... 2 +IOL0 ........X..XXX..X....................... 5 +iobm/ES<4> .XXXXXXX................................ 7 +iobm/ES<2> .XXXXXXX................................ 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) +RA<5> 2 0 0 3 FB7_2 50 I/O O +cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) +cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I +RA<6> 2 0 /\3 0 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I +RA<7> 2 0 \/3 0 FB7_9 55 I/O O +ram/Once 5 3<- \/3 0 FB7_10 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I +RA<8> 2 1<- \/4 0 FB7_12 58 I/O O +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I +RA<9> 2 0 \/1 2 FB7_15 60 I/O O +cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) +ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I +(unused) 0 0 /\5 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf + 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r + 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB + 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr + 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once + 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 + 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady + 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL + 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 + 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 + 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 + 12: A_FSB<8> 24: cs/nOverlay1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/RefCnt<5> .............XXXXX...................... 5 +RA<5> X........X.....................X........ 3 +cnt/RefCnt<4> .............XXXX....................... 4 +cs/nOverlay0 .....XXXX.............X.X.X............. 7 +ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 +RA<6> .X........X....................X........ 3 +fsb/Ready0r ......XXX..............XXXX...X......... 8 +ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 +RA<7> ..X........X...................X........ 3 +ram/Once ......XXX..............XX.X.X...XXX..... 10 +ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 +RA<8> ...X........X..................X........ 3 +ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 +cnt/RefCnt<7> .............XXXXXXX.................... 7 +RA<9> ....XX.........................X........ 3 +cnt/RefCnt<6> .............XXXXXX..................... 6 +ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 13/41 -Number of signals used by logic mapping into function block: 13 +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB8_1 (b) -RA<10> 1 0 0 4 FB8_2 63 I/O O +RA<11> 1 0 0 4 FB8_2 63 I/O O (unused) 0 0 0 5 FB8_3 (b) (unused) 0 0 0 5 FB8_4 (b) (unused) 0 0 0 5 FB8_5 64 I/O I -(unused) 0 0 0 5 FB8_6 65 I/O I +RA<10> 1 0 0 4 FB8_6 65 I/O O (unused) 0 0 0 5 FB8_7 (b) -nADoutLE0 1 0 0 4 FB8_8 66 I/O O -(unused) 0 0 0 5 FB8_9 67 I/O I -ram/BACTr 1 0 0 4 FB8_10 (b) (b) -iobs/IOACTr 1 0 0 4 FB8_11 68 I/O I +(unused) 0 0 0 5 FB8_8 66 I/O I +nADoutLE0 1 0 0 4 FB8_9 67 I/O O +(unused) 0 0 0 5 FB8_10 (b) +(unused) 0 0 0 5 FB8_11 68 I/O I nAoutOE 0 0 0 5 FB8_12 70 I/O O -iobm/Er 1 0 0 4 FB8_13 (b) (b) -fsb/ASrf 1 0 0 4 FB8_14 71 I/O I -cnt/RefCnt<0> 0 0 0 5 FB8_15 72 I/O I -RefAck 1 0 0 4 FB8_16 (b) (b) -nDinLE 1 0 0 4 FB8_17 73 I/O O -iobs/IOU1 2 0 0 3 FB8_18 (b) (b) +(unused) 0 0 0 5 FB8_13 (b) +(unused) 0 0 0 5 FB8_14 71 I/O I +nDinLE 1 0 0 4 FB8_15 72 I/O O +(unused) 0 0 0 5 FB8_16 (b) +iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I +iobm/Er 1 0 0 4 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 6: fsb/ASrf 10: nAS_FSB - 2: ALE0S 7: iobm/IOS_FSM_FFd3 11: nUDS_FSB - 3: A_FSB<21> 8: iobm/IOS_FSM_FFd4 12: ram/RS_FSM_FFd1 - 4: E_IOB 9: iobs/Load1 13: ram/RS_FSM_FFd2 - 5: IOACT + 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 + 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 + 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<10> ..X..................................... 1 +RA<11> ..X..................................... 1 +RA<10> ...X.................................... 1 nADoutLE0 XX...................................... 2 -ram/BACTr .....X...X.............................. 2 -iobs/IOACTr ....X................................... 1 nAoutOE ........................................ 0 -iobm/Er ...X.................................... 1 -fsb/ASrf .........X.............................. 1 -cnt/RefCnt<0> ........................................ 0 -RefAck ...........XX........................... 2 nDinLE ......XX................................ 2 -iobs/IOU1 ........X.X............................. 2 +iobm/IOS_FSM_FFd1 .....X.................................. 1 +iobm/Er ....X................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -672,6 +678,1120 @@ iobs/IOU1 ........X.X............................. 2 ********** Mapped Logic ********** -$OpTx$FX_DC$603 <= ((NOT TimeoutB) +$OpTx$FX_DC$602 <= ((NOT TimeoutB) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); + +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd8)); + +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/BERRrf AND iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd8) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND + NOT iobm/IOS_FSM_FFd8) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/BERRrf AND iobm/BERRrr)); + +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/ETACK) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); + +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +IORW0_T <= ((EXP22_.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); + +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + + +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); + + +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); + + +RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); + + +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(9) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); + +FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) + OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND fsb/ASrf)); + +FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); + +FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); + +FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3)); + +FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND + cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) + OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND + NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND + NOT cnt/RefCnt(7))); + +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND fsb/ASrf)); + +FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); + +FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT fsb/BERR0r)); + +FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); + +FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP18_.EXP) + OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) + OR (fsb/VPA AND fsb/ASrf AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) + OR ($OpTx$FX_DC$602.EXP) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND + $OpTx$FX_DC$606) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND + $OpTx$FX_DC$606) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/VPA AND NOT nAS_FSB AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); + +FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nVMA_IOB_OBUF.EXP) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND IOBERR AND iobs/IOReady AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((RA_2_OBUF.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); + + +nAoutOE <= '0'; + + +nBERR_FSB <= ((nAS_FSB) + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); + +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +nDTACK_FSB_D <= ((EXP21_.EXP) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR ($OpTx$FX_DC$606.EXP) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (BERR_IOBS AND nDTACK_FSB) + OR (fsb/BERR0r AND nDTACK_FSB) + OR (fsb/BERR1r AND nDTACK_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); + +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); + + +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND + NOT nAS_FSB)); + +FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +nDoutOE_D <= ((NOT IORW0) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nLDS_IOB_D <= ((NOT IOL0) + OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + + +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); + + +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAS <= NOT (((RefAck) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); + + +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay1))); + + +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); + +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nUDS_IOB_D <= ((NOT IOU0) + OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); + + +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); + +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf)); + +FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7))); + +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (cnt/RefCnt(5).EXP) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (nDinOE_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND + NOT cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC95144XL-10-TQ100 + + + -------------------------------------------------- + /100 98 96 94 92 90 88 86 84 82 80 78 76 \ + | 99 97 95 93 91 89 87 85 83 81 79 77 | + | 1 75 | + | 2 74 | + | 3 73 | + | 4 72 | + | 5 71 | + | 6 70 | + | 7 69 | + | 8 68 | + | 9 67 | + | 10 66 | + | 11 65 | + | 12 64 | + | 13 XC95144XL-10-TQ100 63 | + | 14 62 | + | 15 61 | + | 16 60 | + | 17 59 | + | 18 58 | + | 19 57 | + | 20 56 | + | 21 55 | + | 22 54 | + | 23 53 | + | 24 52 | + | 25 51 | + | 27 29 31 33 35 37 39 41 43 45 47 49 | + \26 28 30 32 34 36 38 40 42 44 46 48 50 / + -------------------------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 KPR 51 VCC + 2 KPR 52 nUDS_FSB + 3 KPR 53 RA<6> + 4 KPR 54 nDTACK_IOB + 5 VCC 55 RA<7> + 6 nLDS_IOB 56 A_FSB<4> + 7 nUDS_IOB 57 VCC + 8 nDoutOE 58 RA<8> + 9 A_FSB<22> 59 nVPA_IOB + 10 nAS_IOB 60 RA<9> + 11 nDTACK_FSB 61 nWE_FSB + 12 A_FSB<9> 62 GND + 13 nAS_FSB 63 RA<11> + 14 nBERR_FSB 64 A_FSB<8> + 15 A_FSB<5> 65 RA<10> + 16 A_FSB<2> 66 A_FSB<23> + 17 nOE 67 nADoutLE0 + 18 nBERR_IOB 68 A_FSB<21> + 19 A_FSB<6> 69 GND + 20 A_FSB<7> 70 nAoutOE + 21 GND 71 A_FSB<20> + 22 CLK2X_IOB 72 nDinLE + 23 CLK_FSB 73 A_FSB<19> + 24 nRAS 74 nCAS + 25 nLDS_FSB 75 GND + 26 VCC 76 A_FSB<18> + 27 CLK_IOB 77 nRAMLWE + 28 KPR 78 A_FSB<3> + 29 nADoutLE1 79 nRAMUWE + 30 KPR 80 A_FSB<15> + 31 GND 81 nROMWE + 32 KPR 82 A_FSB<13> + 33 nVMA_IOB 83 TDO + 34 KPR 84 GND + 35 RA<1> 85 nVPA_FSB + 36 KPR 86 A_FSB<11> + 37 KPR 87 RA<0> + 38 VCC 88 VCC + 39 RA<3> 89 A_FSB<10> + 40 KPR 90 A_FSB<1> + 41 KPR 91 RA<2> + 42 nROMCS 92 A_FSB<12> + 43 KPR 93 A_FSB<14> + 44 GND 94 RA<4> + 45 TDI 95 A_FSB<16> + 46 KPR 96 A_FSB<17> + 47 TMS 97 nDinOE + 48 TCK 98 VCC + 49 E_IOB 99 nRES + 50 RA<5> 100 GND + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc95144xl-10-TQ100 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/cpld/XC95144XL/MXSE.syr b/cpld/XC95144XL/MXSE.syr index 196db5b..4a8f658 100644 --- a/cpld/XC95144XL/MXSE.syr +++ b/cpld/XC95144XL/MXSE.syr @@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.08 secs +Total CPU time to Xst completion: 0.36 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.08 secs +Total CPU time to Xst completion: 0.36 secs --> Reading design: MXSE.prj @@ -198,6 +198,7 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -259,6 +260,7 @@ Synthesizing Unit . Found 1-bit register for signal . Found 8-bit up counter for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Summary: inferred 1 Counter(s). Unit synthesized. @@ -291,8 +293,8 @@ Macro Statistics # Counters : 2 5-bit up counter : 1 8-bit up counter : 1 -# Registers : 56 - 1-bit register : 56 +# Registers : 58 + 1-bit register : 58 ========================================================================= @@ -375,9 +377,9 @@ Optimizing unit ... Optimizing unit ... implementation constraint: INIT=r : IOACTr + implementation constraint: INIT=r : PS_FSM_FFd2 implementation constraint: INIT=r : Once implementation constraint: INIT=r : PS_FSM_FFd1 - implementation constraint: INIT=r : PS_FSM_FFd2 Optimizing unit ... implementation constraint: INIT=r : ASrf @@ -435,23 +437,24 @@ Design Statistics # IOs : 67 Cell Usage : -# BELS : 566 -# AND2 : 162 -# AND3 : 21 +# BELS : 570 +# AND2 : 160 +# AND3 : 20 # AND4 : 15 # AND5 : 1 # AND6 : 3 +# AND7 : 1 # AND8 : 3 # GND : 6 -# INV : 238 -# OR2 : 91 +# INV : 243 +# OR2 : 92 # OR3 : 8 # OR4 : 5 # VCC : 1 # XOR2 : 12 -# FlipFlops/Latches : 82 -# FD : 56 -# FDCE : 26 +# FlipFlops/Latches : 84 +# FD : 57 +# FDCE : 27 # IO Buffers : 67 # IBUF : 35 # OBUF : 32 @@ -459,11 +462,11 @@ Cell Usage : Total REAL time to Xst completion: 3.00 secs -Total CPU time to Xst completion: 2.37 secs +Total CPU time to Xst completion: 3.01 secs --> -Total memory usage is 231768 kilobytes +Total memory usage is 232788 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/MXSE.tspec index 9fdadcd..fa7edb3 100644 --- a/cpld/XC95144XL/MXSE.tspec +++ b/cpld/XC95144XL/MXSE.tspec @@ -1,98 +1,88 @@ -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:333 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:200 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:200 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:200 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:200 TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:200 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 @@ -101,296 +91,303 @@ TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:200 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:200 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:200 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:200 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:200 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:200 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:200 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:200 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:200 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:200 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:200 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:200 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:200 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:200 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<0>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:200 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<1>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:200 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:200 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:200 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:200 -TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:200 +TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nADoutLE1_OBUF.D:200 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:333 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:333 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:333 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 index 0d93ce6..b8ad9c0 100644 --- a/cpld/XC95144XL/MXSE.vm6 +++ b/cpld/XC95144XL/MXSE.vm6 @@ -8,763 +8,742 @@ NETWORK | MXSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9280 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 9483 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9281 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 9484 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9282 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9283 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9284 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9285 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9286 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 9485 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9287 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9288 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9289 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9290 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9291 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 9486 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9487 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9292 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 9488 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9293 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 9489 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9294 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 9490 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9491 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9492 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9493 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9494 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9495 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9496 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9497 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9498 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 9295 | PI | 565 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | CLK2X_IOB | 9499 | PI | 577 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOB_OBUF$Q | 9117 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9316 | ? | 634 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9519 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9317 | ? | 634 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/Once.EXP +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9520 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 9798 | ? | 612 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10009 | ? | 615 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOB_OBUF.D | 9315 | ? | 634 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOB_OBUF.D | 9518 | ? | 648 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOB_OBUF.Q | 9318 | ? | 647 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9521 | ? | 661 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 9296 | PI | 566 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | CLK_FSB | 9500 | PI | 578 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9302 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9506 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 6 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9320 | ? | 578 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9523 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9321 | ? | 578 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9524 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay1.EXP | 10024 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9322 | ? | 580 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9319 | ? | 578 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay1.D | 9522 | ? | 590 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9322 | ? | 580 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9323 | ? | 633 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9526 | ? | 645 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9298 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9325 | ? | 581 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9326 | ? | 581 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9324 | ? | 581 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9327 | ? | 633 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 19 | 3 +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9329 | ? | 582 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9528 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9330 | ? | 582 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1.EXP +SIGNAL | NODE | ram/Once.D2 | 9529 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/Once.EXP | 9797 | ? | 634 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr +SIGNAL | NODE | ram/Once.EXP | 10059 | ? | 619 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9328 | ? | 582 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +NODE | ram/Once.D | 9527 | ? | 593 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9331 | ? | 631 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9530 | ? | 645 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 9333 | ? | 583 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9532 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 9334 | ? | 583 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9533 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 9332 | ? | 583 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +NODE | cnt/RefDone.D | 9531 | ? | 594 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 9335 | ? | 631 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9534 | ? | 645 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9502 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 17 | 3 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | BERR_IOBS.D1 | 9337 | ? | 584 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9536 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | BERR_IOBS.D2 | 9338 | ? | 584 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP +SIGNAL | NODE | iobs/Once.D2 | 9537 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9535 | ? | 595 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9538 | ? | 640 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9540 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9541 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | BERR_IOBS.EXP | 9795 | ? | 610 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | BERR_IOBS.D | 9336 | ? | 584 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +NODE | BERR_IOBS.D | 9539 | ? | 596 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | BERR_IOBS.Q | 9339 | ? | 607 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9542 | ? | 620 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9297 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9501 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 9303 | PI | 567 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | CLK_IOB | 9507 | PI | 579 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 13 | 2 +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9341 | ? | 636 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9544 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9342 | ? | 636 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | nAS_IOB_OBUF.EXP +SIGNAL | NODE | IOBERR.D2 | 9545 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP33_.EXP SPPTERM | 5 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 5 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOBERR.EXP | 10051 | ? | 665 | 0 | IOBERR | NULL | NULL | IOBERR.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9340 | ? | 636 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 9543 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9343 | ? | 636 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9546 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9345 | ? | 585 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9548 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9346 | ? | 585 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 1 | IV_TRUE | IOREQ.EXP +SIGNAL | NODE | IORW0.D2 | 9549 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 @@ -773,1296 +752,1286 @@ SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9344 | ? | 585 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +NODE | IORW0.D | 9547 | ? | 597 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9347 | ? | 668 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutA.D1 | 9349 | ? | 586 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutA.D2 | 9350 | ? | 586 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | TimeoutA.EXP | 9845 | ? | 611 | 0 | TimeoutA | NULL | NULL | TimeoutA.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutA.D | 9348 | ? | 586 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutA.Q | 9351 | ? | 607 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9550 | ? | 682 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9299 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nRES | 9503 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV -NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 7 | 2 +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9353 | ? | 587 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9552 | ? | 598 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9354 | ? | 587 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cs/nOverlay0.D2 | 9553 | ? | 598 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10056 | ? | 624 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9352 | ? | 587 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay0.D | 9551 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 3 | 8 | SRFF_R -NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9355 | ? | 587 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9554 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9300 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 9504 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9357 | ? | 589 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9556 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9358 | ? | 589 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9557 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9359 | ? | 590 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9356 | ? | 589 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 9555 | ? | 600 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9359 | ? | 590 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9360 | ? | 667 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9559 | ? | 681 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9301 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 9505 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9362 | ? | 591 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9561 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9363 | ? | 591 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9562 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9364 | ? | 592 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9361 | ? | 591 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 9560 | ? | 602 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9364 | ? | 592 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9365 | ? | 668 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9564 | ? | 682 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9566 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9567 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9565 | ? | 604 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9568 | ? | 620 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutB.D1 | 9367 | ? | 593 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9570 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutB.D2 | 9368 | ? | 593 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9571 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_TRUE | TimeoutA | IV_FALSE | TimeoutB | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 11 | IV_TRUE | TimeoutA | IV_FALSE | TimeoutB | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutB.D | 9366 | ? | 593 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +NODE | TimeoutB.D | 9569 | ? | 605 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutB.Q | 9369 | ? | 607 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9572 | ? | 620 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 11 | 3 +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 9371 | ? | 594 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9574 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 9372 | ? | 594 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9575 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9573 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9576 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9578 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9579 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/BERR0r.EXP | 9764 | ? | 633 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ALE1 +SIGNAL | NODE | fsb/BERR0r.EXP | 10033 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 9370 | ? | 594 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +NODE | fsb/BERR0r.D | 9577 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 9373 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9580 | ? | 620 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 9375 | ? | 595 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9582 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 9376 | ? | 595 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9583 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 9374 | ? | 595 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +NODE | fsb/BERR1r.D | 9581 | ? | 608 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 9377 | ? | 607 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9584 | ? | 620 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9379 | ? | 596 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9586 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9380 | ? | 596 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9587 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9378 | ? | 596 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready0r.D | 9585 | ? | 609 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9381 | ? | 607 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9588 | ? | 620 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 16 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 15 | 2 +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9383 | ? | 597 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9590 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9384 | ? | 597 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9591 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9382 | ? | 597 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 9589 | ? | 610 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9385 | ? | 607 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9592 | ? | 620 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 9387 | ? | 598 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9594 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 9388 | ? | 598 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SIGNAL | NODE | fsb/Ready2r.D2 | 9595 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 9386 | ? | 598 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready2r.D | 9593 | ? | 611 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 9389 | ? | 607 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9596 | ? | 620 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 12 | 2 +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9391 | ? | 599 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9598 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9392 | ? | 599 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SIGNAL | NODE | fsb/VPA.D2 | 9599 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$FX_DC$602.UIM SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9390 | ? | 599 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 9597 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9393 | ? | 599 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9600 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9395 | ? | 600 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9602 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9396 | ? | 600 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9603 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9397 | ? | 601 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9394 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9601 | ? | 613 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9397 | ? | 601 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9398 | ? | 589 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9605 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 10 | 2 +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9400 | ? | 602 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9607 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9401 | ? | 602 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9608 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | ALE1 SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9399 | ? | 602 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9606 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9402 | ? | 602 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9609 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9404 | ? | 603 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9611 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9405 | ? | 603 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9612 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | IOBERR | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOReady.EXP | 9794 | ? | 584 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9403 | ? | 603 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +NODE | iobs/IOReady.D | 9610 | ? | 616 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9406 | ? | 607 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9613 | ? | 620 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9408 | ? | 604 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9615 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9409 | ? | 604 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9616 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9410 | ? | 605 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9407 | ? | 604 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9614 | ? | 617 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9410 | ? | 605 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9411 | ? | 591 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9618 | ? | 602 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 2 +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 9413 | ? | 606 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9620 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 9414 | ? | 606 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SIGNAL | NODE | ram/RAMDIS2.D2 | 9621 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/Once.EXP SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMDIS2.EXP | 10060 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 9412 | ? | 606 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +NODE | ram/RAMDIS2.D | 9619 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 9415 | ? | 606 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9622 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 26 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9151 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 25 | 2 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9417 | ? | 607 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9624 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9418 | ? | 607 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9625 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$602.UIM SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9416 | ? | 607 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 9623 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9419 | ? | 607 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9626 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9421 | ? | 608 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9628 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9422 | ? | 608 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SIGNAL | NODE | ram/RASEL.D2 | 9629 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP32_.EXP SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr @@ -2071,227 +2040,207 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/ SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9420 | ? | 608 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 9627 | ? | 621 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9423 | ? | 632 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9630 | ? | 646 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 13 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 12 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9425 | ? | 609 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9632 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9426 | ? | 609 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9633 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_8_OBUF.EXP +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 9817 | ? | 608 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9424 | ? | 609 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 9631 | ? | 622 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9427 | ? | 631 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9634 | ? | 645 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 11 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9429 | ? | 610 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9636 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9430 | ? | 610 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | BERR_IOBS.EXP +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9637 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd1.EXP | 9796 | ? | 582 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9428 | ? | 610 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 9635 | ? | 623 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9431 | ? | 631 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9638 | ? | 645 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9433 | ? | 611 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9640 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9434 | ? | 611 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | TimeoutA.EXP +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9641 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> @@ -2300,291 +2249,232 @@ SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/R SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9432 | ? | 611 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 9639 | ? | 624 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9435 | ? | 631 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9642 | ? | 645 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 17 | 2 +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9437 | ? | 612 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9644 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9438 | ? | 612 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9645 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9436 | ? | 612 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd2.D | 9643 | ? | 625 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9439 | ? | 633 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9441 | ? | 613 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9442 | ? | 613 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9440 | ? | 613 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9443 | ? | 633 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9646 | ? | 643 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9445 | ? | 637 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9648 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9446 | ? | 637 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9649 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9444 | ? | 637 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9647 | ? | 651 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9447 | ? | 647 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9650 | ? | 661 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9449 | ? | 638 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9652 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9450 | ? | 638 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9653 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9448 | ? | 638 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9651 | ? | 652 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9451 | ? | 647 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9654 | ? | 661 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9453 | ? | 639 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9656 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9454 | ? | 639 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9657 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr @@ -2593,53 +2483,53 @@ SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9452 | ? | 639 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 9655 | ? | 653 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9455 | ? | 668 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9658 | ? | 682 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9457 | ? | 640 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9660 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9458 | ? | 640 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9661 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -2648,102 +2538,174 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9456 | ? | 640 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9659 | ? | 654 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9459 | ? | 647 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9662 | ? | 661 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9664 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9665 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE1_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10007 | ? | 640 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9663 | ? | 626 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9666 | ? | 643 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9461 | ? | 641 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9668 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9462 | ? | 641 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9669 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9460 | ? | 641 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9667 | ? | 655 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9463 | ? | 647 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9670 | ? | 661 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9465 | ? | 642 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9672 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9466 | ? | 642 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9673 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -2751,610 +2713,887 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9464 | ? | 642 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 9671 | ? | 656 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9467 | ? | 647 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9674 | ? | 661 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9469 | ? | 643 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9676 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9470 | ? | 643 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9677 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 9468 | ? | 643 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 9675 | ? | 657 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 9471 | ? | 668 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9678 | ? | 682 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9473 | ? | 644 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9680 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9474 | ? | 644 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9681 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 9472 | ? | 644 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 9679 | ? | 658 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 9475 | ? | 668 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 9682 | ? | 682 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 4 | 3 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9477 | ? | 645 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9684 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9478 | ? | 645 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9685 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOS_FSM_FFd6.EXP | 9783 | ? | 646 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 9476 | ? | 645 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 9683 | ? | 659 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 9479 | ? | 668 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 9686 | ? | 682 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 14 | 3 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9481 | ? | 646 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9688 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9482 | ? | 646 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOS_FSM_FFd7.EXP | 9782 | ? | 651 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9689 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 9480 | ? | 646 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 9687 | ? | 660 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 9483 | ? | 668 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 9690 | ? | 682 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9485 | ? | 614 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9486 | ? | 614 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9484 | ? | 614 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9487 | ? | 627 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9489 | ? | 615 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9692 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9490 | ? | 615 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9693 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 9488 | ? | 615 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<0>.D | 9691 | ? | 627 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 9491 | ? | 623 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9694 | ? | 637 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9493 | ? | 616 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9696 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9494 | ? | 616 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9697 | ? | 628 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<5>.EXP | 10055 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 9492 | ? | 616 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<5>.D | 9695 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 9495 | ? | 631 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9698 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 15 | 3 +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9497 | ? | 617 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9700 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9498 | ? | 617 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9701 | ? | 629 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<6>.EXP | 9843 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r +SIGNAL | NODE | cnt/RefCnt<6>.EXP | 10064 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 9496 | ? | 617 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<6>.D | 9699 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 9499 | ? | 631 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9702 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9501 | ? | 647 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9704 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9502 | ? | 647 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SIGNAL | NODE | iobs/IOACTr.D2 | 9705 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ETACK.EXP | 9792 | ? | 602 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 +SIGNAL | NODE | iobs/IOACTr.EXP | 10003 | ? | 597 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9703 | ? | 630 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9706 | ? | 640 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9708 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9709 | ? | 631 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9707 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9710 | ? | 637 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9712 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9713 | ? | 632 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9711 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9714 | ? | 637 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9716 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9717 | ? | 633 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9715 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9718 | ? | 637 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9720 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9721 | ? | 634 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9719 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9722 | ? | 637 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9724 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9725 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ETACK.EXP | 10008 | ? | 640 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9500 | ? | 647 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +NODE | iobm/ETACK.D | 9723 | ? | 661 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9503 | ? | 653 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9726 | ? | 667 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9505 | ? | 648 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9728 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9506 | ? | 648 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9729 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOREQ SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9504 | ? | 648 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOREQr.D | 9727 | ? | 662 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9507 | ? | 656 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9730 | ? | 670 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd8 | MXSE_COPY_0_COPY_0 | 2155873024 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd8.SI | iobm/IOS_FSM_FFd8 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9509 | ? | 650 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9732 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9510 | ? | 650 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9733 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/IOS_FSM_FFd1 SRFF_INSTANCE | iobm/IOS_FSM_FFd8.REG | iobm/IOS_FSM_FFd8 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd8.D | 9508 | ? | 650 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd8.D | 9731 | ? | 664 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd8.Q | 9511 | ? | 656 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd8.Q | 9734 | ? | 670 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9513 | ? | 618 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9736 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9514 | ? | 618 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9737 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9735 | ? | 635 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9738 | ? | 647 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9740 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9741 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/BACTr.EXP | 10011 | ? | 615 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9512 | ? | 618 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9739 | ? | 636 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9515 | ? | 631 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9742 | ? | 645 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9517 | ? | 651 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9744 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9518 | ? | 651 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7.EXP +SIGNAL | NODE | IOACT.D2 | 9745 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +SPPTERM | 1 | IV_TRUE | IOBERR.EXP SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOREQr @@ -3363,375 +3602,255 @@ SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_F SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9516 | ? | 651 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 9743 | ? | 665 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9519 | ? | 634 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9746 | ? | 648 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9521 | ? | 619 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9522 | ? | 619 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> - -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 9520 | ? | 619 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 9523 | ? | 623 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9525 | ? | 620 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9526 | ? | 620 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> - -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 9524 | ? | 620 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 9527 | ? | 623 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9529 | ? | 621 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9530 | ? | 621 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 9528 | ? | 621 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 9531 | ? | 623 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9533 | ? | 622 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9534 | ? | 622 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 9532 | ? | 622 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 9535 | ? | 623 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 15 | 3 +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9537 | ? | 623 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9748 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9538 | ? | 623 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9749 | ? | 637 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<7>.EXP | 9846 | ? | 599 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 5 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SIGNAL | NODE | cnt/RefCnt<7>.EXP | 10062 | ? | 622 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 9536 | ? | 623 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/RefCnt<7>.D | 9747 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 9539 | ? | 631 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9750 | ? | 645 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 9304 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E_IOB | 9508 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9541 | ? | 575 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9752 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9542 | ? | 575 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9753 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IOB_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9540 | ? | 575 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 9751 | ? | 587 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9543 | ? | 652 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9754 | ? | 666 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefAck.D1 | 9545 | ? | 624 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9756 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefAck.D2 | 9546 | ? | 624 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9757 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefAck.D | 9544 | ? | 624 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +NODE | RefAck.D | 9755 | ? | 638 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefAck.Q | 9547 | ? | 583 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9758 | ? | 594 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9549 | ? | 652 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9760 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9550 | ? | 652 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9761 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9548 | ? | 652 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 9759 | ? | 666 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9551 | ? | 642 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9762 | ? | 656 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9553 | ? | 653 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9764 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9554 | ? | 653 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9765 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr @@ -3739,601 +3858,576 @@ SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | i SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9552 | ? | 653 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9763 | ? | 667 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9555 | ? | 666 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9766 | ? | 680 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9305 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9509 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9557 | ? | 654 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9768 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9558 | ? | 654 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9769 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9556 | ? | 654 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArf.D | 9767 | ? | 668 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9559 | ? | 634 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9770 | ? | 648 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9561 | ? | 655 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9772 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9562 | ? | 655 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9773 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9560 | ? | 655 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArr.D | 9771 | ? | 669 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9563 | ? | 634 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9774 | ? | 648 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9565 | ? | 625 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9566 | ? | 625 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9564 | ? | 625 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9567 | ? | 605 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9569 | ? | 656 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9776 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9570 | ? | 656 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9777 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9568 | ? | 656 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 9775 | ? | 670 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9571 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9778 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9573 | ? | 626 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9780 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9574 | ? | 626 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9781 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ALE0S.EXP | 10004 | ? | 625 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9572 | ? | 626 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 9779 | ? | 639 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9575 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9782 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 17 | 3 +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9577 | ? | 627 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9784 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9578 | ? | 627 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOREQ.EXP | 9768 | ? | 585 | 0 | IOREQ | NULL | NULL | IOREQ.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | IOREQ.D2 | 9785 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP +SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9576 | ? | 627 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +NODE | IOREQ.D | 9783 | ? | 640 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9579 | ? | 648 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9786 | ? | 662 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9581 | ? | 628 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9788 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9582 | ? | 628 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9789 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9580 | ? | 628 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 9787 | ? | 641 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9583 | ? | 633 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9790 | ? | 645 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9585 | ? | 657 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9792 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9586 | ? | 657 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9793 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9584 | ? | 657 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrf.D | 9791 | ? | 671 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9587 | ? | 653 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9794 | ? | 667 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9589 | ? | 658 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9796 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9590 | ? | 658 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9797 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9588 | ? | 658 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrr.D | 9795 | ? | 672 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9591 | ? | 653 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9798 | ? | 667 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9306 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9510 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9593 | ? | 659 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9800 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9594 | ? | 659 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9801 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9592 | ? | 659 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrf.D | 9799 | ? | 673 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9595 | ? | 653 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9802 | ? | 667 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9597 | ? | 660 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9804 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9598 | ? | 660 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9805 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9596 | ? | 660 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrr.D | 9803 | ? | 674 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9599 | ? | 653 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9806 | ? | 667 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9601 | ? | 661 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9808 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9602 | ? | 661 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9809 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9600 | ? | 661 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9807 | ? | 675 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9603 | ? | 650 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9810 | ? | 664 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9605 | ? | 662 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9812 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9606 | ? | 662 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9813 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nRES_IBUF SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9604 | ? | 662 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrf.D | 9811 | ? | 676 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9607 | ? | 653 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9814 | ? | 667 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9609 | ? | 663 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9816 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9610 | ? | 663 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9817 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nRES_IBUF SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9608 | ? | 663 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrr.D | 9815 | ? | 677 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9611 | ? | 653 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9818 | ? | 667 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9820 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9821 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 9819 | ? | 643 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9822 | ? | 647 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 9613 | ? | 630 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9824 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 9614 | ? | 630 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SIGNAL | NODE | ram/RAMDIS1.D2 | 9825 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 1 | IV_TRUE | EXP31_.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 @@ -4342,75 +4436,75 @@ SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/ SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 9612 | ? | 630 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +NODE | ram/RAMDIS1.D | 9823 | ? | 644 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 9615 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9826 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9617 | ? | 631 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9828 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9618 | ? | 631 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9829 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP SPPTERM | 1 | IV_TRUE | EXP34_.EXP -SPPTERM | 1 | IV_TRUE | EXP35_.EXP SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr @@ -4419,3305 +4513,3859 @@ SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_F SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9616 | ? | 631 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/RAMReady.D | 9827 | ? | 645 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9619 | ? | 607 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9830 | ? | 620 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9307 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 9511 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9308 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 9512 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9309 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 9513 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9310 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 9514 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9311 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 9515 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9312 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 9516 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9313 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 9517 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9314 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOB_OBUF | 9216 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9621 | ? | 664 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9832 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9622 | ? | 664 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9833 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAS_IOB_OBUF.EXP | 9785 | ? | 636 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOB_OBUF.D | 9620 | ? | 664 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAS_IOB_OBUF.D | 9831 | ? | 678 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOB_OBUF.Q | 9623 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 9834 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9217 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9625 | ? | 632 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9836 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9626 | ? | 632 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9837 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/RASEL SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9624 | ? | 632 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 9835 | ? | 646 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9627 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9838 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9218 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9629 | ? | 665 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9840 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9630 | ? | 665 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9841 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9628 | ? | 665 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 9839 | ? | 679 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9631 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9842 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9219 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9633 | ? | 666 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9844 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9634 | ? | 666 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9845 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | IORW0 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDoutOE_OBUF.EXP | 9784 | ? | 636 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9632 | ? | 666 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 9843 | ? | 680 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9635 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9846 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOB_OBUF | 9220 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9637 | ? | 667 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9848 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9638 | ? | 667 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9849 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | IOL0 SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOB_OBUF.D | 9636 | ? | 667 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOB_OBUF.D | 9847 | ? | 681 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOB_OBUF.Q | 9639 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 9850 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOB_OBUF | 9221 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9641 | ? | 668 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9852 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9642 | ? | 668 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9853 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | IOU0 SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOB_OBUF.D | 9640 | ? | 668 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOB_OBUF.D | 9851 | ? | 682 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOB_OBUF.Q | 9643 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 9854 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9222 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9645 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9856 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9646 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9644 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9647 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9223 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9649 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9650 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SIGNAL | NODE | RA_0_OBUF.D2 | 9857 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay1.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 9815 | ? | 609 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9648 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9651 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9224 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9653 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9654 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9652 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9655 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9225 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9657 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9658 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9656 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9659 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9226 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9661 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9662 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9660 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9663 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9227 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9665 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9666 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 9820 | ? | 608 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9664 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9667 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9228 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9669 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9670 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9668 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9671 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9229 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9673 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9674 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9672 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9675 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9230 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9677 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9678 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9676 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9679 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9231 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9681 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9682 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9680 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9683 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9232 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9685 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9686 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9684 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9687 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9233 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9689 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9690 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9688 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9691 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9234 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9693 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9694 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9692 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9695 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9235 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9697 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9698 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9696 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9699 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9236 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9701 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9702 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinOE_OBUF.EXP | 9823 | ? | 630 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9700 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9703 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9237 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9705 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9706 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefAck -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 9793 | ? | 647 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9704 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9707 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9238 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9709 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9710 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9708 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9711 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9239 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9713 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9714 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9712 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9715 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155872768 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9240 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9717 | ? | 633 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9718 | ? | 633 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP -SPPTERM | 2 | IV_TRUE | iobs/Once | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9716 | ? | 633 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9719 | ? | 633 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9242 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9721 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9722 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9842 | ? | 599 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_FALSE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_FALSE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$INV$223.UIM -SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$INV$223.UIM - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9720 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9723 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9243 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9725 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9726 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 9800 | ? | 581 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9724 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9727 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9244 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9729 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9730 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 9827 | ? | 606 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9728 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9731 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9245 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9733 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9734 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9732 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9735 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF$Q | 9246 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9737 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9738 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 9844 | ? | 611 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9736 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9739 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOB_OBUF$Q | 9117 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9247 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9151 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9248 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOB_OBUF | 9216 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9249 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9217 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9250 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9218 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9251 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9219 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9252 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOB_OBUF | 9220 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9253 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOB_OBUF | 9221 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9254 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9222 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9255 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9223 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9256 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9224 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9257 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9225 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9258 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9226 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9259 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9227 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9260 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9228 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9261 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9229 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9262 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9230 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9263 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9231 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9264 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9232 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9265 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9233 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9266 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9234 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9267 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9235 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9268 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9236 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9269 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9237 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9270 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9238 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9271 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9239 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9272 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9240 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9273 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9242 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9274 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9243 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9275 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9244 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9276 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9245 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9277 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF$Q | 9246 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9278 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$223 | MXSE_COPY_0_COPY_0 | 2181038080 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$INV$223.SI | $OpTx$INV$223 | 0 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$INV$223.D1 | 9741 | ? | 599 | 4096 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$INV$223.D2 | 9742 | ? | 599 | 4096 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady - -SRFF_INSTANCE | $OpTx$INV$223.REG | $OpTx$INV$223 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$INV$223.D | 9740 | ? | 599 | 0 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$INV$223.Q | 9743 | ? | 599 | 0 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 9758 | ? | 627 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 9759 | ? | 607 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 9760 | ? | 607 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady - -MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 9761 | ? | 607 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 9762 | ? | 607 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/Ready1r -SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | iobs/IOReady - -MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 9763 | ? | 633 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 9765 | ? | 594 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 9766 | ? | 599 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r - -MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 9767 | ? | 585 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 9769 | ? | 627 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 - -MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 9790 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 9791 | ? | 602 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 9799 | ? | 581 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 9801 | ? | 625 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 9814 | ? | 0 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF - -MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 9816 | ? | 609 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 9818 | ? | 608 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 9819 | ? | 608 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SIGNAL | NODE | RA_0_OBUF.EXP | 10023 | ? | 621 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9855 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9858 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 8 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9860 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9861 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 9821 | ? | 630 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 10049 | ? | 665 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9859 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9862 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9864 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9865 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10027 | ? | 595 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9863 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9866 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9868 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9869 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9867 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9870 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9872 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9873 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10030 | ? | 644 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9871 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9874 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9876 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9877 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9875 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9878 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9880 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9881 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10057 | ? | 624 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9879 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9882 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9884 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9885 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_7_OBUF.EXP | 10058 | ? | 593 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9883 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9886 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9888 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9889 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_8_OBUF.EXP | 10061 | ? | 622 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9887 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9890 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9892 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9893 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_9_OBUF.EXP | 10063 | ? | 629 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9891 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9894 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9896 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9897 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9981 | ? | 610 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 9895 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9898 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 21 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9900 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9901 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 9983 | ? | 611 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 9899 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9902 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9904 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9905 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 9903 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9906 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9908 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9909 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 9907 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9910 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9912 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9913 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 9911 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9914 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9916 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9917 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 10034 | ? | 621 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 9915 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9918 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9920 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9921 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9919 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9922 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9928 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9929 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 9927 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9930 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9932 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9933 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE1_OBUF.EXP | 10006 | ? | 626 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 9931 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9934 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9948 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9949 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 9947 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9950 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9448 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9449 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9450 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9451 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9452 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9453 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9454 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9455 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9456 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9457 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9458 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9459 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9460 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9461 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9462 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9463 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9464 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9465 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9466 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9467 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9468 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9469 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9470 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9471 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9472 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9473 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9474 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9475 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9476 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9477 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9478 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9479 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | fsb/VPA__or00001/fsb/VPA__or00001_D2 | MXSE_COPY_0_COPY_0 | 2181038080 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D1 | 9952 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D2 | 9953 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D | 9951 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | 9954 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$602 | MXSE_COPY_0_COPY_0 | 2181038080 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$FX_DC$602.SI | $OpTx$FX_DC$602 | 0 | 25 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$602.D1 | 9956 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$602.D2 | 9957 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | TimeoutB +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$FX_DC$602.EXP | 9987 | ? | 612 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM + +SRFF_INSTANCE | $OpTx$FX_DC$602.REG | $OpTx$FX_DC$602 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$602.D | 9955 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$602.Q | 9958 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$606 | MXSE_COPY_0_COPY_0 | 2181038080 | 28 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$FX_DC$606.SI | $OpTx$FX_DC$606 | 0 | 28 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$606.D1 | 9960 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$606.D2 | 9961 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF +SPPTERM | 9 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$FX_DC$606.EXP | 9979 | ? | 620 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | $OpTx$FX_DC$602.UIM + +SRFF_INSTANCE | $OpTx$FX_DC$606.REG | $OpTx$FX_DC$606 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$606.D | 9959 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$606.Q | 9962 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 9977 | ? | 620 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 9978 | ? | 620 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$606.EXP +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 9980 | ? | 612 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 9 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 9982 | ? | 612 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 30 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 30 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 9984 | ? | 612 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 612 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$FX_DC$602.UIM + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 9986 | ? | 612 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$602.EXP +SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | $OpTx$FX_DC$606.UIM +SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | $OpTx$FX_DC$606.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 9988 | ? | 620 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10001 | ? | 597 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10002 | ? | 597 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10005 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10010 | ? | 615 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10025 | ? | 635 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10026 | ? | 635 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10028 | ? | 595 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10029 | ? | 595 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10031 | ? | 644 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 15 | 1 +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 9822 | ? | 630 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SIGNAL | NODE | EXP31_.EXP | 10032 | ? | 644 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 9824 | ? | 631 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | EXP32_.EXP | 10035 | ? | 621 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP35_.EXP | 9825 | ? | 631 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SIGNAL | NODE | EXP33_.EXP | 10050 | ? | 650 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10065 | ? | 645 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<5>.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP36_.EXP | 9826 | ? | 631 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP15_ | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 12 | 49152 -FBPIN | 4 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP17_ | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | EXP18_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 8 | EXP19_ | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 16 | 49152 -FBPIN | 10 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP20_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | fsb/Ready2r | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | EXP21_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | $OpTx$INV$223 | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | EXP22_ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | IORW0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOREQ | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 -FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 11 | 49152 +FBPIN | 3 | EXP15_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | $OpTx$FX_DC$606 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP16_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 14 | 49152 +FBPIN | 7 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | EXP17_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 17 | 49152 +FBPIN | 12 | fsb/Ready2r | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP19_ | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | fsb/VPA | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | $OpTx$FX_DC$602 | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/IOS_FSM_FFd6 | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 -FBPIN | 3 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 3 | 53248 -FBPIN | 9 | ALE0M | 1 | NULL | 0 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 -FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 -FBPIN | 13 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 8 | 49152 -FBPIN | 15 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 9 | 49152 -FBPIN | 16 | IOBERR | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 -FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP25_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 -FBPIN | 6 | iobs/PS_FSM_FFd1 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | BERR_IOBS | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 -FBPIN | 9 | ram/RS_FSM_FFd1 | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 28 | 49152 -FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 29 | 49152 -FBPIN | 12 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 32 | 49152 -FBPIN | 15 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 33 | 49152 -FBPIN | 16 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP27_ | 1 | NULL | 0 | NULL | 0 | 34 | 49152 -FBPIN | 18 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP28_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 87 | 49152 -FBPIN | 3 | EXP29_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP30_ | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 89 | 49152 -FBPIN | 6 | ram/RASEL | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 90 | 49152 -FBPIN | 7 | EXP31_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 91 | 49152 -FBPIN | 9 | EXP32_ | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP33_ | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 93 | 49152 -FBPIN | 12 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 94 | 49152 -FBPIN | 13 | EXP34_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RAMReady | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP35_ | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | EXP36_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 97 | 49152 -FBPIN | 18 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 15 | NULL | 0 | E_IOB_IBUF | 1 | NULL | 0 | 46 | 49152 -FBPIN | 16 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/Er | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 -FBPIN | 18 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 74 | 49152 -FBPIN | 3 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<3> | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 77 | 49152 -FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/RefCnt<1> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 79 | 49152 -FBPIN | 10 | RefAck | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ALE0S | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 80 | 49152 -FBPIN | 12 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 81 | 49152 -FBPIN | 13 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IOL1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 82 | 49152 -FBPIN | 15 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 85 | 49152 -FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 86 | 49152 -FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 50 | 49152 +FBPIN | 1 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/VPArf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 FBPIN | 3 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOREQr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 52 | 49152 -FBPIN | 6 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 53 | 49152 -FBPIN | 7 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/DTACKrr | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 54 | 49152 -FBPIN | 9 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 55 | 49152 -FBPIN | 10 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 56 | 49152 -FBPIN | 12 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 58 | 49152 -FBPIN | 13 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ES<0> | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 59 | 49152 -FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 60 | 49152 -FBPIN | 16 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 61 | 49152 +FBPIN | 5 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 | 2 | 53248 +FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 | 3 | 53248 +FBPIN | 9 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 | 4 | 53248 +FBPIN | 10 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 +FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 +FBPIN | 13 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 8 | 49152 +FBPIN | 15 | TimeoutB | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | TimeoutA | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 +FBPIN | 18 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP23_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 +FBPIN | 6 | iobs/Clear1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ALE0S | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 +FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 28 | 49152 +FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 29 | 49152 +FBPIN | 12 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 +FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 15 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 33 | 49152 +FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 +FBPIN | 18 | ram/BACTr | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 87 | 49152 +FBPIN | 3 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP26_ | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 +FBPIN | 6 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 90 | 49152 +FBPIN | 7 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 91 | 49152 +FBPIN | 9 | EXP28_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP29_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 93 | 49152 +FBPIN | 12 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 94 | 49152 +FBPIN | 13 | EXP30_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RAMDIS1 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP31_ | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 97 | 49152 +FBPIN | 18 | EXP32_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 35 | 49152 +FBPIN | 3 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 37 | 49152 +FBPIN | 7 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 39 | 49152 +FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 40 | 49152 +FBPIN | 10 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 +FBPIN | 12 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 42 | 49152 +FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 +FBPIN | 15 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 | 46 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP33_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 74 | 49152 +FBPIN | 3 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | RefAck | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 77 | 49152 +FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 79 | 49152 +FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/ES<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 80 | 49152 +FBPIN | 12 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 81 | 49152 +FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IOU0 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 82 | 49152 +FBPIN | 15 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 85 | 49152 +FBPIN | 16 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/ES<4> | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 86 | 49152 FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 50 | 49152 +FBPIN | 3 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 52 | 49152 +FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 53 | 49152 +FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 54 | 49152 +FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 55 | 49152 +FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RAMDIS2 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 56 | 49152 +FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 58 | 49152 +FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/RefCnt<7> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 59 | 49152 +FBPIN | 15 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 60 | 49152 +FBPIN | 16 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RAMReady | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 61 | 49152 +FBPIN | 18 | EXP34_ | 1 | NULL | 0 | NULL | 0 + FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 63 | 49152 -FBPIN | 3 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<4> | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 64 | 49152 -FBPIN | 6 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 65 | 49152 -FBPIN | 7 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cs/nOverlay1 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 66 | 49152 -FBPIN | 9 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 67 | 49152 -FBPIN | 10 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/RefDone | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 68 | 49152 -FBPIN | 12 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 70 | 49152 -FBPIN | 13 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | TimeoutB | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 71 | 49152 -FBPIN | 15 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 72 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | TimeoutA | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 5 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 64 | 49152 +FBPIN | 6 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 65 | 49152 +FBPIN | 8 | NULL | 0 | A_FSB_23_IBUF | 1 | NULL | 0 | 66 | 49152 +FBPIN | 9 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 67 | 49152 +FBPIN | 11 | NULL | 0 | A_FSB_21_IBUF | 1 | NULL | 0 | 68 | 49152 +FBPIN | 12 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 70 | 49152 +FBPIN | 14 | NULL | 0 | A_FSB_20_IBUF | 1 | NULL | 0 | 71 | 49152 +FBPIN | 15 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 72 | 49152 +FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | iobm/Er | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<16> | 89 | 2 | nDTACK_FSB_OBUF.UIM | NULL | 3 | fsb/ASrf | NULL | 4 | A_FSB<22> | 95 | 5 | A_FSB<10> | 68 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | iobs/IOReady | NULL | 7 | cs/nOverlay1 | NULL | 8 | A_FSB<20> | 93 | 9 | fsb/BERR0r | NULL | 11 | fsb/Ready2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | fsb/Ready0r | NULL | 13 | ram/RAMReady | NULL | 15 | IORW0 | NULL | 16 | TimeoutA | NULL | 18 | fsb/BERR1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 20 | A_FSB<18> | 92 | 21 | A_FSB<19> | 82 | 23 | A_FSB<23> | 76 | 26 | iobs/Once | NULL | 27 | A_FSB<17> | 90 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | A_FSB<12> | 64 | 33 | ALE1 | NULL | 34 | A_FSB<15> | 15 | 35 | nWE_FSB | 66 | 37 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | iobs/IORW1 | NULL | 40 | A_FSB<9> | 59 | 41 | nAS_FSB | 73 | 42 | A_FSB<21> | 80 | 43 | TimeoutB | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | A_FSB<11> | 11 | 46 | A_FSB<13> | 13 | 48 | iobs/PS_FSM_FFd2 | NULL | 49 | BERR_IOBS | NULL | 51 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready1r | NULL | 53 | A_FSB<14> | 14 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<10> | 89 | 1 | nDTACK_FSB_OBUF.UIM | NULL | 2 | cs/nOverlay1 | NULL | 3 | $OpTx$FX_DC$606.UIM | NULL | 4 | A_FSB<16> | 95 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready1r | NULL | 7 | A_FSB<13> | 82 | 8 | fsb/BERR1r | NULL | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 10 | ALE1 | NULL | 11 | fsb/Ready2r | NULL | 13 | A_FSB<20> | 71 | 14 | fsb/VPA | NULL | 15 | TimeoutA | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | $OpTx$FX_DC$602.UIM | NULL | 17 | iobs/IOReady | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | ram/RAMReady | NULL | 30 | A_FSB<14> | 93 | 32 | A_FSB<8> | 64 | 33 | fsb/ASrf | NULL | 37 | TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | A_FSB<22> | 9 | 41 | A_FSB<19> | 73 | 42 | A_FSB<15> | 80 | 43 | A_FSB<11> | 86 | 44 | A_FSB<9> | 12 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | A_FSB<18> | 76 | 47 | nWE_FSB | 61 | 48 | A_FSB<23> | 66 | 49 | A_FSB<12> | 92 | 50 | fsb/BERR0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready0r | NULL -FB_IMUX_INDEX | FOOBAR1_ | 144 | -1 | 2 | 93 | 164 | 197 | 42 | 133 | 152 | 9 | -1 | 11 | 138 | 67 | -1 | 15 | 142 | -1 | 132 | -1 | 150 | 151 | -1 | 175 | -1 | -1 | 49 | 146 | -1 | -1 | -1 | -1 | 209 | 8 | 216 | 205 | -1 | 41 | -1 | 38 | 217 | 183 | 155 | 139 | 208 | -1 | 212 | -1 | 47 | 43 | -1 | 92 | 51 | 214 +FB_IMUX_INDEX | FOOBAR1_ | 144 | 1 | 56 | 3 | 164 | 197 | 6 | 151 | 26 | 9 | 46 | 11 | -1 | 189 | 14 | 33 | 16 | 35 | -1 | -1 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | 86 | -1 | 124 | 152 | -1 | 209 | 42 | -1 | -1 | -1 | 32 | 202 | -1 | -1 | 183 | 155 | 147 | 210 | -1 | 175 | 213 | 205 | 150 | 69 | -1 | 114 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | iobm/IOS_FSM_FFd7 | NULL | 1 | iobm/IOS_FSM_FFd6 | NULL | 2 | iobm/IOS_FSM_FFd5 | NULL | 3 | iobm/IOS_FSM_FFd4 | NULL | 4 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 5 | iobm/BERRrr | NULL | 6 | iobm/BERRrf | NULL | 7 | iobm/IOS_FSM_FFd8 | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | A_FSB<1> | 17 | 14 | nBERR_IOB | 56 | 15 | IOU0 | NULL | 17 | IOL0 | NULL | 30 | CLK_IOB | 27 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/DTACKrr | NULL | 38 | iobm/ETACK | NULL | 43 | iobm/IOREQr | NULL | 44 | iobm/RESrr | NULL | 47 | A_FSB<10> | 68 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 48 | ram/RASEL | NULL | 49 | iobm/DTACKrf | NULL | 50 | IORW0 | NULL | 51 | iobm/RESrf | NULL | 52 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | cnt/RefCnt<5> | NULL | 2 | IORW0 | NULL | 3 | nAS_FSB | 13 | 4 | iobm/IOS_FSM_FFd5 | NULL | 5 | cnt/RefCnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | cnt/RefCnt<2> | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | nVPA_IOB | 59 | 9 | iobs/Once | NULL | 10 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | cnt/TimeoutBPre | NULL | 13 | iobm/IOS_FSM_FFd2 | NULL | 14 | BERR_IOBS | NULL | 15 | IOL0 | NULL | 17 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | cnt/RefCnt<6> | NULL | 21 | nRES | 99 | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | IOREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 28 | RefAck | NULL | 30 | cnt/RefCnt<0> | NULL | 31 | iobs/PS_FSM_FFd2 | NULL | 33 | fsb/BERR1r | NULL | 36 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | TimeoutB | NULL | 38 | cnt/RefDone | NULL | 39 | iobm/IOS_FSM_FFd7 | NULL | 40 | iobs/IOReady | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | cnt/RefCnt<4> | NULL | 46 | cnt/RefCnt<7> | NULL | 49 | IOU0 | NULL | 51 | iobm/IOS_FSM_FFd4 | NULL | 52 | TimeoutA | NULL -FB_IMUX_INDEX | FOOBAR2_ | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | -1 | 27 | -1 | -1 | 30 | 222 | 223 | 105 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 248 | -1 | -1 | -1 | -1 | -1 | -1 | 115 | 39 | -1 | -1 | -1 | -1 | 112 | 110 | -1 | -1 | 197 | 59 | 117 | 15 | 111 | 33 | -1 +FB_IMUX_INDEX | FOOBAR2_ | 108 | -1 | 38 | 212 | 76 | 23 | 24 | 25 | 217 | 63 | 46 | -1 | 30 | 85 | 86 | 105 | -1 | 89 | -1 | 123 | -1 | 176 | 39 | 42 | 48 | -1 | -1 | -1 | 94 | -1 | 81 | 44 | -1 | 26 | -1 | -1 | 75 | 32 | 27 | 74 | 35 | -1 | -1 | 87 | 110 | -1 | 121 | -1 | -1 | 103 | -1 | 77 | 33 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArf | NULL | 2 | iobs/IORW1 | NULL | 3 | ram/RS_FSM_FFd2 | NULL | 4 | A_FSB<22> | 95 | 5 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | iobs/IOReady | NULL | 7 | BERR_IOBS | NULL | 8 | ALE1 | NULL | 9 | ram/Once | NULL | 10 | nVMA_IOB_OBUF | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | iobs/PS_FSM_FFd2 | NULL | 12 | iobm/ES<1> | NULL | 13 | iobs/Once | NULL | 15 | IOBERR | NULL | 17 | IOACT | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobm/ES<4> | NULL | 21 | A_FSB<19> | 82 | 23 | A_FSB<23> | 76 | 24 | cs/nOverlay1 | NULL | 26 | A_FSB<20> | 93 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 27 | A_FSB<17> | 90 | 28 | iobm/ES<2> | NULL | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RS_FSM_FFd1 | NULL | 33 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 34 | iobm/ES<3> | NULL | 35 | nWE_FSB | 66 | 36 | ram/RAMDIS1 | NULL | 40 | RefAck | NULL | 41 | nAS_FSB | 73 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | A_FSB<21> | 80 | 43 | iobm/VPArr | NULL | 46 | iobm/ES<0> | NULL | 47 | ram/RAMDIS2 | NULL | 48 | A_FSB<16> | 89 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<18> | 92 | 50 | nLDS_FSB | 71 | 51 | iobs/IOACTr | NULL | 52 | fsb/Ready1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArr | NULL | 1 | iobm/VPArf | NULL | 2 | cs/nOverlay1 | NULL | 4 | A_FSB<16> | 95 | 5 | A_FSB<21> | 68 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | A_FSB<13> | 82 | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | iobm/ES<1> | NULL | 12 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | ram/RAMDIS1 | NULL | 14 | nVMA_IOB_OBUF | NULL | 15 | A_FSB<18> | 76 | 16 | iobs/IORW1 | NULL | 17 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobs/Load1 | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 28 | RefAck | NULL | 29 | A_FSB<23> | 66 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | A_FSB<14> | 93 | 33 | fsb/ASrf | NULL | 34 | ram/RAMDIS2 | NULL | 35 | iobm/ES<4> | NULL | 36 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/Clear1 | NULL | 38 | iobs/IOACTr | NULL | 39 | IORW0 | NULL | 41 | ALE1 | NULL | 43 | A_FSB<19> | 73 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | IOACT | NULL | 47 | nWE_FSB | 61 | 48 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<20> | 71 | 52 | A_FSB<22> | 9 -FB_IMUX_INDEX | FOOBAR3_ | 108 | -1 | 38 | 57 | 164 | 41 | 42 | 43 | 8 | 45 | 46 | 47 | 120 | 49 | -1 | 33 | -1 | 35 | -1 | 123 | -1 | 151 | -1 | 175 | 133 | -1 | 152 | 146 | 125 | -1 | 141 | 44 | -1 | 93 | 118 | 205 | 63 | -1 | -1 | -1 | 99 | 183 | 155 | 87 | -1 | -1 | 121 | 71 | 144 | 150 | 189 | 92 | 51 | -1 +FB_IMUX_INDEX | FOOBAR3_ | 18 | 19 | 56 | -1 | 164 | 197 | -1 | 151 | 44 | 99 | 100 | -1 | 102 | 67 | 50 | 175 | 52 | 107 | -1 | 59 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | -1 | 94 | 205 | 152 | -1 | -1 | 42 | 118 | 106 | 63 | 41 | 39 | 38 | -1 | 46 | -1 | 183 | -1 | 72 | -1 | 213 | 47 | -1 | 189 | -1 | 202 | -1 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/BACTr | NULL | 2 | A_FSB<6> | 19 | 3 | ram/RS_FSM_FFd2 | NULL | 4 | nUDS_FSB | 20 | 5 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | cs/nOverlay1 | NULL | 8 | A_FSB<20> | 93 | 9 | ram/Once | NULL | 13 | nWE_FSB | 66 | 15 | A_FSB<23> | 76 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | A_FSB<11> | 11 | 17 | ram/RAMDIS2 | NULL | 19 | A_FSB<2> | 18 | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | fsb/ASrf | NULL | 34 | A_FSB<15> | 15 | 36 | ram/RAMDIS1 | NULL | 37 | cnt/RefCnt<6> | NULL | 41 | nAS_FSB | 73 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 42 | A_FSB<21> | 80 | 45 | cnt/RefCnt<5> | NULL | 48 | A_FSB<22> | 95 | 49 | cnt/RefCnt<7> | NULL | 50 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RASEL | NULL | 2 | A_FSB<1> | 90 | 3 | cs/nOverlay0 | NULL | 4 | nWE_FSB | 61 | 6 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | ram/RS_FSM_FFd1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefDone | NULL | 10 | A_FSB<22> | 9 | 11 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 14 | TimeoutB | NULL | 15 | fsb/BERR0r | NULL | 17 | ram/BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cnt/RefCnt<6> | NULL | 20 | A_FSB<12> | 92 | 21 | A_FSB<17> | 96 | 22 | ram/RS_FSM_FFd3 | NULL | 23 | A_FSB<18> | 76 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | nAS_FSB | 13 | 27 | A_FSB<3> | 78 | 28 | A_FSB<10> | 89 | 29 | A_FSB<20> | 71 | 30 | A_FSB<14> | 93 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cs/nOverlay1 | NULL | 34 | A_FSB<5> | 15 | 35 | A_FSB<23> | 66 | 36 | iobs/Once | NULL | 41 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | A_FSB<19> | 73 | 46 | A_FSB<13> | 82 | 47 | A_FSB<21> | 68 | 48 | A_FSB<16> | 95 | 49 | ram/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 50 | cnt/RefCnt<5> | NULL -FB_IMUX_INDEX | FOOBAR4_ | 90 | -1 | 228 | 57 | 230 | 59 | -1 | 133 | 152 | 45 | -1 | -1 | -1 | 205 | -1 | 175 | 208 | 71 | -1 | 226 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 141 | 44 | -1 | 93 | 216 | -1 | 63 | 128 | -1 | -1 | -1 | 183 | 155 | -1 | -1 | 129 | -1 | -1 | 164 | 143 | 136 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 146 | 111 | 213 | -1 | 42 | 115 | 44 | 27 | 202 | 47 | 120 | 121 | 32 | 69 | -1 | 53 | -1 | 123 | 150 | 168 | 112 | 175 | 212 | -1 | -1 | 167 | 144 | 189 | 152 | -1 | -1 | 56 | 216 | 205 | 63 | -1 | -1 | -1 | -1 | 46 | -1 | 183 | -1 | -1 | 151 | 197 | 164 | 117 | 108 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 8 | A_FSB<20> | 93 | 11 | A_FSB<21> | 80 | 12 | E_IOB | 46 | 19 | A_FSB<22> | 95 | 23 | A_FSB<23> | 76 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 24 | cs/nOverlay1 | NULL | 52 | nVPA_IOB | 28 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | nBERR_IOB | 18 | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/IOREQr | NULL | 5 | iobm/IOS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | iobm/BERRrr | NULL | 7 | A_FSB<13> | 82 | 8 | iobm/BERRrf | NULL | 9 | iobs/Once | NULL | 10 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | iobm/ETACK | NULL | 14 | A_FSB<4> | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL | 20 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL | 29 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | CLK_IOB | 27 | 31 | iobs/PS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd8 | NULL | 33 | cs/nOverlay1 | NULL | 35 | A_FSB<23> | 66 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | iobm/IOS_FSM_FFd6 | NULL | 38 | A_FSB<22> | 9 | 39 | iobm/IOS_FSM_FFd7 | NULL | 43 | A_FSB<11> | 86 | 45 | A_FSB<2> | 16 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | IOBERR | NULL | 47 | A_FSB<21> | 68 | 50 | A_FSB<20> | 71 | 51 | iobm/DTACKrr | NULL | 52 | ram/RASEL | NULL -FB_IMUX_INDEX | FOOBAR5_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 152 | -1 | -1 | 155 | 255 | -1 | -1 | -1 | -1 | -1 | -1 | 164 | -1 | -1 | -1 | 175 | 133 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 252 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 20 | 21 | 22 | 77 | 78 | 151 | 80 | 63 | 46 | -1 | -1 | 49 | 223 | 87 | 142 | -1 | -1 | -1 | 93 | -1 | 39 | 42 | 212 | -1 | -1 | 86 | -1 | 76 | 248 | 44 | 82 | 56 | -1 | 205 | 75 | -1 | 202 | 74 | -1 | -1 | -1 | 147 | -1 | 218 | 89 | 197 | -1 | -1 | 189 | 92 | 54 | -1 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | fsb/VPA | NULL | 3 | fsb/ASrf | NULL | 5 | iobs/PS_FSM_FFd1 | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | iobs/PS_FSM_FFd2 | NULL | 12 | iobs/IOU1 | NULL | 13 | iobs/IOL1 | NULL | 16 | A_FSB<5> | 25 | 17 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 22 | A_FSB<4> | 54 | 24 | A_FSB<13> | 13 | 31 | ram/RS_FSM_FFd1 | NULL | 32 | cnt/RefCnt<2> | NULL | 35 | nWE_FSB | 66 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | nUDS_FSB | 20 | 40 | IOACT | NULL | 41 | nAS_FSB | 73 | 42 | ram/RS_FSM_FFd2 | NULL | 46 | cnt/RefCnt<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RASEL | NULL | 50 | nLDS_FSB | 71 | 53 | A_FSB<14> | 14 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/Er2 | NULL | 4 | nWE_FSB | 61 | 5 | iobs/Load1 | NULL | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | iobs/PS_FSM_FFd2 | NULL | 11 | iobs/PS_FSM_FFd1 | NULL | 16 | iobm/ES<4> | NULL | 17 | iobm/Er | NULL | 24 | nAS_FSB | 13 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | iobm/ES<1> | NULL | 33 | nLDS_FSB | 25 | 34 | ram/RAMDIS2 | NULL | 37 | ram/RS_FSM_FFd1 | NULL | 41 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | nUDS_FSB | 52 | 43 | iobm/ES<0> | NULL | 45 | ram/RAMDIS1 | NULL | 46 | nDTACK_IOB | 54 | 47 | iobm/ES<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RS_FSM_FFd2 | NULL | 49 | fsb/VPA | NULL | 52 | ram/RASEL | NULL | 53 | iobm/ES<2> | NULL -FB_IMUX_INDEX | FOOBAR6_ | 126 | -1 | -1 | 93 | -1 | 41 | -1 | 97 | 8 | -1 | -1 | 47 | 102 | 103 | -1 | -1 | 242 | 53 | -1 | -1 | -1 | -1 | 231 | -1 | 212 | -1 | -1 | -1 | -1 | -1 | -1 | 44 | 96 | -1 | -1 | 205 | -1 | -1 | -1 | 230 | 35 | 183 | 57 | -1 | -1 | -1 | 89 | -1 | 59 | -1 | 189 | -1 | -1 | 214 +FB_IMUX_INDEX | FOOBAR6_ | 90 | -1 | -1 | -1 | 213 | 59 | 96 | 97 | 44 | -1 | -1 | 47 | -1 | -1 | -1 | -1 | 106 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | 212 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | -1 | 242 | 118 | -1 | -1 | 115 | -1 | -1 | -1 | 46 | 243 | 102 | -1 | 67 | 231 | 99 | 120 | 14 | -1 | -1 | 54 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | nRES | 99 | 2 | A_FSB<17> | 90 | 5 | ram/RASEL | NULL | 6 | iobm/Er2 | NULL | 7 | A_FSB<19> | 82 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 8 | ALE0M | NULL | 9 | nVPA_IOB | 28 | 10 | ALE0S | NULL | 13 | iobm/ES<0> | NULL | 15 | iobm/ES<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | IOREQ | NULL | 17 | iobm/ES<2> | NULL | 20 | A_FSB<18> | 92 | 26 | A_FSB<20> | 93 | 34 | iobm/ES<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | iobm/IOS_FSM_FFd4 | NULL | 40 | A_FSB<9> | 59 | 42 | A_FSB<8> | 52 | 45 | nDTACK_IOB | 49 | 47 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | iobm/ES<1> | NULL | 49 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/RASEL | NULL | 1 | A_FSB<9> | 12 | 2 | cs/nOverlay1 | NULL | 3 | nAS_FSB | 13 | 4 | A_FSB<16> | 95 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready0r | NULL | 7 | A_FSB<19> | 73 | 8 | A_FSB<17> | 96 | 9 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 10 | ram/RAMDIS2 | NULL | 11 | A_FSB<15> | 80 | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 15 | cnt/RefCnt<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | ram/RAMReady | NULL | 23 | A_FSB<18> | 76 | 29 | A_FSB<20> | 71 | 30 | cnt/RefCnt<0> | NULL | 32 | A_FSB<8> | 64 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 35 | A_FSB<23> | 66 | 37 | ram/RS_FSM_FFd1 | NULL | 39 | A_FSB<7> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 42 | cnt/RefCnt<2> | NULL | 43 | ram/RS_FSM_FFd3 | NULL | 44 | cnt/RefCnt<4> | NULL | 45 | A_FSB<6> | 19 | 47 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/RefCnt<3> | NULL | 49 | ram/Once | NULL | 50 | ram/BACTr | NULL | 51 | cs/nOverlay0 | NULL | 52 | A_FSB<22> | 9 -FB_IMUX_INDEX | FOOBAR7_ | 176 | -1 | 146 | -1 | -1 | 59 | 114 | 151 | 26 | 252 | 100 | -1 | -1 | 121 | -1 | 123 | 16 | 125 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | -1 | 152 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 118 | -1 | -1 | -1 | -1 | 21 | 217 | -1 | 243 | -1 | -1 | 249 | -1 | 30 | 120 | 88 | -1 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR7_ | 54 | 210 | 56 | 212 | 164 | 197 | 114 | 183 | 168 | 27 | 118 | 155 | 120 | 121 | -1 | 123 | 124 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | 189 | 81 | -1 | 209 | 42 | 25 | 205 | -1 | 115 | -1 | 230 | -1 | -1 | 24 | 112 | 110 | 228 | -1 | 108 | 23 | 117 | 53 | 111 | 202 | -1 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<12> | 64 | 2 | cnt/RefCnt<6> | NULL | 3 | cnt/RefCnt<5> | NULL | 4 | cnt/RefCnt<3> | NULL | 5 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | cnt/RefCnt<2> | NULL | 7 | A_FSB<3> | 78 | 8 | ram/RS_FSM_FFd1 | NULL | 9 | RefAck | NULL | 10 | cnt/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | A_FSB<21> | 80 | 13 | $OpTx$INV$223.UIM | NULL | 15 | ram/RS_FSM_FFd3 | NULL | 17 | cnt/RefCnt<0> | NULL | 18 | fsb/BERR1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 19 | A_FSB<22> | 95 | 21 | A_FSB<7> | 96 | 23 | A_FSB<23> | 76 | 24 | cs/nOverlay1 | NULL | 26 | A_FSB<20> | 93 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | fsb/VPA | NULL | 32 | fsb/Ready0r | NULL | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 38 | cnt/RefCnt<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 40 | cs/nOverlay0 | NULL | 41 | nAS_FSB | 73 | 42 | ram/RS_FSM_FFd2 | NULL | 43 | TimeoutB | NULL | 45 | ram/RAMReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | BERR_IOBS | NULL | 47 | ram/Once | NULL | 48 | A_FSB<16> | 89 | 49 | cnt/RefCnt<7> | NULL | 51 | fsb/BERR0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 52 | TimeoutA | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | E_IOB | 49 | 7 | ALE0S | NULL | 12 | ALE0M | NULL | 41 | A_FSB<19> | 73 | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 68 | 51 | iobm/IOS_FSM_FFd4 | NULL | 53 | iobm/IOS_FSM_FFd2 | NULL -FB_IMUX_INDEX | FOOBAR8_ | 209 | -1 | 128 | 129 | 94 | 59 | 96 | 167 | 44 | 99 | 136 | 155 | -1 | 13 | -1 | 141 | -1 | 89 | 132 | 164 | -1 | 168 | -1 | 175 | 133 | -1 | 152 | 126 | -1 | -1 | -1 | -1 | 138 | 93 | 97 | -1 | -1 | -1 | 130 | -1 | 135 | 183 | 57 | 139 | -1 | 67 | 43 | 45 | 144 | 143 | -1 | 9 | 142 | -1 +FB_IMUX_INDEX | FOOBAR8_ | -1 | -1 | -1 | -1 | -1 | -1 | 249 | 43 | -1 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 87 | -1 | -1 | -1 | 197 | -1 | -1 | -1 | 77 | -1 | 85 GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 diff --git a/cpld/XC95144XL/MXSE.xise b/cpld/XC95144XL/MXSE.xise index 6183bcf..1bc0387 100644 --- a/cpld/XC95144XL/MXSE.xise +++ b/cpld/XC95144XL/MXSE.xise @@ -35,32 +35,28 @@ - + - + - - - - - - - - - + - + - + - + + + + + @@ -193,8 +189,8 @@ - - + + @@ -208,7 +204,7 @@ - + @@ -248,7 +244,7 @@ - + diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144XL/MXSE_envsettings.html index a646aee..7fa20b0 100644 --- a/cpld/XC95144XL/MXSE_envsettings.html +++ b/cpld/XC95144XL/MXSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin XILINX @@ -206,7 +206,7 @@ -uc   -C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf +C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf None diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii.htm b/cpld/XC95144XL/MXSE_html/fit/ascii.htm index f3fdec8..9fad9ed 100644 --- a/cpld/XC95144XL/MXSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/MXSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: MXSE Date: 10-24-2021, 6:31AM +Design Name: MXSE Date: 12-11-2021, 6:24AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -106/144 ( 74%) 411 /720 ( 57%) 214/432 ( 50%) 82 /144 ( 57%) 67 /81 ( 83%) +110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 7/18 37/54 81/90 11/11* -FB2 18/18* 25/54 49/90 6/10 -FB3 14/18 39/54 81/90 7/10 -FB4 9/18 25/54 81/90 10/10* -FB5 4/18 7/54 4/90 3/10 -FB6 18/18* 23/54 26/90 10/10* -FB7 18/18* 22/54 34/90 10/10* -FB8 18/18* 36/54 55/90 10/10* +FB1 9/18 36/54 80/90 11/11* +FB2 18/18* 35/54 34/90 6/10 +FB3 14/18 35/54 77/90 6/10 +FB4 10/18 36/54 82/90 10/10* +FB5 17/18 35/54 47/90 4/10 +FB6 18/18* 24/54 37/90 10/10* +FB7 17/18 35/54 76/90 10/10* +FB8 7/18 8/54 6/90 10/10* ----- ----- ----- ----- - 106/144 214/432 411/720 67/81 + 110/144 244/432 439/720 67/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 1 1 | ** Power Data ** -There are 106 macrocells in high performance mode (MCHP). +There are 110 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -64,162 +64,166 @@ WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will ** 32 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 25 31 FB1_3 12 I/O O STD FAST RESET -nADoutLE1 13 16 FB1_9 16 I/O O STD FAST SET -nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET -nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET -RA<0> 2 3 FB2_14 8 I/O O STD FAST -nDoutOE 2 7 FB2_15 9 I/O O STD FAST RESET -nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET -nRAS 3 8 FB3_5 24 I/O O STD FAST -nVMA_IOB 2 9 FB3_11 29 I/O O STD FAST RESET -nRAMLWE 1 5 FB3_15 33 I/O O STD FAST -RA<1> 2 3 FB4_2 87 I/O O STD FAST -RA<5> 2 3 FB4_8 91 I/O O STD FAST -nDinOE 2 6 FB4_12 94 I/O O STD FAST -nRAMUWE 1 5 FB4_17 97 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -RA<3> 2 3 FB6_2 74 I/O O STD FAST -RA<4> 2 3 FB6_6 77 I/O O STD FAST -nCAS 1 1 FB6_9 79 I/O O STD FAST RESET -nOE 1 2 FB6_12 81 I/O O STD FAST -nROMWE 1 2 FB6_15 85 I/O O STD FAST -nVPA_FSB 1 2 FB6_17 86 I/O O STD FAST -RA<7> 2 3 FB7_2 50 I/O O STD FAST -RA<8> 2 3 FB7_6 53 I/O O STD FAST -RA<9> 2 3 FB7_9 55 I/O O STD FAST -RA<11> 1 1 FB7_12 58 I/O O STD FAST -nADoutLE0 1 2 FB7_15 60 I/O O STD FAST -nDinLE 1 2 FB7_17 61 I/O O STD FAST RESET -nBERR_FSB 4 9 FB8_2 63 I/O O STD FAST -RA<2> 2 3 FB8_6 65 I/O O STD FAST -RA<6> 2 3 FB8_9 67 I/O O STD FAST -RA<10> 1 1 FB8_12 70 I/O O STD FAST -nAoutOE 0 0 FB8_15 72 I/O O STD FAST +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET +nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST +nOE 1 2 FB1_11 17 I/O O STD FAST +nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET +nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET +nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET +nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET +RA<0> 2 3 FB4_2 87 I/O O STD FAST +RA<2> 2 3 FB4_8 91 I/O O STD FAST +RA<4> 2 3 FB4_12 94 I/O O STD FAST +nDinOE 2 6 FB4_17 97 I/O O STD FAST +RA<1> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_8 39 I/O O STD FAST +nROMCS 2 5 FB5_12 42 I/O O STD FAST +nCAS 1 1 FB6_2 74 I/O O STD FAST RESET +nRAMLWE 1 5 FB6_6 77 I/O O STD FAST +nRAMUWE 1 5 FB6_9 79 I/O O STD FAST +nROMWE 1 2 FB6_12 81 I/O O STD FAST +nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST +RA<5> 2 3 FB7_2 50 I/O O STD FAST +RA<6> 2 3 FB7_6 53 I/O O STD FAST +RA<7> 2 3 FB7_9 55 I/O O STD FAST +RA<8> 2 3 FB7_12 58 I/O O STD FAST +RA<9> 2 3 FB7_15 60 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +RA<10> 1 1 FB8_6 65 I/O O STD FAST +nADoutLE0 1 2 FB8_9 67 I/O O STD FAST +nAoutOE 0 0 FB8_12 70 I/O O STD FAST +nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET -** 74 Buried Nodes ** +** 78 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -fsb/BERR0r 3 8 FB1_10 STD RESET -fsb/Ready2r 5 21 FB1_12 STD RESET -$OpTx$INV$223 10 24 FB1_14 STD -IORW0 14 18 FB1_16 STD RESET -IOREQ 11 17 FB1_17 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB2_1 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB2_2 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_3 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_4 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_5 STD RESET -iobm/BERRrr 1 1 FB2_6 STD RESET -iobm/BERRrf 1 1 FB2_7 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB2_8 STD SET -ALE0M 2 7 FB2_9 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB2_10 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB2_13 STD RESET -IOBERR 8 11 FB2_16 STD RESET -IOACT 10 15 FB2_18 STD RESET -iobs/IORW1 15 17 FB3_3 STD RESET -iobm/ETACK 1 6 FB3_4 STD RESET -iobs/PS_FSM_FFd1 2 3 FB3_6 STD RESET -iobs/IOReady 4 8 FB3_7 STD RESET -BERR_IOBS 4 8 FB3_8 STD RESET -ram/RS_FSM_FFd1 5 10 FB3_9 STD RESET -ram/Once 5 10 FB3_10 STD RESET -iobs/PS_FSM_FFd2 10 17 FB3_12 STD RESET -iobs/Once 11 16 FB3_14 STD RESET -fsb/Ready1r 5 15 FB3_16 STD RESET -iobs/Load1 13 16 FB3_18 STD RESET -ram/RS_FSM_FFd2 13 14 FB4_4 STD RESET -ram/RASEL 20 15 FB4_6 STD RESET -ram/RAMDIS1 18 15 FB4_10 STD RESET -ram/RAMReady 16 15 FB4_14 STD RESET -ram/RAMDIS2 7 15 FB4_18 STD RESET -iobm/VPArr 1 1 FB5_16 STD RESET -iobm/Er 1 1 FB5_17 STD RESET -cnt/RefCnt<0> 0 0 FB5_18 STD RESET -ram/BACTr 1 2 FB6_1 STD RESET -iobs/IOACTr 1 1 FB6_3 STD RESET -fsb/ASrf 1 1 FB6_4 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +$OpTx$FX_DC$606 5 12 FB1_4 STD +fsb/Ready1r 7 17 FB1_7 STD RESET +fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD +fsb/Ready2r 9 22 FB1_12 STD RESET +fsb/VPA 22 30 FB1_15 STD RESET +$OpTx$FX_DC$602 2 5 FB1_17 STD +iobm/VPArr 1 1 FB2_1 STD RESET +iobm/VPArf 1 1 FB2_2 STD RESET +iobm/RESrr 1 1 FB2_3 STD RESET +iobm/RESrf 1 1 FB2_4 STD RESET +iobm/IOREQr 1 1 FB2_5 STD RESET +cnt/RefCnt<3> 1 3 FB2_6 STD RESET +cnt/RefCnt<2> 1 2 FB2_7 STD RESET +cnt/RefCnt<1> 1 1 FB2_8 STD RESET +fsb/BERR1r 2 4 FB2_9 STD RESET +cnt/RefDone 2 10 FB2_10 STD RESET +cnt/TimeoutBPre 3 11 FB2_13 STD RESET +TimeoutB 3 12 FB2_15 STD RESET +TimeoutA 3 10 FB2_16 STD RESET +iobs/IOReady 4 8 FB2_18 STD RESET +IORW0 18 20 FB3_3 STD RESET +iobs/IOACTr 1 1 FB3_4 STD RESET +iobs/Clear1 1 3 FB3_6 STD RESET +fsb/ASrf 1 1 FB3_7 STD RESET +ALE0S 1 2 FB3_8 STD RESET +iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET +iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET +IOREQ 14 19 FB3_13 STD RESET +iobm/ETACK 1 6 FB3_14 STD RESET +iobs/IORW1 16 19 FB3_17 STD RESET +ram/BACTr 1 2 FB3_18 STD RESET +ram/RASEL 20 15 FB4_1 STD RESET +cs/nOverlay1 2 3 FB4_3 STD RESET +iobs/Load1 14 18 FB4_6 STD RESET +iobs/Once 17 18 FB4_10 STD RESET +ram/RAMDIS1 18 15 FB4_14 STD RESET +fsb/BERR0r 3 8 FB4_16 STD RESET +IOACT 10 15 FB5_1 STD RESET +iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET +iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/RefCnt<3> 1 3 FB6_5 STD RESET -cnt/RefCnt<2> 1 2 FB6_7 STD RESET -cnt/RefCnt<1> 1 1 FB6_8 STD RESET -RefAck 1 2 FB6_10 STD RESET -ALE0S 1 2 FB6_11 STD RESET -iobs/IOU1 2 2 FB6_13 STD RESET -iobs/IOL1 2 2 FB6_14 STD RESET -IOU0 3 5 FB6_16 STD RESET -IOL0 3 5 FB6_18 STD RESET -iobm/VPArf 1 1 FB7_1 STD RESET -iobm/RESrr 1 1 FB7_3 STD RESET -iobm/RESrf 1 1 FB7_4 STD RESET -iobm/IOREQr 1 1 FB7_5 STD RESET -iobm/Er2 1 1 FB7_7 STD RESET -iobm/DTACKrr 1 1 FB7_8 STD RESET -iobm/DTACKrf 1 1 FB7_10 STD RESET -iobm/ES<3> 3 6 FB7_11 STD RESET -iobm/ES<1> 3 4 FB7_13 STD RESET -iobm/ES<0> 3 7 FB7_14 STD RESET -iobm/ES<4> 4 7 FB7_16 STD RESET -iobm/ES<2> 5 7 FB7_18 STD RESET -fsb/VPA 14 12 FB8_1 STD RESET -cnt/RefCnt<6> 1 6 FB8_3 STD RESET -cnt/RefCnt<5> 1 5 FB8_4 STD RESET -cnt/RefCnt<4> 1 4 FB8_5 STD RESET -fsb/BERR1r 2 4 FB8_7 STD RESET -cs/nOverlay1 2 3 FB8_8 STD RESET -cs/nOverlay0 2 7 FB8_10 STD RESET -cnt/RefDone 2 10 FB8_11 STD RESET -fsb/Ready0r 3 8 FB8_13 STD RESET -TimeoutB 3 12 FB8_14 STD RESET -ram/RS_FSM_FFd3 11 14 FB8_16 STD RESET -TimeoutA 3 11 FB8_17 STD RESET -cnt/RefCnt<7> 1 7 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET +iobm/BERRrr 1 1 FB5_7 STD RESET +iobm/BERRrf 1 1 FB5_9 STD RESET +cnt/RefCnt<0> 0 0 FB5_10 STD RESET +iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET +ALE0M 2 7 FB5_13 STD RESET +iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET +BERR_IOBS 4 8 FB5_15 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOBERR 8 11 FB5_18 STD RESET +iobm/Er2 1 1 FB6_1 STD RESET +iobm/DTACKrr 1 1 FB6_3 STD RESET +iobm/DTACKrf 1 1 FB6_4 STD RESET +RefAck 1 2 FB6_5 STD RESET +iobs/IOU1 2 2 FB6_7 STD RESET +iobs/IOL1 2 2 FB6_8 STD RESET +iobm/ES<3> 3 6 FB6_10 STD RESET +iobm/ES<1> 3 4 FB6_11 STD RESET +iobm/ES<0> 3 7 FB6_13 STD RESET +IOU0 3 5 FB6_14 STD RESET +IOL0 3 5 FB6_16 STD RESET +iobm/ES<4> 4 7 FB6_17 STD RESET +iobm/ES<2> 5 7 FB6_18 STD RESET +cnt/RefCnt<5> 1 5 FB7_1 STD RESET +cnt/RefCnt<4> 1 4 FB7_3 STD RESET +cs/nOverlay0 2 7 FB7_4 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET +ram/Once 5 10 FB7_10 STD RESET +ram/RAMDIS2 7 15 FB7_11 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET +cnt/RefCnt<7> 1 7 FB7_14 STD RESET +cnt/RefCnt<6> 1 6 FB7_16 STD RESET +ram/RAMReady 16 15 FB7_17 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET +iobm/Er 1 1 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<11> FB1_2 11 I/O I -A_FSB<13> FB1_5 13 I/O I -A_FSB<14> FB1_6 14 I/O I -A_FSB<15> FB1_8 15 I/O I -A_FSB<1> FB1_11 17 I/O I -A_FSB<2> FB1_12 18 I/O I -A_FSB<6> FB1_14 19 I/O I -nUDS_FSB FB1_15 20 I/O I -CLK2X_IOB FB1_17 22~ GCK/I/O GCK -nRES FB2_2 99~ GSR/I/O GSR/I -CLK_FSB FB3_2 23~ GCK/I/O GCK -A_FSB<5> FB3_6 25 I/O I -CLK_IOB FB3_8 27~ GCK/I/O GCK/I -nVPA_IOB FB3_9 28 I/O I -A_FSB<16> FB4_5 89 I/O I -A_FSB<17> FB4_6 90 I/O I -A_FSB<18> FB4_9 92 I/O I -A_FSB<20> FB4_11 93 I/O I -A_FSB<22> FB4_14 95 I/O I -A_FSB<7> FB4_15 96 I/O I -E_IOB FB5_15 46 I/O I -nDTACK_IOB FB5_17 49 I/O I -A_FSB<23> FB6_5 76 I/O I -A_FSB<3> FB6_8 78 I/O I -A_FSB<21> FB6_11 80 I/O I -A_FSB<19> FB6_14 82 I/O I -A_FSB<8> FB7_5 52 I/O I -A_FSB<4> FB7_8 54 I/O I -nBERR_IOB FB7_11 56 I/O I -A_FSB<9> FB7_14 59 I/O I -A_FSB<12> FB8_5 64 I/O I -nWE_FSB FB8_8 66 I/O I -A_FSB<10> FB8_11 68 I/O I -nLDS_FSB FB8_14 71 I/O I -nAS_FSB FB8_17 73 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<9> FB1_3 12 I/O I +nAS_FSB FB1_5 13 I/O I +A_FSB<5> FB1_8 15 I/O I +A_FSB<2> FB1_9 16 I/O I +nBERR_IOB FB1_12 18 I/O I +A_FSB<6> FB1_14 19 I/O I +A_FSB<7> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22~ GCK/I/O GCK +nRES FB2_2 99~ GSR/I/O GSR/I +A_FSB<22> FB2_15 9 I/O I +CLK_FSB FB3_2 23~ GCK/I/O GCK +nLDS_FSB FB3_6 25 I/O I +CLK_IOB FB3_8 27~ GCK/I/O GCK/I +A_FSB<10> FB4_5 89 I/O I +A_FSB<1> FB4_6 90 I/O I +A_FSB<12> FB4_9 92 I/O I +A_FSB<14> FB4_11 93 I/O I +A_FSB<16> FB4_14 95 I/O I +A_FSB<17> FB4_15 96 I/O I +E_IOB FB5_17 49 I/O I +A_FSB<18> FB6_5 76 I/O I +A_FSB<3> FB6_8 78 I/O I +A_FSB<15> FB6_11 80 I/O I +A_FSB<13> FB6_14 82 I/O I +A_FSB<11> FB6_17 86 I/O I +nUDS_FSB FB7_5 52 I/O I +nDTACK_IOB FB7_8 54 I/O I +A_FSB<4> FB7_11 56 I/O I +nVPA_IOB FB7_14 59 I/O I +nWE_FSB FB7_17 61 I/O I +A_FSB<8> FB8_5 64 I/O I +A_FSB<23> FB8_8 66 I/O I +A_FSB<21> FB8_11 68 I/O I +A_FSB<20> FB8_14 71 I/O I +A_FSB<19> FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -237,424 +241,438 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 37/17 -Number of signals used by logic mapping into function block: 37 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB1_1 (b) (b) -(unused) 0 0 \/5 0 FB1_2 11 I/O I -nDTACK_FSB 25 20<- 0 0 FB1_3 12 I/O O -(unused) 0 0 /\5 0 FB1_4 (b) (b) -(unused) 0 0 /\5 0 FB1_5 13 I/O I -(unused) 0 0 /\5 0 FB1_6 14 I/O I -(unused) 0 0 0 5 FB1_7 (b) -(unused) 0 0 \/5 0 FB1_8 15 I/O I -nADoutLE1 13 8<- 0 0 FB1_9 16 I/O O -fsb/BERR0r 3 1<- /\3 0 FB1_10 (b) (b) -(unused) 0 0 /\1 4 FB1_11 17 I/O I -fsb/Ready2r 5 0 0 0 FB1_12 18 I/O I -(unused) 0 0 \/5 0 FB1_13 (b) (b) -$OpTx$INV$223 10 5<- 0 0 FB1_14 19 I/O I -(unused) 0 0 \/5 0 FB1_15 20 I/O I -IORW0 14 9<- 0 0 FB1_16 (b) (b) -IOREQ 11 10<- /\4 0 FB1_17 22 GCK/I/O GCK -(unused) 0 0 /\5 0 FB1_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 14: A_FSB<23> 26: fsb/Ready2r - 2: A_FSB<11> 15: A_FSB<9> 27: iobs/IOACTr - 3: A_FSB<12> 16: BERR_IOBS 28: iobs/IORW1 - 4: A_FSB<13> 17: IORW0 29: iobs/IOReady - 5: A_FSB<14> 18: TimeoutA 30: iobs/Once - 6: A_FSB<15> 19: TimeoutB 31: iobs/PS_FSM_FFd1 - 7: A_FSB<16> 20: cs/nOverlay1 32: iobs/PS_FSM_FFd2 - 8: A_FSB<17> 21: fsb/ASrf 33: nADoutLE1 - 9: A_FSB<18> 22: fsb/BERR0r 34: nAS_FSB - 10: A_FSB<19> 23: fsb/BERR1r 35: nDTACK_FSB - 11: A_FSB<20> 24: fsb/Ready0r 36: nWE_FSB - 12: A_FSB<21> 25: fsb/Ready1r 37: ram/RAMReady - 13: A_FSB<22> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB XXXXXXXXXXXXXXXX.XXXXXXXXX..X...XXXXX... 31 -nADoutLE1 ......XXXXXXXX.....XX........XXXXX.X.... 16 -fsb/BERR0r ..........XXXX....X.XX...........X...... 8 -fsb/Ready2r XXXXXXXXXXXXXXX..X.XX....X.......X.X.... 21 -$OpTx$INV$223 XXXXXXXXXXXXXXX..X.X...XXX..X...X..XX... 24 -IORW0 ......XXXXXXXX..X..XX......X.XXXXX.X.... 18 -IOREQ ......XXXXXXXX.....XX.....X..XXXXX.X.... 17 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/IOS_FSM_FFd7 1 1<- /\5 0 FB2_1 (b) (b) -iobm/IOS_FSM_FFd6 1 0 /\1 3 FB2_2 99 GSR/I/O GSR/I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_3 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_4 (b) (b) -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_5 1 GTS/I/O (b) -iobm/BERRrr 1 0 0 4 FB2_6 2 GTS/I/O (b) -iobm/BERRrf 1 0 0 4 FB2_7 (b) (b) -iobm/IOS_FSM_FFd8 2 0 0 3 FB2_8 3 GTS/I/O (b) -ALE0M 2 0 0 3 FB2_9 4 GTS/I/O (b) -iobm/IOS_FSM_FFd2 4 0 0 1 FB2_10 (b) (b) -nLDS_IOB 3 0 0 2 FB2_11 6 I/O O -nUDS_IOB 3 0 0 2 FB2_12 7 I/O O -iobm/IOS_FSM_FFd3 5 0 0 0 FB2_13 (b) (b) -RA<0> 2 0 0 3 FB2_14 8 I/O O -nDoutOE 2 0 \/2 1 FB2_15 9 I/O O -IOBERR 8 3<- 0 0 FB2_16 (b) (b) -nAS_IOB 1 0 /\1 3 FB2_17 10 I/O O -IOACT 10 5<- 0 0 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 10: iobm/DTACKrf 18: iobm/IOS_FSM_FFd5 - 2: A_FSB<1> 11: iobm/DTACKrr 19: iobm/IOS_FSM_FFd6 - 3: CLK_IOB 12: iobm/ETACK 20: iobm/IOS_FSM_FFd7 - 4: IOBERR 13: iobm/IOREQr 21: iobm/IOS_FSM_FFd8 - 5: IOL0 14: iobm/IOS_FSM_FFd1 22: iobm/RESrf - 6: IORW0 15: iobm/IOS_FSM_FFd2 23: iobm/RESrr - 7: IOU0 16: iobm/IOS_FSM_FFd3 24: nBERR_IOB - 8: iobm/BERRrf 17: iobm/IOS_FSM_FFd4 25: ram/RASEL - 9: iobm/BERRrr - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/IOS_FSM_FFd7 ..X.........X.......X................... 3 -iobm/IOS_FSM_FFd6 ...................X.................... 1 -iobm/IOS_FSM_FFd5 ..................X..................... 1 -iobm/IOS_FSM_FFd4 .................X...................... 1 -iobm/IOS_FSM_FFd1 ..............X......................... 1 -iobm/BERRrr .......................X................ 1 -iobm/BERRrf .......................X................ 1 -iobm/IOS_FSM_FFd8 ..X.........XX......X................... 4 -ALE0M ............X..XXXXXX................... 7 -iobm/IOS_FSM_FFd2 ..X....XXXXX...X.....XX................. 9 -nLDS_IOB ....XX.........XXXXX.................... 7 -nUDS_IOB .....XX........XXXXX.................... 7 -iobm/IOS_FSM_FFd3 ..X....XXXXX...XX....XX................. 10 -RA<0> XX......................X............... 3 -nDoutOE .....X........XXXXXX.................... 7 -IOBERR ..XX...XXXXX...X.....XXX................ 11 -nAS_IOB ...............XXXXX.................... 5 -IOACT ..X....XXXXXX..XXXXXXXX................. 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\3 2 FB3_1 (b) (b) -(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK -iobs/IORW1 15 10<- 0 0 FB3_3 (b) (b) -iobm/ETACK 1 1<- /\5 0 FB3_4 (b) (b) -nRAS 3 0 /\1 1 FB3_5 24 I/O O -iobs/PS_FSM_FFd1 2 0 0 3 FB3_6 25 I/O I -iobs/IOReady 4 0 \/1 0 FB3_7 (b) (b) -BERR_IOBS 4 1<- \/2 0 FB3_8 27 GCK/I/O GCK/I -ram/RS_FSM_FFd1 5 2<- \/2 0 FB3_9 28 I/O I -ram/Once 5 2<- \/2 0 FB3_10 (b) (b) -nVMA_IOB 2 2<- \/5 0 FB3_11 29 I/O O -iobs/PS_FSM_FFd2 10 5<- 0 0 FB3_12 30 I/O (b) -(unused) 0 0 \/5 0 FB3_13 (b) (b) -iobs/Once 11 6<- 0 0 FB3_14 32 I/O (b) -nRAMLWE 1 0 /\1 3 FB3_15 33 I/O O -fsb/Ready1r 5 0 0 0 FB3_16 (b) (b) -(unused) 0 0 \/5 0 FB3_17 34 I/O (b) -iobs/Load1 13 8<- 0 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<16> 14: fsb/ASrf 27: iobs/PS_FSM_FFd1 - 2: A_FSB<17> 15: fsb/Ready1r 28: iobs/PS_FSM_FFd2 - 3: A_FSB<18> 16: iobm/ES<0> 29: nADoutLE1 - 4: A_FSB<19> 17: iobm/ES<1> 30: nAS_FSB - 5: A_FSB<20> 18: iobm/ES<2> 31: nLDS_FSB - 6: A_FSB<21> 19: iobm/ES<3> 32: nVMA_IOB - 7: A_FSB<22> 20: iobm/ES<4> 33: nWE_FSB - 8: A_FSB<23> 21: iobm/VPArf 34: ram/Once - 9: BERR_IOBS 22: iobm/VPArr 35: ram/RAMDIS1 - 10: IOACT 23: iobs/IOACTr 36: ram/RAMDIS2 - 11: IOBERR 24: iobs/IORW1 37: ram/RS_FSM_FFd1 - 12: RefAck 25: iobs/IOReady 38: ram/RS_FSM_FFd2 - 13: cs/nOverlay1 26: iobs/Once 39: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/IORW1 XXXXXXXX....XX.........X.XXXXX..X....... 17 -iobm/ETACK ...............XXXXX...........X........ 6 -nRAS .....XXX...XX................X....XX.... 8 -iobs/PS_FSM_FFd1 ......................X...XX............ 3 -iobs/IOReady ..........X..X........X.XX.XXX.......... 8 -BERR_IOBS ........X.X..X........X..X.XXX.......... 8 -ram/RS_FSM_FFd1 .....XXX....XX...............X...X..XXX. 10 -ram/Once .....XXX....XX...............X...X..XXX. 10 -nVMA_IOB .........X.....XXXXXXX.........X........ 9 -iobs/PS_FSM_FFd2 XXXXXXXX....XX........X..XXXXX..X....... 17 -iobs/Once XXXXXXXX....XX...........XXXXX..X....... 16 -nRAMLWE .............................XX.X.XX.... 5 -fsb/Ready1r XXXXXXXX....XXX.........X...XX..X....... 15 -iobs/Load1 XXXXXXXX....XX...........XXXXX..X....... 16 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/2 3 FB4_1 (b) (b) -RA<1> 2 2<- \/5 0 FB4_2 87 I/O O -(unused) 0 0 \/5 0 FB4_3 (b) (b) -ram/RS_FSM_FFd2 13 10<- \/2 0 FB4_4 (b) (b) -(unused) 0 0 \/5 0 FB4_5 89 I/O I -ram/RASEL 20 15<- 0 0 FB4_6 90 I/O I -(unused) 0 0 /\5 0 FB4_7 (b) (b) -RA<5> 2 0 /\3 0 FB4_8 91 I/O O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -ram/RAMDIS1 18 13<- 0 0 FB4_10 (b) (b) -(unused) 0 0 /\5 0 FB4_11 93 I/O I -nDinOE 2 0 /\3 0 FB4_12 94 I/O O -(unused) 0 0 \/5 0 FB4_13 (b) (b) -ram/RAMReady 16 11<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -(unused) 0 0 /\1 4 FB4_16 (b) (b) -nRAMUWE 1 0 \/2 2 FB4_17 97 I/O O -ram/RAMDIS2 7 2<- 0 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<11> 10: cnt/RefCnt<6> 18: ram/BACTr - 2: A_FSB<15> 11: cnt/RefCnt<7> 19: ram/Once - 3: A_FSB<20> 12: cnt/RefDone 20: ram/RAMDIS1 - 4: A_FSB<21> 13: cs/nOverlay1 21: ram/RAMDIS2 - 5: A_FSB<22> 14: fsb/ASrf 22: ram/RASEL - 6: A_FSB<23> 15: nAS_FSB 23: ram/RS_FSM_FFd1 - 7: A_FSB<2> 16: nUDS_FSB 24: ram/RS_FSM_FFd2 - 8: A_FSB<6> 17: nWE_FSB 25: ram/RS_FSM_FFd3 - 9: cnt/RefCnt<5> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<1> X.....X..............X.................. 3 -ram/RS_FSM_FFd2 ...XXX..XXXXXXX..X....XXX............... 14 -ram/RASEL ...XXX..XXXXXXX..XX...XXX............... 15 -RA<5> .X.....X.............X.................. 3 -ram/RAMDIS1 ...XXX..XXXXXXX..XX...XXX............... 15 -nDinOE ..XXXX........X.X....................... 6 -ram/RAMReady ...XXX..XXXXXXX..XX...XXX............... 15 -nRAMUWE ..............XXX..XX................... 5 -ram/RAMDIS2 ...XXX..XXXXXXX...X.X.XXX............... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 7/47 -Number of signals used by logic mapping into function block: 7 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB5_1 (b) -nROMCS 2 0 0 3 FB5_2 35 I/O O -(unused) 0 0 0 5 FB5_3 (b) -(unused) 0 0 0 5 FB5_4 (b) -(unused) 0 0 0 5 FB5_5 36 I/O -(unused) 0 0 0 5 FB5_6 37 I/O -(unused) 0 0 0 5 FB5_7 (b) -(unused) 0 0 0 5 FB5_8 39 I/O -(unused) 0 0 0 5 FB5_9 40 I/O -(unused) 0 0 0 5 FB5_10 (b) -(unused) 0 0 0 5 FB5_11 41 I/O -(unused) 0 0 0 5 FB5_12 42 I/O -(unused) 0 0 0 5 FB5_13 (b) -(unused) 0 0 0 5 FB5_14 43 I/O -(unused) 0 0 0 5 FB5_15 46 I/O I -iobm/VPArr 1 0 0 4 FB5_16 (b) (b) -iobm/Er 1 0 0 4 FB5_17 49 I/O I -cnt/RefCnt<0> 0 0 0 5 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<20> 4: A_FSB<23> 6: cs/nOverlay1 - 2: A_FSB<21> 5: E_IOB 7: nVPA_IOB - 3: A_FSB<22> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS XXXX.X.................................. 5 -iobm/VPArr ......X................................. 1 -iobm/Er ....X................................... 1 -cnt/RefCnt<0> ........................................ 0 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 23/31 -Number of signals used by logic mapping into function block: 23 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB6_1 (b) (b) -RA<3> 2 0 0 3 FB6_2 74 I/O O -iobs/IOACTr 1 0 0 4 FB6_3 (b) (b) -fsb/ASrf 1 0 0 4 FB6_4 (b) (b) -cnt/RefCnt<3> 1 0 0 4 FB6_5 76 I/O I -RA<4> 2 0 0 3 FB6_6 77 I/O O -cnt/RefCnt<2> 1 0 0 4 FB6_7 (b) (b) -cnt/RefCnt<1> 1 0 0 4 FB6_8 78 I/O I -nCAS 1 0 0 4 FB6_9 79 I/O O -RefAck 1 0 0 4 FB6_10 (b) (b) -ALE0S 1 0 0 4 FB6_11 80 I/O I -nOE 1 0 0 4 FB6_12 81 I/O O -iobs/IOU1 2 0 0 3 FB6_13 (b) (b) -iobs/IOL1 2 0 0 3 FB6_14 82 I/O I -nROMWE 1 0 0 4 FB6_15 85 I/O O -IOU0 3 0 0 2 FB6_16 (b) (b) -nVPA_FSB 1 0 0 4 FB6_17 86 I/O O -IOL0 3 0 0 2 FB6_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 9: fsb/ASrf 17: nAS_FSB - 2: A_FSB<14> 10: fsb/VPA 18: nLDS_FSB - 3: A_FSB<4> 11: iobs/IOL1 19: nUDS_FSB - 4: A_FSB<5> 12: iobs/IOU1 20: nWE_FSB - 5: IOACT 13: iobs/Load1 21: ram/RASEL - 6: cnt/RefCnt<0> 14: iobs/PS_FSM_FFd1 22: ram/RS_FSM_FFd1 - 7: cnt/RefCnt<1> 15: iobs/PS_FSM_FFd2 23: ram/RS_FSM_FFd2 - 8: cnt/RefCnt<2> 16: nADoutLE1 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ........X.......X....................... 2 -RA<3> X.X.................X................... 3 -iobs/IOACTr ....X................................... 1 -fsb/ASrf ................X....................... 1 -cnt/RefCnt<3> .....XXX................................ 3 -RA<4> .X.X................X................... 3 -cnt/RefCnt<2> .....XX................................. 2 -cnt/RefCnt<1> .....X.................................. 1 -nCAS ....................X................... 1 -RefAck .....................XX................. 2 -ALE0S .............XX......................... 2 -nOE ................X..X.................... 2 -iobs/IOU1 ............X.....X..................... 2 -iobs/IOL1 ............X....X...................... 2 -nROMWE ................X..X.................... 2 -IOU0 ...........X.XXX..X..................... 5 -nVPA_FSB .........X......X....................... 2 -IOL0 ..........X..XXX.X...................... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 22/32 -Number of signals used by logic mapping into function block: 22 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArf 1 0 0 4 FB7_1 (b) (b) -RA<7> 2 0 0 3 FB7_2 50 I/O O -iobm/RESrr 1 0 0 4 FB7_3 (b) (b) -iobm/RESrf 1 0 0 4 FB7_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB7_5 52 I/O I -RA<8> 2 0 0 3 FB7_6 53 I/O O -iobm/Er2 1 0 0 4 FB7_7 (b) (b) -iobm/DTACKrr 1 0 0 4 FB7_8 54 I/O I -RA<9> 2 0 0 3 FB7_9 55 I/O O -iobm/DTACKrf 1 0 0 4 FB7_10 (b) (b) -iobm/ES<3> 3 0 0 2 FB7_11 56 I/O I -RA<11> 1 0 0 4 FB7_12 58 I/O O -iobm/ES<1> 3 0 0 2 FB7_13 (b) (b) -iobm/ES<0> 3 0 0 2 FB7_14 59 I/O I -nADoutLE0 1 0 0 4 FB7_15 60 I/O O -iobm/ES<4> 4 0 0 1 FB7_16 (b) (b) -nDinLE 1 0 0 4 FB7_17 61 I/O O -iobm/ES<2> 5 0 0 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: ALE0M 9: IOREQ 16: iobm/Er2 - 2: ALE0S 10: iobm/ES<0> 17: iobm/IOS_FSM_FFd3 - 3: A_FSB<17> 11: iobm/ES<1> 18: iobm/IOS_FSM_FFd4 - 4: A_FSB<18> 12: iobm/ES<2> 19: nDTACK_IOB - 5: A_FSB<19> 13: iobm/ES<3> 20: nRES - 6: A_FSB<20> 14: iobm/ES<4> 21: nVPA_IOB - 7: A_FSB<8> 15: iobm/Er 22: ram/RASEL - 8: A_FSB<9> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArf ....................X................... 1 -RA<7> ..X...X..............X.................. 3 -iobm/RESrr ...................X.................... 1 -iobm/RESrf ...................X.................... 1 -iobm/IOREQr ........X............................... 1 -RA<8> ...X...X.............X.................. 3 -iobm/Er2 ..............X......................... 1 -iobm/DTACKrr ..................X..................... 1 -RA<9> ....XX...............X.................. 3 -iobm/DTACKrf ..................X..................... 1 -iobm/ES<3> .........XXXX.XX........................ 6 -RA<11> ....X................................... 1 -iobm/ES<1> .........XX...XX........................ 4 -iobm/ES<0> .........XXXXXXX........................ 7 -nADoutLE0 XX...................................... 2 -iobm/ES<4> .........XXXXXXX........................ 7 -nDinLE ................XX...................... 2 -iobm/ES<2> .........XXXXXXX........................ 7 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -fsb/VPA 14 9<- 0 0 FB8_1 (b) (b) -nBERR_FSB 4 4<- /\5 0 FB8_2 63 I/O O -cnt/RefCnt<6> 1 0 /\4 0 FB8_3 (b) (b) -cnt/RefCnt<5> 1 0 0 4 FB8_4 (b) (b) -cnt/RefCnt<4> 1 0 0 4 FB8_5 64 I/O I -RA<2> 2 0 0 3 FB8_6 65 I/O O -fsb/BERR1r 2 0 0 3 FB8_7 (b) (b) -cs/nOverlay1 2 0 0 3 FB8_8 66 I/O I -RA<6> 2 0 0 3 FB8_9 67 I/O O -cs/nOverlay0 2 0 0 3 FB8_10 (b) (b) -cnt/RefDone 2 0 0 3 FB8_11 68 I/O I -RA<10> 1 0 0 4 FB8_12 70 I/O O -fsb/Ready0r 3 0 0 2 FB8_13 (b) (b) -TimeoutB 3 0 0 2 FB8_14 71 I/O I -nAoutOE 0 0 \/4 1 FB8_15 72 I/O O -ram/RS_FSM_FFd3 11 6<- 0 0 FB8_16 (b) (b) -TimeoutA 3 0 /\2 0 FB8_17 73 I/O I -cnt/RefCnt<7> 1 0 \/4 0 FB8_18 (b) (b) +(unused) 0 0 \/5 0 FB1_1 (b) (b) +nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O +(unused) 0 0 /\5 0 FB1_3 12 I/O I +$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) +(unused) 0 0 /\3 2 FB1_5 13 I/O I +nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O +fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) +(unused) 0 0 0 5 FB1_8 15 I/O I +(unused) 0 0 \/3 2 FB1_9 16 I/O I +fsb/VPA__or00001/fsb/VPA__or00001_D2 + 8 3<- 0 0 FB1_10 (b) (b) +nOE 1 0 \/4 0 FB1_11 17 I/O O +fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I +(unused) 0 0 \/5 0 FB1_13 (b) (b) +(unused) 0 0 \/5 0 FB1_14 19 I/O I +fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I +(unused) 0 0 /\5 0 FB1_16 (b) (b) +$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK +(unused) 0 0 \/5 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$INV$223 13: TimeoutB 25: fsb/ASrf - 2: A_FSB<12> 14: cnt/RefCnt<0> 26: fsb/BERR0r - 3: A_FSB<16> 15: cnt/RefCnt<1> 27: fsb/BERR1r - 4: A_FSB<20> 16: cnt/RefCnt<2> 28: fsb/Ready0r - 5: A_FSB<21> 17: cnt/RefCnt<3> 29: fsb/VPA - 6: A_FSB<22> 18: cnt/RefCnt<4> 30: nAS_FSB - 7: A_FSB<23> 19: cnt/RefCnt<5> 31: ram/Once - 8: A_FSB<3> 20: cnt/RefCnt<6> 32: ram/RAMReady - 9: A_FSB<7> 21: cnt/RefCnt<7> 33: ram/RASEL - 10: BERR_IOBS 22: cnt/RefDone 34: ram/RS_FSM_FFd1 - 11: RefAck 23: cs/nOverlay0 35: ram/RS_FSM_FFd2 - 12: TimeoutA 24: cs/nOverlay1 36: ram/RS_FSM_FFd3 + 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r + 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r + 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r + 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r + 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA + 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 + 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady + 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 + 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB + 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB + 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB + 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 +$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 +nBERR_FSB ............XXXX..X.X..XX.......X....... 9 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 +fsb/VPA__or00001/fsb/VPA__or00001_D2 + ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 +nOE ................................X.X..... 2 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 +fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 +$OpTx$FX_DC$602 ............XXXX....X................... 5 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/VPArr 1 0 0 4 FB2_1 (b) (b) +iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/RESrr 1 0 0 4 FB2_3 (b) (b) +iobm/RESrf 1 0 0 4 FB2_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) +cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) +fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) +cnt/RefDone 2 0 0 3 FB2_10 (b) (b) +nLDS_IOB 3 0 0 2 FB2_11 6 I/O O +nUDS_IOB 3 0 0 2 FB2_12 7 I/O O +cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) +nDoutOE 2 0 0 3 FB2_14 8 I/O O +TimeoutB 3 0 0 2 FB2_15 9 I/O I +TimeoutA 3 0 0 2 FB2_16 (b) (b) +nAS_IOB 1 0 0 4 FB2_17 10 I/O O +iobs/IOReady 4 0 0 1 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 + 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 + 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 + 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr + 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady + 6: IOU0 18: cnt/RefDone 30: iobs/Once + 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 + 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 + 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB + 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES + 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB + 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ..................................X..... 1 +iobm/VPArf ..................................X..... 1 +iobm/RESrr .................................X...... 1 +iobm/RESrf .................................X...... 1 +iobm/IOREQr ...X.................................... 1 +cnt/RefCnt<3> .........XXX............................ 3 +cnt/RefCnt<2> .........XX............................. 2 +cnt/RefCnt<1> .........X.............................. 1 +fsb/BERR1r X..................XX...........X....... 4 +cnt/RefDone ......X..XXXXXXXXX...................... 10 +nLDS_IOB ..X.X.................XXXXX............. 7 +nUDS_IOB ....XX................XXXXX............. 7 +cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 +nDoutOE ....X................XXXXXX............. 7 +TimeoutB ........XXXXXXXXX.XX............X....... 12 +TimeoutA .......X.XXXXXXX...X............X....... 10 +nAS_IOB ......................XXXXX............. 5 +iobs/IOReady .X.................X.......XXXXXX....... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK +IORW0 18 13<- 0 0 FB3_3 (b) (b) +iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) +nRAS 3 0 0 2 FB3_5 24 I/O O +iobs/Clear1 1 0 0 4 FB3_6 25 I/O I +fsb/ASrf 1 0 0 4 FB3_7 (b) (b) +ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I +iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) +(unused) 0 0 /\5 0 FB3_10 (b) (b) +nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O +iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) +IOREQ 14 9<- 0 0 FB3_13 (b) (b) +iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) +nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_16 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) +ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 + 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 + 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once + 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 + 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 + 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 + 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB + 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB + 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB + 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 + 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 + 12: IORW0 24: iobs/IOACTr + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 +iobs/IOACTr ..........X............................. 1 +nRAS .......XXX..XX................X..XX..... 8 +iobs/Clear1 ...........................XXX.......... 3 +fsb/ASrf ..............................X......... 1 +ALE0S ...........................XX........... 2 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 +nADoutLE1 ......................X..X...X.......... 3 +iobs/PS_FSM_FFd1 .......................X...XX........... 3 +IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 +iobm/ETACK ...............XXXXX...........X........ 6 +nVMA_IOB ..........X....XXXXXXX.........X........ 9 +iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 +ram/BACTr ..............X...............X......... 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) +RA<0> 2 2<- /\5 0 FB4_2 87 I/O O +cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) +(unused) 0 0 \/4 1 FB4_5 89 I/O I +iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I +(unused) 0 0 /\5 0 FB4_7 (b) (b) +RA<2> 2 0 \/2 1 FB4_8 91 I/O O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +iobs/Once 17 12<- 0 0 FB4_10 (b) (b) +(unused) 0 0 /\5 0 FB4_11 93 I/O I +RA<4> 2 0 \/3 0 FB4_12 94 I/O O +(unused) 0 0 \/5 0 FB4_13 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) +nDinOE 2 2<- \/5 0 FB4_17 97 I/O O +(unused) 0 0 \/5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once + 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 + 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 + 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 + 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB + 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB + 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr + 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once + 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL + 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 + 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 + 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +RA<0> X.......X.......................X....... 3 +cs/nOverlay1 ....................X.X.....X........... 3 +iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<2> .X...........X..................X....... 3 +iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<4> ...X..........X.................X....... 3 +ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +fsb/BERR0r .........XXXX..X......XX....X........... 8 +nDinOE .........XXXX...............XX.......... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +IOACT 10 5<- 0 0 FB5_1 (b) (b) +RA<1> 2 0 /\3 0 FB5_2 35 I/O O +iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) +iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) +iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) +RA<3> 2 0 0 3 FB5_8 39 I/O O +iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) +cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) +iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) +nROMCS 2 0 0 3 FB5_12 42 I/O O +ALE0M 2 0 0 3 FB5_13 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) +BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) +iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O I +IOBERR 8 5<- \/2 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 + 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 + 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf + 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr + 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr + 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once + 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 + 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 + 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB + 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB + 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL + 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IOACT .........X...XXXXXX.XXXXXXXX............ 15 +RA<1> X.....X...........................X..... 3 +iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 +iobm/IOS_FSM_FFd6 ........................X............... 1 +iobm/IOS_FSM_FFd5 .......................X................ 1 +iobm/IOS_FSM_FFd4 ......................X................. 1 +iobm/BERRrr .................................X...... 1 +RA<3> .X.....X..........................X..... 3 +iobm/BERRrf .................................X...... 1 +cnt/RefCnt<0> ........................................ 0 +iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 +nROMCS ..XXXX.....X............................ 5 +ALE0M ..................X.XXXXXX.............. 7 +iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 +BERR_IOBS ........X.X.X...............XXXXX....... 8 +iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 +IOBERR .........XX..XXXXX..X.....XX.....X...... 11 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/Er2 1 0 0 4 FB6_1 (b) (b) +nCAS 1 0 0 4 FB6_2 74 I/O O +iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) +iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) +RefAck 1 0 0 4 FB6_5 76 I/O I +nRAMLWE 1 0 0 4 FB6_6 77 I/O O +iobs/IOU1 2 0 0 3 FB6_7 (b) (b) +iobs/IOL1 2 0 0 3 FB6_8 78 I/O I +nRAMUWE 1 0 0 4 FB6_9 79 I/O O +iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I +nROMWE 1 0 0 4 FB6_12 81 I/O O +iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) +IOU0 3 0 0 2 FB6_14 82 I/O I +nVPA_FSB 1 0 0 4 FB6_15 85 I/O O +IOL0 3 0 0 2 FB6_16 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I +iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB + 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB + 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB + 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 + 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 + 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL + 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 + 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/Er2 ......X................................. 1 +nCAS .....................X.................. 1 +iobm/DTACKrr ...............X........................ 1 +iobm/DTACKrf ...............X........................ 1 +RefAck ......................XX................ 2 +nRAMLWE ..............X.X.XXX................... 5 +iobs/IOU1 ..........X......X...................... 2 +iobs/IOL1 ..........X.....X....................... 2 +nRAMUWE ..............X..XXXX................... 5 +iobm/ES<3> .XXXX.XX................................ 6 +iobm/ES<1> .XX...XX................................ 4 +nROMWE ..............X...X..................... 2 +iobm/ES<0> .XXXXXXX................................ 7 +IOU0 .........X.XXX...X...................... 5 +nVPA_FSB X.............X......................... 2 +IOL0 ........X..XXX..X....................... 5 +iobm/ES<4> .XXXXXXX................................ 7 +iobm/ES<2> .XXXXXXX................................ 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) +RA<5> 2 0 0 3 FB7_2 50 I/O O +cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) +cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I +RA<6> 2 0 /\3 0 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I +RA<7> 2 0 \/3 0 FB7_9 55 I/O O +ram/Once 5 3<- \/3 0 FB7_10 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I +RA<8> 2 1<- \/4 0 FB7_12 58 I/O O +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I +RA<9> 2 0 \/1 2 FB7_15 60 I/O O +cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) +ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I +(unused) 0 0 /\5 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf + 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r + 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB + 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr + 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once + 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 + 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady + 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL + 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 + 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 + 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 + 12: A_FSB<8> 24: cs/nOverlay1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA X..XXXX..X..X...........XXX.XX.......... 12 -nBERR_FSB ...XXXX..X..X............XX..X.......... 9 -cnt/RefCnt<6> .............XXXXXX..................... 6 cnt/RefCnt<5> .............XXXXX...................... 5 +RA<5> X........X.....................X........ 3 cnt/RefCnt<4> .............XXXX....................... 4 -RA<2> .X.....X........................X....... 3 -fsb/BERR1r .........X..............X.X..X.......... 4 -cs/nOverlay1 ......................X.X....X.......... 3 -RA<6> ..X.....X.......................X....... 3 -cs/nOverlay0 ...XXXX...............X.X....X.......... 7 -cnt/RefDone ..........X..XXXXXXXXX.................. 10 -RA<10> ....X................................... 1 -fsb/Ready0r ....XXX................XX..X.X.X........ 8 -TimeoutB ...........XXXXXXXXXX...X....X.......... 12 -nAoutOE ........................................ 0 -ram/RS_FSM_FFd3 ....XXX...........XXXX.XX....XX..XXX.... 14 -TimeoutA ...........X.XXXXXXXX...X....X.......... 11 +cs/nOverlay0 .....XXXX.............X.X.X............. 7 +ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 +RA<6> .X........X....................X........ 3 +fsb/Ready0r ......XXX..............XXXX...X......... 8 +ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 +RA<7> ..X........X...................X........ 3 +ram/Once ......XXX..............XX.X.X...XXX..... 10 +ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 +RA<8> ...X........X..................X........ 3 +ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 cnt/RefCnt<7> .............XXXXXXX.................... 7 +RA<9> ....XX.........................X........ 3 +cnt/RefCnt<6> .............XXXXXX..................... 6 +ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB8_1 (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +(unused) 0 0 0 5 FB8_3 (b) +(unused) 0 0 0 5 FB8_4 (b) +(unused) 0 0 0 5 FB8_5 64 I/O I +RA<10> 1 0 0 4 FB8_6 65 I/O O +(unused) 0 0 0 5 FB8_7 (b) +(unused) 0 0 0 5 FB8_8 66 I/O I +nADoutLE0 1 0 0 4 FB8_9 67 I/O O +(unused) 0 0 0 5 FB8_10 (b) +(unused) 0 0 0 5 FB8_11 68 I/O I +nAoutOE 0 0 0 5 FB8_12 70 I/O O +(unused) 0 0 0 5 FB8_13 (b) +(unused) 0 0 0 5 FB8_14 71 I/O I +nDinLE 1 0 0 4 FB8_15 72 I/O O +(unused) 0 0 0 5 FB8_16 (b) +iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I +iobm/Er 1 0 0 4 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 + 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 + 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<11> ..X..................................... 1 +RA<10> ...X.................................... 1 +nADoutLE0 XX...................................... 2 +nAoutOE ........................................ 0 +nDinLE ......XX................................ 2 +iobm/IOS_FSM_FFd1 .....X.................................. 1 +iobm/Er ....X................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -662,35 +680,21 @@ cnt/RefCnt<7> .............XXXXXXX.................... 7 ********** Mapped Logic ********** -$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); +$OpTx$FX_DC$602 <= ((NOT TimeoutB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -704,17 +708,13 @@ FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1) - OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)); - - - - + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); @@ -760,12 +760,6 @@ BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND iobm/BERRrf AND iobm/BERRrr) OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND @@ -774,6 +768,12 @@ IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/RESrf AND iobm/RESrr) OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr) @@ -791,7 +791,11 @@ IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) @@ -802,11 +806,7 @@ IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr)); + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -814,46 +814,62 @@ IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -IOREQ_D <= ((EXP14_.EXP) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) - OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +IORW0_T <= ((EXP22_.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND - nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -901,8 +917,8 @@ RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) OR (ram/RASEL AND A_FSB(7))); -RA(7) <= ((A_FSB(17) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(8))); +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); RA(8) <= ((A_FSB(9) AND ram/RASEL) @@ -925,17 +941,17 @@ FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + NOT cnt/RefCnt(4) AND fsb/ASrf)); FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) - OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); @@ -971,10 +987,19 @@ cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))); +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay0 AND NOT nAS_FSB) - OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay0 AND fsb/ASrf)); FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); @@ -983,9 +1008,9 @@ cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutB AND NOT fsb/BERR0r) - OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/BERR0r)); FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); @@ -1000,64 +1025,119 @@ fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf) +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)); + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)); + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); -FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0'); -fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND - NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) - OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) - OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223) - OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$INV$223)); +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP18_.EXP) + OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) + OR (fsb/VPA AND fsb/ASrf AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) + OR ($OpTx$FX_DC$602.EXP) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND + $OpTx$FX_DC$606) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND + $OpTx$FX_DC$606) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/VPA AND NOT nAS_FSB AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -1152,6 +1232,9 @@ FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0') FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); @@ -1159,19 +1242,18 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); iobs/IORW1_T <= ((iobs/Once) OR (NOT nADoutLE1) - OR (nWE_FSB AND iobs/IORW1) + OR (nVMA_IOB_OBUF.EXP) OR (NOT nWE_FSB AND NOT iobs/IORW1) OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(20) AND NOT A_FSB(23)) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); @@ -1188,99 +1270,79 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Loa FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); iobs/Load1_D <= ((iobs/Once) OR (NOT nADoutLE1) - OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) - OR (NOT A_FSB(20) AND NOT A_FSB(23)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) OR (nAS_FSB AND NOT fsb/ASrf) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0'); -iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf) - OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)); +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((RA_2_OBUF.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND - NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf)); +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1) - OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND nADoutLE1) - OR (iobs/Once AND nADoutLE1) - OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -1291,58 +1353,59 @@ nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT fsb/BERR1r) - OR (NOT TimeoutB AND NOT fsb/BERR0r) - OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND - NOT fsb/BERR0r)); + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) - OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (EXP17_.EXP) - OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) - OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) +nDTACK_FSB_D <= ((EXP21_.EXP) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND - NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND - A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND - A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR ($OpTx$FX_DC$606.EXP) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND nDTACK_FSB) - OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND - NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND - NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND - nDTACK_FSB)); + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (BERR_IOBS AND nDTACK_FSB) + OR (fsb/BERR0r AND nDTACK_FSB) + OR (fsb/BERR1r AND nDTACK_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)); FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); @@ -1377,8 +1440,8 @@ nRAS <= NOT (((RefAck) NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay1))); @@ -1404,7 +1467,9 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND @@ -1413,12 +1478,11 @@ ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + NOT ram/RS_FSM_FFd3)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -1428,7 +1492,6 @@ ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_F NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (nDinOE_OBUF.EXP) OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -1449,7 +1512,11 @@ ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_F NOT ram/BACTr AND fsb/ASrf)); FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -1467,11 +1534,7 @@ ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND - NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf)); + cnt/RefCnt(7))); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND @@ -1484,7 +1547,7 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (EXP36_.EXP) + OR (cnt/RefCnt(5).EXP) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -1504,19 +1567,7 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (RA_5_OBUF.EXP) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -1528,6 +1579,17 @@ ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (nDinOE_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) @@ -1540,9 +1602,6 @@ ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) @@ -1550,34 +1609,47 @@ ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)); + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND - NOT cnt/RefCnt(7)) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5) AND NOT fsb/ASrf) OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6) AND NOT fsb/ASrf) OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND ram/BACTr)); + NOT cnt/RefCnt(5) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND + NOT cnt/RefCnt(7))); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -1641,55 +1713,55 @@ Device : XC95144XL-10-TQ100 Pin Signal Pin Signal No. Name No. Name 1 KPR 51 VCC - 2 KPR 52 A_FSB<8> - 3 KPR 53 RA<8> - 4 KPR 54 A_FSB<4> - 5 VCC 55 RA<9> - 6 nLDS_IOB 56 nBERR_IOB + 2 KPR 52 nUDS_FSB + 3 KPR 53 RA<6> + 4 KPR 54 nDTACK_IOB + 5 VCC 55 RA<7> + 6 nLDS_IOB 56 A_FSB<4> 7 nUDS_IOB 57 VCC - 8 RA<0> 58 RA<11> - 9 nDoutOE 59 A_FSB<9> - 10 nAS_IOB 60 nADoutLE0 - 11 A_FSB<11> 61 nDinLE - 12 nDTACK_FSB 62 GND - 13 A_FSB<13> 63 nBERR_FSB - 14 A_FSB<14> 64 A_FSB<12> - 15 A_FSB<15> 65 RA<2> - 16 nADoutLE1 66 nWE_FSB - 17 A_FSB<1> 67 RA<6> - 18 A_FSB<2> 68 A_FSB<10> + 8 nDoutOE 58 RA<8> + 9 A_FSB<22> 59 nVPA_IOB + 10 nAS_IOB 60 RA<9> + 11 nDTACK_FSB 61 nWE_FSB + 12 A_FSB<9> 62 GND + 13 nAS_FSB 63 RA<11> + 14 nBERR_FSB 64 A_FSB<8> + 15 A_FSB<5> 65 RA<10> + 16 A_FSB<2> 66 A_FSB<23> + 17 nOE 67 nADoutLE0 + 18 nBERR_IOB 68 A_FSB<21> 19 A_FSB<6> 69 GND - 20 nUDS_FSB 70 RA<10> - 21 GND 71 nLDS_FSB - 22 CLK2X_IOB 72 nAoutOE - 23 CLK_FSB 73 nAS_FSB - 24 nRAS 74 RA<3> - 25 A_FSB<5> 75 GND - 26 VCC 76 A_FSB<23> - 27 CLK_IOB 77 RA<4> - 28 nVPA_IOB 78 A_FSB<3> - 29 nVMA_IOB 79 nCAS - 30 KPR 80 A_FSB<21> - 31 GND 81 nOE - 32 KPR 82 A_FSB<19> - 33 nRAMLWE 83 TDO + 20 A_FSB<7> 70 nAoutOE + 21 GND 71 A_FSB<20> + 22 CLK2X_IOB 72 nDinLE + 23 CLK_FSB 73 A_FSB<19> + 24 nRAS 74 nCAS + 25 nLDS_FSB 75 GND + 26 VCC 76 A_FSB<18> + 27 CLK_IOB 77 nRAMLWE + 28 KPR 78 A_FSB<3> + 29 nADoutLE1 79 nRAMUWE + 30 KPR 80 A_FSB<15> + 31 GND 81 nROMWE + 32 KPR 82 A_FSB<13> + 33 nVMA_IOB 83 TDO 34 KPR 84 GND - 35 nROMCS 85 nROMWE - 36 KPR 86 nVPA_FSB - 37 KPR 87 RA<1> + 35 RA<1> 85 nVPA_FSB + 36 KPR 86 A_FSB<11> + 37 KPR 87 RA<0> 38 VCC 88 VCC - 39 KPR 89 A_FSB<16> - 40 KPR 90 A_FSB<17> - 41 KPR 91 RA<5> - 42 KPR 92 A_FSB<18> - 43 KPR 93 A_FSB<20> - 44 GND 94 nDinOE - 45 TDI 95 A_FSB<22> - 46 E_IOB 96 A_FSB<7> - 47 TMS 97 nRAMUWE + 39 RA<3> 89 A_FSB<10> + 40 KPR 90 A_FSB<1> + 41 KPR 91 RA<2> + 42 nROMCS 92 A_FSB<12> + 43 KPR 93 A_FSB<14> + 44 GND 94 RA<4> + 45 TDI 95 A_FSB<16> + 46 KPR 96 A_FSB<17> + 47 TMS 97 nDinOE 48 TCK 98 VCC - 49 nDTACK_IOB 99 nRES - 50 RA<7> 100 GND + 49 E_IOB 99 nRES + 50 RA<5> 100 GND Legend : NC = Not Connected, unbonded pin diff --git a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm index 1b9b9e3..6cc386b 100644 --- a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm @@ -6,35 +6,21 @@ ********** Mapped Logic ********** -$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +$OpTx$FX_DC$602 <= ((NOT TimeoutB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -48,17 +34,13 @@ FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -
     BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1) -
      OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
      OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)); - - - - +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); @@ -104,12 +86,6 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND
      iobm/BERRrf AND iobm/BERRrr)
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND @@ -118,6 +94,12 @@ FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND
      iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/RESrf AND iobm/RESrr)
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND
      NOT iobm/IOREQr) @@ -135,7 +117,11 @@ FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
      iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -
     IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
     IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) @@ -146,11 +132,7 @@ FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND
      CLK_IOB AND iobm/ETACK)
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/RESrf AND iobm/RESrr)); +
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -158,46 +140,62 @@ FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -
     IOREQ_D <= ((EXP14_.EXP) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) -
      OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)); +
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -
     IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
     IORW0_T <= ((EXP22_.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND -
      nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -245,8 +243,8 @@ RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
      OR (ram/RASEL AND A_FSB(7))); -RA(7) <= ((A_FSB(17) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(8))); +RA(7) <= ((A_FSB(8) AND ram/RASEL) +
      OR (A_FSB(17) AND NOT ram/RASEL)); RA(8) <= ((A_FSB(9) AND ram/RASEL) @@ -269,17 +267,17 @@ FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND -
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
      NOT cnt/RefCnt(4) AND fsb/ASrf)); FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) -
      OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) -
      OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND +
      NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND +
      NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); @@ -315,10 +313,19 @@ FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND
      NOT cnt/RefCnt(7))); +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +
     cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -
     cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
     cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
      NOT cs/nOverlay0 AND NOT nAS_FSB) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
      NOT cs/nOverlay0 AND fsb/ASrf)); FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); @@ -327,9 +334,9 @@ FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -
     fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT TimeoutB AND NOT fsb/BERR0r) -
      OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
     fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND
      NOT fsb/BERR0r)); FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); @@ -344,64 +351,119 @@ FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -
     fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
     fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
      NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
      NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)); +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)); +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); -FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0'); -
     fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND -
      NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) -
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) -
      OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223) -
      OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$INV$223)); +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +
     fsb/VPA_D <= ((EXP18_.EXP) +
      OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/VPA AND fsb/ASrf AND +
      fsb/VPA__or00001/fsb/VPA__or00001_D2) +
      OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) +
      OR ($OpTx$FX_DC$602.EXP) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      $OpTx$FX_DC$606) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND +
      $OpTx$FX_DC$606) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/VPA AND NOT nAS_FSB AND +
      fsb/VPA__or00001/fsb/VPA__or00001_D2) +
      OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -496,6 +558,9 @@ FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0') FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); @@ -503,19 +568,18 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
     iobs/IORW1_T <= ((iobs/Once)
      OR (NOT nADoutLE1) -
      OR (nWE_FSB AND iobs/IORW1) +
      OR (nVMA_IOB_OBUF.EXP)
      OR (NOT nWE_FSB AND NOT iobs/IORW1)
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) -
      OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23)) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) +
      OR (nWE_FSB AND iobs/IORW1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); @@ -532,99 +596,79 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Loa FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
     iobs/Load1_D <= ((iobs/Once)
      OR (NOT nADoutLE1) -
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1) -
      OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) -
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20))
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0'); -
     iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf) -
      OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)); +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +
     iobs/Once_D <= ((RA_2_OBUF.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND -
      NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf)); +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      iobs/IOACTr) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      NOT iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT fsb/ASrf AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -
     nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1) -
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND nADoutLE1) -
      OR (iobs/Once AND nADoutLE1) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +
     nADoutLE1_D <= ((iobs/Load1) +
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
     nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND @@ -635,58 +679,59 @@ nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) -
      OR (NOT BERR_IOBS AND NOT fsb/BERR1r) -
      OR (NOT TimeoutB AND NOT fsb/BERR0r) -
      OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND -
      NOT fsb/BERR0r)); +
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND +
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -
     nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) -
      OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR (EXP17_.EXP) -
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) -
      OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND nDTACK_FSB) +
     nDTACK_FSB_D <= ((EXP21_.EXP) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND -
      NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND -
      A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND -
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR ($OpTx$FX_DC$606.EXP) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND
      nDTACK_FSB) -
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND -
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND -
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND -
      nDTACK_FSB)); +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (BERR_IOBS AND nDTACK_FSB) +
      OR (fsb/BERR0r AND nDTACK_FSB) +
      OR (fsb/BERR1r AND nDTACK_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND
      NOT nAS_FSB)); FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); @@ -721,8 +766,8 @@ nRAS <= NOT (((RefAck)
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
      NOT cs/nOverlay1))); @@ -748,7 +793,9 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -
     ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND @@ -757,12 +804,11 @@ FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
      NOT ram/RS_FSM_FFd3)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -
     ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
     ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -772,7 +818,6 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (nDinOE_OBUF.EXP)
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -793,7 +838,11 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
      NOT ram/BACTr AND fsb/ASrf)); FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -811,11 +860,7 @@ FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND -
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      fsb/ASrf)); +
      cnt/RefCnt(7))); FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
     ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND @@ -828,7 +873,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (EXP36_.EXP) +
      OR (cnt/RefCnt(5).EXP)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -848,19 +893,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -
     ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (RA_5_OBUF.EXP) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
     ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -872,6 +905,17 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
      NOT fsb/ASrf) +
      OR (nDinOE_OBUF.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) @@ -884,9 +928,6 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) @@ -894,34 +935,47 @@ FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)); +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(5) AND ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND -
      NOT cnt/RefCnt(7)) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
     ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND +
      ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(6) AND ram/BACTr)); +
      NOT cnt/RefCnt(5) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND +
      NOT cnt/RefCnt(7))); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
     ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
      cnt/RefCnt(7)) diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/MXSE_html/fit/eqns.htm index 207009c..1a9486d 100644 --- a/cpld/XC95144XL/MXSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/MXSE_html/fit/eqns.htm @@ -3,13 +3,33 @@ -
- fsb/Ready1r.Q to fsb/VPA.D + + fsb/Ready2r.Q to fsb/VPA.D 40.000 - 19.700 - 20.300 + 20.100 + 19.900 IOBERR.Q to IOBERR.D @@ -355,6 +321,40 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { aWindow.document.close() } //--> + + + + iobm/BERRrf.Q to IOBERR.D + 33.300 + 11.000 + 22.300 + +
@@ -378,7 +378,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { CLK_FSB - 50.761 + 49.751 Limited by Cycle Time for CLK_FSB @@ -412,82 +412,87 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<10> - 16.200 + 16.600 0.000 A_FSB<11> - 16.200 + 16.600 0.000 A_FSB<12> - 16.200 + 16.600 0.000 A_FSB<13> - 16.200 + 16.600 0.000 A_FSB<14> - 16.200 + 16.600 0.000 A_FSB<15> - 16.200 + 16.600 0.000 A_FSB<16> - 16.200 + 16.600 0.000 A_FSB<17> - 16.200 + 16.600 0.000 A_FSB<18> - 16.200 + 16.600 0.000 A_FSB<19> - 16.200 + 16.600 0.000 A_FSB<20> - 16.200 + 16.600 0.000 A_FSB<21> - 16.200 + 16.600 0.000 A_FSB<22> - 16.200 + 16.600 0.000 A_FSB<23> - 16.200 + 16.600 + 0.000 + + + A_FSB<8> + 16.600 0.000 A_FSB<9> - 16.200 + 16.600 0.000 nAS_FSB - 8.300 + 7.900 0.000 @@ -502,7 +507,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nWE_FSB - 16.200 + 16.600 0.000
@@ -548,16 +553,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { Destination Pad Clock (edge) to Pad - - RA<1> - 14.500 - - - nBERR_FSB - 14.500 - RA<0> + 14.500 + + + RA<8> + 14.500 + + + RA<1> 13.500 @@ -584,10 +589,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RA<7> 13.500 - - RA<8> - 13.500 - RA<9> 13.500 @@ -596,6 +597,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE0 13.500 + + nBERR_FSB + 13.500 + nRAMLWE 13.500 @@ -677,201 +682,236 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutA.Q fsb/VPA.D - 19.700 + 20.100 cs/nOverlay1.Q fsb/VPA.D - 19.700 - - - fsb/Ready1r.Q - fsb/VPA.D - 19.700 + 20.100 fsb/Ready2r.Q fsb/VPA.D - 19.700 - - - iobs/IOReady.Q - fsb/VPA.D - 19.700 + 20.100 nADoutLE1.Q fsb/VPA.D - 19.700 + 20.100 - fsb/Ready0r.Q + TimeoutB.Q fsb/VPA.D - 18.700 - - - ram/RAMReady.Q - fsb/VPA.D - 18.700 - - - BERR_IOBS.Q - nDTACK_FSB.D - 11.800 + 19.100 TimeoutB.Q nDTACK_FSB.D - 11.800 + 19.100 + + + BERR_IOBS.Q + fsb/VPA.D + 11.400 + + + BERR_IOBS.Q + nDTACK_FSB.D + 11.400 + + + IORW0.Q + IORW0.D + 11.400 + + + TimeoutA.Q + nDTACK_FSB.D + 11.400 + + + cnt/RefCnt<5>.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefCnt<5>.Q + ram/RAMReady.D + 11.400 + + + cnt/RefCnt<5>.Q + ram/RASEL.D + 11.400 + + + cnt/RefCnt<6>.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefCnt<6>.Q + ram/RAMReady.D + 11.400 + + + cnt/RefCnt<6>.Q + ram/RASEL.D + 11.400 + + + cnt/RefCnt<7>.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefCnt<7>.Q + ram/RAMReady.D + 11.400 + + + cnt/RefCnt<7>.Q + ram/RASEL.D + 11.400 + + + cnt/RefDone.Q + ram/RAMDIS1.D + 11.400 + + + cnt/RefDone.Q + ram/RAMReady.D + 11.400 + + + cnt/RefDone.Q + ram/RASEL.D + 11.400 + + + cs/nOverlay1.Q + IORW0.D + 11.400 + + + cs/nOverlay1.Q + iobs/IORW1.D + 11.400 + + + cs/nOverlay1.Q + iobs/Once.D + 11.400 + + + cs/nOverlay1.Q + nDTACK_FSB.D + 11.400 + + + cs/nOverlay1.Q + ram/RAMDIS1.D + 11.400 + + + cs/nOverlay1.Q + ram/RASEL.D + 11.400 fsb/ASrf.Q - nDTACK_FSB.D - 11.800 + IORW0.D + 11.400 + + + fsb/ASrf.Q + fsb/VPA.D + 11.400 + + + fsb/ASrf.Q + ram/RASEL.D + 11.400 + + + fsb/BERR0r.Q + fsb/VPA.D + 11.400 fsb/BERR0r.Q nDTACK_FSB.D - 11.800 + 11.400 + + + fsb/BERR1r.Q + fsb/VPA.D + 11.400 fsb/BERR1r.Q nDTACK_FSB.D - 11.800 + 11.400 + + + fsb/Ready0r.Q + fsb/VPA.D + 11.400 + + + fsb/Ready1r.Q + fsb/VPA.D + 11.400 fsb/Ready1r.Q nDTACK_FSB.D - 11.800 + 11.400 + + + fsb/Ready2r.Q + nDTACK_FSB.D + 11.400 + + + fsb/VPA.Q + fsb/VPA.D + 11.400 + + + iobs/IOReady.Q + fsb/VPA.D + 11.400 iobs/IOReady.Q nDTACK_FSB.D - 11.800 - - - cnt/RefCnt<5>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RAMReady.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<5>.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RAMReady.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<6>.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RAMReady.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RASEL.D - 11.400 - - - cnt/RefCnt<7>.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cnt/RefDone.Q - ram/RAMDIS1.D - 11.400 - - - cnt/RefDone.Q - ram/RAMReady.D - 11.400 - - - cnt/RefDone.Q - ram/RASEL.D - 11.400 - - - cnt/RefDone.Q - ram/RS_FSM_FFd2.D - 11.400 - - - cs/nOverlay1.Q - IOREQ.D - 11.400 - - - cs/nOverlay1.Q - ram/RAMDIS1.D - 11.400 - - - cs/nOverlay1.Q - ram/RASEL.D - 11.400 - - - cs/nOverlay1.Q - ram/RS_FSM_FFd2.D - 11.400 - - - fsb/ASrf.Q - IOREQ.D - 11.400 - - - fsb/ASrf.Q - ram/RASEL.D - 11.400 - - - fsb/ASrf.Q - ram/RS_FSM_FFd2.D 11.400 iobs/Once.Q - IOREQ.D + IORW0.D + 11.400 + + + iobs/Once.Q + iobs/Once.D 11.400 iobs/PS_FSM_FFd1.Q - IOREQ.D + IORW0.D + 11.400 + + + iobs/PS_FSM_FFd2.Q + IORW0.D + 11.400 + + + nADoutLE1.Q + IORW0.D 11.400 @@ -884,6 +924,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RASEL.D 11.400 + + ram/RAMReady.Q + fsb/VPA.D + 11.400 + ram/RS_FSM_FFd1.Q ram/RAMDIS1.D @@ -894,44 +939,44 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RASEL.D 11.400 - - ram/RS_FSM_FFd1.Q - ram/RS_FSM_FFd2.D - 11.400 - ram/RS_FSM_FFd2.Q ram/RASEL.D 11.400 - ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd2.D - 11.400 - - - ram/RS_FSM_FFd3.Q - ram/RS_FSM_FFd2.D - 11.400 - - - BERR_IOBS.Q - BERR_IOBS.D + TimeoutA.Q + fsb/Ready2r.D 11.000 - BERR_IOBS.Q - fsb/VPA.D + cnt/RefCnt<0>.Q + cnt/RefCnt<6>.D 11.000 - IORW0.Q - IORW0.D + cnt/RefCnt<1>.Q + cnt/RefCnt<6>.D 11.000 - TimeoutB.Q - fsb/VPA.D + cnt/RefCnt<2>.Q + cnt/RefCnt<6>.D + 11.000 + + + cnt/RefCnt<3>.Q + cnt/RefCnt<6>.D + 11.000 + + + cnt/RefCnt<4>.Q + cnt/RefCnt<6>.D + 11.000 + + + cnt/RefCnt<5>.Q + cnt/RefCnt<6>.D 11.000 @@ -939,6 +984,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefCnt<5>.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefCnt<5>.Q ram/RS_FSM_FFd3.D @@ -949,6 +999,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefCnt<6>.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefCnt<6>.Q ram/RS_FSM_FFd3.D @@ -959,6 +1014,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefCnt<7>.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefCnt<7>.Q ram/RS_FSM_FFd3.D @@ -969,6 +1029,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMDIS2.D 11.000 + + cnt/RefDone.Q + ram/RS_FSM_FFd2.D + 11.000 + cnt/RefDone.Q ram/RS_FSM_FFd3.D @@ -976,12 +1041,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.Q - IORW0.D + IOREQ.D 11.000 cs/nOverlay1.Q - iobs/IORW1.D + fsb/Ready1r.D + 11.000 + + + cs/nOverlay1.Q + fsb/Ready2r.D 11.000 @@ -989,26 +1059,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/Load1.D 11.000 - - cs/nOverlay1.Q - iobs/Once.D - 11.000 - cs/nOverlay1.Q iobs/PS_FSM_FFd2.D 11.000 - - cs/nOverlay1.Q - nADoutLE1.D - 11.000 - - - cs/nOverlay1.Q - nDTACK_FSB.D - 11.000 - cs/nOverlay1.Q ram/Once.D @@ -1026,7 +1081,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1036,22 +1091,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/ASrf.Q - BERR_IOBS.D - 11.000 - - - fsb/ASrf.Q - IORW0.D - 11.000 - - - fsb/ASrf.Q - fsb/BERR0r.D - 11.000 - - - fsb/ASrf.Q - fsb/VPA.D + fsb/Ready2r.D 11.000 @@ -1059,16 +1099,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/IORW1.D 11.000 - - fsb/ASrf.Q - iobs/Once.D - 11.000 - - - fsb/ASrf.Q - iobs/PS_FSM_FFd2.D - 11.000 - fsb/ASrf.Q ram/Once.D @@ -1091,7 +1121,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/ASrf.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1099,39 +1129,24 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd3.D 11.000 - - fsb/BERR0r.Q - fsb/VPA.D - 11.000 - - - fsb/BERR1r.Q - fsb/VPA.D - 11.000 - fsb/Ready0r.Q nDTACK_FSB.D 11.000 - fsb/VPA.Q - fsb/VPA.D + fsb/Ready1r.Q + fsb/Ready1r.D + 11.000 + + + fsb/Ready2r.Q + fsb/Ready2r.D 11.000 iobs/IOACTr.Q - BERR_IOBS.D - 11.000 - - - iobs/IOACTr.Q - IOREQ.D - 11.000 - - - iobs/IOACTr.Q - iobs/PS_FSM_FFd2.D + iobs/PS_FSM_FFd1.D 11.000 @@ -1140,28 +1155,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 11.000 - iobs/Once.Q - BERR_IOBS.D - 11.000 - - - iobs/Once.Q - IORW0.D - 11.000 - - - iobs/Once.Q - iobs/Once.D - 11.000 - - - iobs/Once.Q - iobs/PS_FSM_FFd2.D + iobs/IOReady.Q + fsb/Ready1r.D 11.000 iobs/PS_FSM_FFd1.Q - IORW0.D + iobs/IORW1.D 11.000 @@ -1171,12 +1171,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd1.Q - iobs/PS_FSM_FFd2.D + iobs/PS_FSM_FFd1.D 11.000 - iobs/PS_FSM_FFd2.Q - BERR_IOBS.D + iobs/PS_FSM_FFd1.Q + iobs/PS_FSM_FFd2.D 11.000 @@ -1186,7 +1186,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd2.Q - IORW0.D + iobs/IORW1.D 11.000 @@ -1194,6 +1194,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/Once.D 11.000 + + iobs/PS_FSM_FFd2.Q + iobs/PS_FSM_FFd1.D + 11.000 + iobs/PS_FSM_FFd2.Q iobs/PS_FSM_FFd2.D @@ -1201,12 +1206,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - BERR_IOBS.D + IOREQ.D 11.000 nADoutLE1.Q - IORW0.D + fsb/Ready1r.D 11.000 @@ -1216,7 +1221,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - nADoutLE1.D + iobs/PS_FSM_FFd2.D + 11.000 + + + nADoutLE1.Q + nDTACK_FSB.D 11.000 @@ -1234,11 +1244,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RASEL.D 11.000 - - ram/BACTr.Q - ram/RS_FSM_FFd2.D - 11.000 - ram/Once.Q ram/Once.D @@ -1259,11 +1264,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RAMReady.D 11.000 - - ram/Once.Q - ram/RS_FSM_FFd1.D - 11.000 - ram/RAMDIS2.Q ram/RAMDIS2.D @@ -1291,7 +1291,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd1.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1321,7 +1321,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd2.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1356,7 +1356,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd3.Q - ram/RS_FSM_FFd1.D + ram/RS_FSM_FFd2.D 11.000 @@ -1364,6 +1364,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/RS_FSM_FFd3.D 11.000 + + BERR_IOBS.Q + BERR_IOBS.D + 10.000 + BERR_IOBS.Q fsb/BERR1r.D @@ -1379,21 +1384,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutA.D 10.000 - - TimeoutA.Q - TimeoutB.D - 10.000 - - - TimeoutA.Q - fsb/Ready2r.D - 10.000 - - - TimeoutA.Q - nDTACK_FSB.D - 10.000 - TimeoutB.Q TimeoutB.D @@ -1439,11 +1429,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<0>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<0>.Q cnt/RefCnt<7>.D @@ -1454,6 +1439,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<0>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<1>.Q TimeoutA.D @@ -1484,11 +1474,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<1>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<1>.Q cnt/RefCnt<7>.D @@ -1499,6 +1484,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<1>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<2>.Q TimeoutA.D @@ -1524,11 +1514,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<2>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<2>.Q cnt/RefCnt<7>.D @@ -1539,6 +1524,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<2>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<3>.Q TimeoutA.D @@ -1559,11 +1549,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<3>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<3>.Q cnt/RefCnt<7>.D @@ -1574,6 +1559,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<3>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<4>.Q TimeoutA.D @@ -1589,11 +1579,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefCnt<5>.D 10.000 - - cnt/RefCnt<4>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<4>.Q cnt/RefCnt<7>.D @@ -1604,6 +1589,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<4>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<5>.Q TimeoutA.D @@ -1614,11 +1604,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutB.D 10.000 - - cnt/RefCnt<5>.Q - cnt/RefCnt<6>.D - 10.000 - cnt/RefCnt<5>.Q cnt/RefCnt<7>.D @@ -1629,6 +1614,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<5>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefCnt<6>.Q TimeoutA.D @@ -1650,8 +1640,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - cnt/RefCnt<7>.Q - TimeoutA.D + cnt/RefCnt<6>.Q + cnt/TimeoutBPre.D 10.000 @@ -1664,11 +1654,26 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cnt/RefDone.D 10.000 + + cnt/RefCnt<7>.Q + cnt/TimeoutBPre.D + 10.000 + cnt/RefDone.Q cnt/RefDone.D 10.000 + + cnt/TimeoutBPre.Q + TimeoutB.D + 10.000 + + + cnt/TimeoutBPre.Q + cnt/TimeoutBPre.D + 10.000 + cs/nOverlay0.Q cs/nOverlay0.D @@ -1686,12 +1691,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.Q - fsb/Ready1r.D + ram/RS_FSM_FFd1.D 10.000 - cs/nOverlay1.Q - fsb/Ready2r.D + fsb/ASrf.Q + BERR_IOBS.D + 10.000 + + + fsb/ASrf.Q + IOREQ.D 10.000 @@ -1704,6 +1714,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { TimeoutB.D 10.000 + + fsb/ASrf.Q + cnt/TimeoutBPre.D + 10.000 + fsb/ASrf.Q cs/nOverlay0.D @@ -1714,6 +1729,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { cs/nOverlay1.CE 10.000 + + fsb/ASrf.Q + fsb/BERR0r.D + 10.000 + fsb/ASrf.Q fsb/BERR1r.D @@ -1729,11 +1749,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/Ready1r.D 10.000 - - fsb/ASrf.Q - fsb/Ready2r.D - 10.000 - fsb/ASrf.Q iobs/IOReady.D @@ -1746,7 +1761,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { fsb/ASrf.Q - nADoutLE1.D + iobs/Once.D + 10.000 + + + fsb/ASrf.Q + iobs/PS_FSM_FFd2.D + 10.000 + + + fsb/ASrf.Q + nDTACK_FSB.D 10.000 @@ -1754,6 +1779,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { ram/BACTr.D 10.000 + + fsb/ASrf.Q + ram/RS_FSM_FFd1.D + 10.000 + fsb/BERR0r.Q fsb/BERR0r.D @@ -1770,18 +1800,18 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - fsb/Ready1r.Q - fsb/Ready1r.D + iobs/Clear1.Q + nADoutLE1.D 10.000 - fsb/Ready2r.Q - fsb/Ready2r.D + iobs/IOACTr.Q + BERR_IOBS.D 10.000 - fsb/Ready2r.Q - nDTACK_FSB.D + iobs/IOACTr.Q + IOREQ.D 10.000 @@ -1791,7 +1821,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/IOACTr.Q - iobs/PS_FSM_FFd1.D + iobs/PS_FSM_FFd2.D 10.000 @@ -1804,11 +1834,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { IORW0.D 10.000 - - iobs/IOReady.Q - fsb/Ready1r.D - 10.000 - iobs/IOReady.Q iobs/IOReady.D @@ -1829,6 +1854,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/IOU1.CE 10.000 + + iobs/Load1.Q + nADoutLE1.D + 10.000 + + + iobs/Once.Q + BERR_IOBS.D + 10.000 + + + iobs/Once.Q + IOREQ.D + 10.000 + iobs/Once.Q iobs/IORW1.D @@ -1846,7 +1886,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/Once.Q - nADoutLE1.D + iobs/PS_FSM_FFd2.D 10.000 @@ -1859,6 +1899,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { IOL0.CE 10.000 + + iobs/PS_FSM_FFd1.Q + IOREQ.D + 10.000 + iobs/PS_FSM_FFd1.Q IOU0.CE @@ -1866,7 +1911,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd1.Q - iobs/IORW1.D + iobs/Clear1.D 10.000 @@ -1875,18 +1920,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - iobs/PS_FSM_FFd1.Q - iobs/PS_FSM_FFd1.D - 10.000 - - - iobs/PS_FSM_FFd1.Q - nADoutLE1.D + iobs/PS_FSM_FFd2.Q + ALE0S.D 10.000 iobs/PS_FSM_FFd2.Q - ALE0S.D + BERR_IOBS.D 10.000 @@ -1901,7 +1941,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { iobs/PS_FSM_FFd2.Q - iobs/IORW1.D + iobs/Clear1.D 10.000 @@ -1915,13 +1955,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { 10.000 - iobs/PS_FSM_FFd2.Q - iobs/PS_FSM_FFd1.D - 10.000 - - - iobs/PS_FSM_FFd2.Q - nADoutLE1.D + nADoutLE1.Q + BERR_IOBS.D 10.000 @@ -1929,11 +1964,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { IOL0.D 10.000 - - nADoutLE1.Q - IOREQ.D - 10.000 - nADoutLE1.Q IOU0.D @@ -1941,7 +1971,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - fsb/Ready1r.D + iobs/Clear1.D 10.000 @@ -1961,12 +1991,17 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nADoutLE1.Q - iobs/PS_FSM_FFd2.D + nADoutLE1.D 10.000 - nADoutLE1.Q - nDTACK_FSB.D + ram/BACTr.Q + ram/RS_FSM_FFd2.D + 10.000 + + + ram/Once.Q + ram/RS_FSM_FFd1.D 10.000 @@ -1989,11 +2024,26 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RefAck.D 10.000 + + ram/RS_FSM_FFd1.Q + ram/RS_FSM_FFd1.D + 10.000 + ram/RS_FSM_FFd2.Q RefAck.D 10.000 + + ram/RS_FSM_FFd2.Q + ram/RS_FSM_FFd1.D + 10.000 + + + ram/RS_FSM_FFd3.Q + ram/RS_FSM_FFd1.D + 10.000 +
@@ -2002,11 +2052,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - - - - - @@ -2052,66 +2097,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -2142,11 +2132,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - - - - - @@ -2168,88 +2153,83 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { - + - + - - - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -2277,6 +2257,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2297,6 +2287,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2317,6 +2317,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2332,6 +2342,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + @@ -2382,6 +2402,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + @@ -2577,6 +2602,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + @@ -2602,6 +2632,26 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock CLK2X_IOB
Destination Delay
IOACT.QnVMA_IOB.D11.000
IOBERR.Q IOBERR.DIOBERR.D 11.000
iobm/ES<0>.Qiobm/ETACK.D11.000
iobm/ES<0>.QnVMA_IOB.D11.000
iobm/ES<1>.Qiobm/ETACK.D11.000
iobm/ES<1>.QnVMA_IOB.D11.000
iobm/ES<2>.Qiobm/ETACK.D11.000
iobm/ES<2>.QnVMA_IOB.D11.000
iobm/ES<3>.Qiobm/ETACK.D11.000
iobm/ES<3>.QnVMA_IOB.D11.000
iobm/ES<4>.Qiobm/ETACK.D11.000
iobm/ES<4>.QnVMA_IOB.D11.000
iobm/IOREQr.Q IOACT.D 11.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd7.D11.000
iobm/IOS_FSM_FFd3.Q IOBERR.DIOACT.D 11.000
iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D11.000
iobm/RESrf.Q IOACT.D11.000
iobm/VPArf.QIOACT.Q nVMA_IOB.D11.00010.000
iobm/VPArr.QnVMA_IOB.D11.000iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
nVMA_IOB.Qiobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D10.000
iobm/ES<0>.Q iobm/ETACK.D11.00010.000
nVMA_IOB.Qiobm/ES<0>.Q nVMA_IOB.D11.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D 10.000
iobm/ES<4>.D 10.000
iobm/ES<1>.Qiobm/ETACK.D10.000
iobm/ES<1>.QnVMA_IOB.D10.000
iobm/ES<2>.Q iobm/ES<0>.Diobm/ES<4>.D 10.000
iobm/ES<2>.Qiobm/ETACK.D10.000
iobm/ES<2>.QnVMA_IOB.D10.000
iobm/ES<3>.Q iobm/ES<0>.Diobm/ES<4>.D 10.000
iobm/ES<3>.Qiobm/ETACK.D10.000
iobm/ES<3>.QnVMA_IOB.D10.000
iobm/ES<4>.Q iobm/ES<0>.Diobm/ES<4>.D 10.000
iobm/ES<4>.Qiobm/ETACK.D10.000
iobm/ES<4>.QnVMA_IOB.D10.000
iobm/ETACK.Q IOACT.DALE0M.D 10.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOREQr.Q iobm/IOS_FSM_FFd8.DALE0M.D 10.000
iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOS_FSM_FFd8.Q iobm/IOS_FSM_FFd8.Diobm/IOS_FSM_FFd3.D 10.000
iobm/VPArf.QnVMA_IOB.D10.000
iobm/VPArr.QnVMA_IOB.D10.000
nVMA_IOB.Qiobm/ETACK.D10.000
nVMA_IOB.QnVMA_IOB.D10.000


@@ -2613,43 +2663,53 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { Delay - A_FSB<11> - RA<1> + A_FSB<10> + RA<0> + 11.000 + + + A_FSB<1> + RA<0> 11.000 A_FSB<20> - nBERR_FSB + nDinOE 11.000 A_FSB<21> - nBERR_FSB + nDinOE 11.000 A_FSB<22> - nBERR_FSB + nDinOE 11.000 A_FSB<23> - nBERR_FSB + nDinOE 11.000 - A_FSB<2> - RA<1> + A_FSB<9> + RA<8> 11.000 nAS_FSB - nBERR_FSB + nDinOE 11.000 - A_FSB<10> - RA<0> + nWE_FSB + nDinOE + 11.000 + + + A_FSB<11> + RA<1> 10.000 @@ -2697,11 +2757,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RA<9> 10.000 - - A_FSB<1> - RA<0> - 10.000 - A_FSB<20> RA<9> @@ -2709,7 +2764,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<20> - nDinOE + nBERR_FSB 10.000 @@ -2724,7 +2779,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<21> - nDinOE + nBERR_FSB 10.000 @@ -2739,7 +2794,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<22> - nDinOE + nBERR_FSB 10.000 @@ -2754,7 +2809,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { A_FSB<23> - nDinOE + nBERR_FSB 10.000 @@ -2767,6 +2822,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nROMCS 10.000 + + A_FSB<2> + RA<1> + 10.000 + A_FSB<3> RA<2> @@ -2797,14 +2857,9 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { RA<7> 10.000 - - A_FSB<9> - RA<8> - 10.000 - nAS_FSB - nDinOE + nBERR_FSB 10.000 @@ -2847,11 +2902,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() { nRAMUWE 10.000 - - nWE_FSB - nDinOE - 10.000 - nWE_FSB nOE @@ -2876,10 +2926,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOACT_D() {


Number of paths analyzed: -384 +393
Number of Timing errors: 0 -
Analysis Completed: Sun Oct 24 06:31:50 2021 +
Analysis Completed: Sat Dec 11 06:24:30 2021
diff --git a/cpld/XC95144XL/MXSE_ngdbuild.xrpt b/cpld/XC95144XL/MXSE_ngdbuild.xrpt index 1429fca..43afc64 100644 --- a/cpld/XC95144XL/MXSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/MXSE_ngdbuild.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
- + @@ -54,7 +54,7 @@ - + @@ -66,32 +66,32 @@
- - + + - - + + - + - +
- - + + - + - + - + diff --git a/cpld/XC95144XL/MXSE_summary.html b/cpld/XC95144XL/MXSE_summary.html index 3c10c81..14e9283 100644 --- a/cpld/XC95144XL/MXSE_summary.html +++ b/cpld/XC95144XL/MXSE_summary.html @@ -13,7 +13,7 @@
- + @@ -43,7 +43,7 @@ No Errors @@ -81,18 +81,18 @@ System Settings - - - + + +
Module Name: MXSE Implementation State:TranslatedFitted
Target Device:
Environment: - + System Settings
  • Final Timing Score:
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentSun Oct 24 07:13:26 2021000
Translation ReportCurrentSun Oct 24 07:13:29 2021000
CPLD Fitter Report (Text)CurrentSun Oct 24 07:13:42 2021   
Synthesis ReportCurrentSat Dec 11 06:24:06 2021000
Translation ReportCurrentSat Dec 11 06:24:10 2021000
CPLD Fitter Report (Text)CurrentSat Dec 11 06:24:23 202101 Warning (1 new)0
Power Report     
 
- +
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of DateSun Oct 24 02:38:36 2021
ISIM Simulator LogOut of DateSat Dec 11 07:14:04 2021
Post-Fit Simulation Model Report  
-
Date Generated: 10/26/2021 - 06:32:26
+
Date Generated: 12/11/2021 - 07:16:19
\ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst.xrpt b/cpld/XC95144XL/MXSE_xst.xrpt index bc52cfb..4ce5df1 100644 --- a/cpld/XC95144XL/MXSE_xst.xrpt +++ b/cpld/XC95144XL/MXSE_xst.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
- + @@ -76,8 +76,8 @@
- - + +
@@ -104,19 +104,19 @@
- - - + + + - - + + - - - + + + diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index bcad78b..cb34933 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\MXSE.ngc 1635074006 +C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\MXSE.ngc 1639221846 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index 938f4a2..0e420ad 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -9,3 +9,4 @@ + diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index 09ef219..f66074b 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,25 +8,7 @@ -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/FSB.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBS.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.v" into library work - - -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/RAM.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v" into library work diff --git a/cpld/XC95144XL/fuse.log b/cpld/XC95144XL/fuse.log index 2dd70c4..fd1dcaf 100644 --- a/cpld/XC95144XL/fuse.log +++ b/cpld/XC95144XL/fuse.log @@ -1,19 +1,19 @@ -Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj work.t_cs work.glbl +Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj work.t_fsb work.glbl ISim P.20131013 (signature 0x7708f090) Number of CPUs detected in this system: 8 Turning on mult-threading, number of parallel sub-compilation jobs: 16 Determining compilation order of HDL files -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../CS.v" into library work -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../test/t_cs.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/../FSB.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v" into library work Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work Starting static elaboration Completed static elaboration -Compiling module CS -Compiling module t_cs +Compiling module FSB +Compiling module t_fsb Compiling module glbl Time Resolution for simulation is 1ps. Waiting for 1 sub-compilation(s) to finish... Compiled 3 Verilog Units -Built simulation executable C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe -Fuse Memory Usage: 29568 KB -Fuse CPU Usage: 280 ms +Built simulation executable C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe +Fuse Memory Usage: 29068 KB +Fuse CPU Usage: 327 ms diff --git a/cpld/XC95144XL/fuseRelaunch.cmd b/cpld/XC95144XL/fuseRelaunch.cmd index e5e9923..242fb03 100644 --- a/cpld/XC95144XL/fuseRelaunch.cmd +++ b/cpld/XC95144XL/fuseRelaunch.cmd @@ -1 +1 @@ --intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj" "work.t_cs" "work.glbl" +-intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj" "work.t_fsb" "work.glbl" diff --git a/cpld/XC95144XL/iseconfig/MXSE.projectmgr b/cpld/XC95144XL/iseconfig/MXSE.projectmgr index 987fd7e..186eac5 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.projectmgr +++ b/cpld/XC95144XL/iseconfig/MXSE.projectmgr @@ -9,13 +9,13 @@ 2 - iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v) + MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v) 0 0 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 true - iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v) + MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v) @@ -64,25 +64,25 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - 000000ff00000000000000020000011b0000011b01000000050100000002 - Implementation + 000000ff00000000000000020000017b0000017b01000000050100000002 + Behavioral Simulation 2 /test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v - t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v) + fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) - 2 + 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000f1000000020000000000000000000000000200000064ffffffff000000810000000300000002000000f10000000100000003000000000000000100000003 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 false - t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v) + fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) @@ -90,25 +90,25 @@ Design Utilities/Compile HDL Simulation Libraries - + 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + 1 - + Simulate Behavioral Model 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + Simulate Behavioral Model diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144XL/iseconfig/MXSE.xreport index c7445dd..6bba386 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.xreport +++ b/cpld/XC95144XL/iseconfig/MXSE.xreport @@ -1,11 +1,11 @@
- 2021-10-26T06:32:26 + 2021-12-11T06:56:18 MXSE 2021-10-23T06:51:21 - C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/iseconfig/MXSE.xreport - C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\ + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\ 2021-10-07T05:05:00 false
diff --git a/cpld/XC95144XL/isim.log b/cpld/XC95144XL/isim.log index 8ad499a..690fea5 100644 --- a/cpld/XC95144XL/isim.log +++ b/cpld/XC95144XL/isim.log @@ -1,6 +1,20 @@ ISim log file -Running: C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\t_cs_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.wdb +Running: C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\t_fsb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.wdb +INFO: There is another simulation running in the same directory. Using database file name isim2.wdb. ISim P.20131013 (signature 0x7708f090) +---------------------------------------------------------------------- +INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. +INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to 'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. +INFO:Security:66 - Your license for 'ISIM' is for evaluation use only. +WARNING:Security:43 - No license file was found in the standard Xilinx license directory. +WARNING:Security:44 - Since no license file was found, + please run the Xilinx License Configuration Manager + (xlcm or "Manage Xilinx Licenses") + to assist in obtaining a license. +WARNING:Security:42 - Your license support version '2021.12' for ISIM expires in 20 days after which you will not qualify for Xilinx software updates or new releases. + + +---------------------------------------------------------------------- This is a Full version of ISim. Time resolution is 1 ps # onerror resume @@ -8,7 +22,21 @@ Time resolution is 1 ps # run 1000 ns Simulator is doing circuit initialization process. Finished circuit initialization process. +# run 1.00us +# run 1.00us # restart -# run all +# run 1.00us Simulator is doing circuit initialization process. Finished circuit initialization process. +# run 1.00us +# run 1.00us +# run 1.00us +# run 1.00us +# run 1.00us +# restart +# run 1.00us +Simulator is doing circuit initialization process. +Finished circuit initialization process. +# run 1.00us +# run 1.00us +# run 1.00us diff --git a/cpld/XC95144XL/isim/isim_usage_statistics.html b/cpld/XC95144XL/isim/isim_usage_statistics.html index 50e443a..adc5380 100644 --- a/cpld/XC95144XL/isim/isim_usage_statistics.html +++ b/cpld/XC95144XL/isim/isim_usage_statistics.html @@ -2,14 +2,14 @@
- + - - + + - - - + + + diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 3db0343..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 6141e5b..0000000 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_cnt_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 49972 - -Sat Oct 23 08:03:51 2021 - - - Elaboration Time: 0.09375 sec - - Current Memory Usage: 5144.77 Meg - - Total Signals : 22 - Total Nets : 20 - Total Signal Drivers : 12 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 16 - Total Traceable Variables : 25 - Total Scalar Nets and Variables : 115 - - Total Simulation Time: 0.375 sec - - Current Memory Usage: 4672.91 Meg - -Sat Oct 23 08:14:58 2021 - diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat deleted file mode 100644 index dca9f8e..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe deleted file mode 100644 index 60bbf8e..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index a41b042..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c deleted file mode 100644 index 295c61f..0000000 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c +++ /dev/null @@ -1,12995 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cnt.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Initial_49_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 3168U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - -LAB4: xsi_set_current_line(50, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(51, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(52, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(55, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(55, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(58, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(58, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(60, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(60, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(61, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(63, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(63, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(64, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(64, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(66, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(66, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(67, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(67, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(69, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(69, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(70, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(70, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(72, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(72, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(73, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(73, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(75, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(75, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(76, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(76, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(142, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(142, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: xsi_set_current_line(144, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(144, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB65; - goto LAB1; - -LAB65: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB66; - goto LAB1; - -LAB66: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB67; - goto LAB1; - -LAB67: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB68; - goto LAB1; - -LAB68: xsi_set_current_line(150, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(150, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB69; - goto LAB1; - -LAB69: xsi_set_current_line(151, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(151, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB70; - goto LAB1; - -LAB70: xsi_set_current_line(153, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB71; - goto LAB1; - -LAB71: xsi_set_current_line(154, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB72; - goto LAB1; - -LAB72: xsi_set_current_line(156, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(156, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB73; - goto LAB1; - -LAB73: xsi_set_current_line(157, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB74; - goto LAB1; - -LAB74: xsi_set_current_line(159, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(159, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB75; - goto LAB1; - -LAB75: xsi_set_current_line(160, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(160, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB76; - goto LAB1; - -LAB76: xsi_set_current_line(162, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(162, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB77; - goto LAB1; - -LAB77: xsi_set_current_line(163, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(163, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB78; - goto LAB1; - -LAB78: xsi_set_current_line(165, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(165, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB79; - goto LAB1; - -LAB79: xsi_set_current_line(166, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(166, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB80; - goto LAB1; - -LAB80: xsi_set_current_line(168, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(168, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB81; - goto LAB1; - -LAB81: xsi_set_current_line(169, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(169, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB82; - goto LAB1; - -LAB82: xsi_set_current_line(171, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(171, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB83; - goto LAB1; - -LAB83: xsi_set_current_line(172, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(172, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB84; - goto LAB1; - -LAB84: xsi_set_current_line(174, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(174, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB85; - goto LAB1; - -LAB85: xsi_set_current_line(175, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(175, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB86; - goto LAB1; - -LAB86: xsi_set_current_line(177, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(177, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB87; - goto LAB1; - -LAB87: xsi_set_current_line(178, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(178, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB88; - goto LAB1; - -LAB88: xsi_set_current_line(180, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(180, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB89; - goto LAB1; - -LAB89: xsi_set_current_line(181, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(181, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB90; - goto LAB1; - -LAB90: xsi_set_current_line(183, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(183, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB91; - goto LAB1; - -LAB91: xsi_set_current_line(184, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(184, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB92; - goto LAB1; - -LAB92: xsi_set_current_line(186, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(186, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB93; - goto LAB1; - -LAB93: xsi_set_current_line(187, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(187, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB94; - goto LAB1; - -LAB94: xsi_set_current_line(189, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(189, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB95; - goto LAB1; - -LAB95: xsi_set_current_line(190, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(190, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB96; - goto LAB1; - -LAB96: xsi_set_current_line(192, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(192, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB97; - goto LAB1; - -LAB97: xsi_set_current_line(193, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(193, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB98; - goto LAB1; - -LAB98: xsi_set_current_line(195, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(195, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB99; - goto LAB1; - -LAB99: xsi_set_current_line(196, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(196, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB100; - goto LAB1; - -LAB100: xsi_set_current_line(198, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(198, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB101; - goto LAB1; - -LAB101: xsi_set_current_line(199, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(199, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB102; - goto LAB1; - -LAB102: xsi_set_current_line(201, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(201, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB103; - goto LAB1; - -LAB103: xsi_set_current_line(202, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(202, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB104; - goto LAB1; - -LAB104: xsi_set_current_line(204, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(204, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB105; - goto LAB1; - -LAB105: xsi_set_current_line(205, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(205, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB106; - goto LAB1; - -LAB106: xsi_set_current_line(207, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(207, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB107; - goto LAB1; - -LAB107: xsi_set_current_line(208, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(208, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB108; - goto LAB1; - -LAB108: xsi_set_current_line(210, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(210, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB109; - goto LAB1; - -LAB109: xsi_set_current_line(211, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(211, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB110; - goto LAB1; - -LAB110: xsi_set_current_line(213, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(213, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB111; - goto LAB1; - -LAB111: xsi_set_current_line(214, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(214, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB112; - goto LAB1; - -LAB112: xsi_set_current_line(216, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(216, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB113; - goto LAB1; - -LAB113: xsi_set_current_line(217, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(217, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB114; - goto LAB1; - -LAB114: xsi_set_current_line(219, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(219, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB115; - goto LAB1; - -LAB115: xsi_set_current_line(220, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(220, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB116; - goto LAB1; - -LAB116: xsi_set_current_line(222, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(222, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB117; - goto LAB1; - -LAB117: xsi_set_current_line(223, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(223, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB118; - goto LAB1; - -LAB118: xsi_set_current_line(225, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(225, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB119; - goto LAB1; - -LAB119: xsi_set_current_line(226, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(226, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB120; - goto LAB1; - -LAB120: xsi_set_current_line(228, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(228, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB121; - goto LAB1; - -LAB121: xsi_set_current_line(229, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(229, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB122; - goto LAB1; - -LAB122: xsi_set_current_line(231, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(231, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB123; - goto LAB1; - -LAB123: xsi_set_current_line(232, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(232, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB124; - goto LAB1; - -LAB124: xsi_set_current_line(234, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(234, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB125; - goto LAB1; - -LAB125: xsi_set_current_line(235, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(235, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB126; - goto LAB1; - -LAB126: xsi_set_current_line(237, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(237, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB127; - goto LAB1; - -LAB127: xsi_set_current_line(238, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(238, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB128; - goto LAB1; - -LAB128: xsi_set_current_line(240, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(240, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB129; - goto LAB1; - -LAB129: xsi_set_current_line(241, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(241, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB130; - goto LAB1; - -LAB130: xsi_set_current_line(243, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(243, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB131; - goto LAB1; - -LAB131: xsi_set_current_line(244, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(244, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB132; - goto LAB1; - -LAB132: xsi_set_current_line(246, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(246, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB133; - goto LAB1; - -LAB133: xsi_set_current_line(247, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(247, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB134; - goto LAB1; - -LAB134: xsi_set_current_line(249, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(249, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB135; - goto LAB1; - -LAB135: xsi_set_current_line(250, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(250, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB136; - goto LAB1; - -LAB136: xsi_set_current_line(252, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(252, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB137; - goto LAB1; - -LAB137: xsi_set_current_line(253, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(253, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB138; - goto LAB1; - -LAB138: xsi_set_current_line(255, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(255, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB139; - goto LAB1; - -LAB139: xsi_set_current_line(256, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(256, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB140; - goto LAB1; - -LAB140: xsi_set_current_line(258, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(258, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB141; - goto LAB1; - -LAB141: xsi_set_current_line(259, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(259, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB142; - goto LAB1; - -LAB142: xsi_set_current_line(261, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(261, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB143; - goto LAB1; - -LAB143: xsi_set_current_line(262, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(262, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB144; - goto LAB1; - -LAB144: xsi_set_current_line(264, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(264, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB145; - goto LAB1; - -LAB145: xsi_set_current_line(265, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(265, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB146; - goto LAB1; - -LAB146: xsi_set_current_line(267, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(267, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB147; - goto LAB1; - -LAB147: xsi_set_current_line(268, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(268, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB148; - goto LAB1; - -LAB148: xsi_set_current_line(270, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(270, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB149; - goto LAB1; - -LAB149: xsi_set_current_line(271, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(271, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB150; - goto LAB1; - -LAB150: xsi_set_current_line(273, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(273, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB151; - goto LAB1; - -LAB151: xsi_set_current_line(274, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(274, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB152; - goto LAB1; - -LAB152: xsi_set_current_line(276, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(276, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB153; - goto LAB1; - -LAB153: xsi_set_current_line(277, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(277, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB154; - goto LAB1; - -LAB154: xsi_set_current_line(279, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(279, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB155; - goto LAB1; - -LAB155: xsi_set_current_line(280, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(280, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB156; - goto LAB1; - -LAB156: xsi_set_current_line(282, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(282, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB157; - goto LAB1; - -LAB157: xsi_set_current_line(283, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(283, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB158; - goto LAB1; - -LAB158: xsi_set_current_line(285, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(285, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB159; - goto LAB1; - -LAB159: xsi_set_current_line(286, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(286, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB160; - goto LAB1; - -LAB160: xsi_set_current_line(288, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(288, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB161; - goto LAB1; - -LAB161: xsi_set_current_line(289, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(289, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB162; - goto LAB1; - -LAB162: xsi_set_current_line(291, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(291, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB163; - goto LAB1; - -LAB163: xsi_set_current_line(292, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(292, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB164; - goto LAB1; - -LAB164: xsi_set_current_line(294, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(294, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB165; - goto LAB1; - -LAB165: xsi_set_current_line(295, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(295, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB166; - goto LAB1; - -LAB166: xsi_set_current_line(297, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(297, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB167; - goto LAB1; - -LAB167: xsi_set_current_line(298, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(298, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB168; - goto LAB1; - -LAB168: xsi_set_current_line(300, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(300, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB169; - goto LAB1; - -LAB169: xsi_set_current_line(301, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(301, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB170; - goto LAB1; - -LAB170: xsi_set_current_line(303, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(303, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB171; - goto LAB1; - -LAB171: xsi_set_current_line(304, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(304, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB172; - goto LAB1; - -LAB172: xsi_set_current_line(306, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(306, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB173; - goto LAB1; - -LAB173: xsi_set_current_line(307, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(307, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB174; - goto LAB1; - -LAB174: xsi_set_current_line(309, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(309, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB175; - goto LAB1; - -LAB175: xsi_set_current_line(310, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(310, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB176; - goto LAB1; - -LAB176: xsi_set_current_line(312, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(312, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB177; - goto LAB1; - -LAB177: xsi_set_current_line(313, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(313, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB178; - goto LAB1; - -LAB178: xsi_set_current_line(315, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(315, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB179; - goto LAB1; - -LAB179: xsi_set_current_line(316, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(316, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB180; - goto LAB1; - -LAB180: xsi_set_current_line(318, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(318, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB181; - goto LAB1; - -LAB181: xsi_set_current_line(319, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(319, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB182; - goto LAB1; - -LAB182: xsi_set_current_line(321, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(321, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB183; - goto LAB1; - -LAB183: xsi_set_current_line(322, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(322, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB184; - goto LAB1; - -LAB184: xsi_set_current_line(324, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(324, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB185; - goto LAB1; - -LAB185: xsi_set_current_line(325, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(325, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB186; - goto LAB1; - -LAB186: xsi_set_current_line(327, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(327, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB187; - goto LAB1; - -LAB187: xsi_set_current_line(328, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(328, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB188; - goto LAB1; - -LAB188: xsi_set_current_line(330, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(330, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB189; - goto LAB1; - -LAB189: xsi_set_current_line(331, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(331, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB190; - goto LAB1; - -LAB190: xsi_set_current_line(333, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(333, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB191; - goto LAB1; - -LAB191: xsi_set_current_line(334, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(334, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB192; - goto LAB1; - -LAB192: xsi_set_current_line(336, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(336, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB193; - goto LAB1; - -LAB193: xsi_set_current_line(337, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(337, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB194; - goto LAB1; - -LAB194: xsi_set_current_line(339, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(339, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB195; - goto LAB1; - -LAB195: xsi_set_current_line(340, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(340, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB196; - goto LAB1; - -LAB196: xsi_set_current_line(342, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(342, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB197; - goto LAB1; - -LAB197: xsi_set_current_line(343, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(343, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB198; - goto LAB1; - -LAB198: xsi_set_current_line(345, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(345, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB199; - goto LAB1; - -LAB199: xsi_set_current_line(346, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(346, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB200; - goto LAB1; - -LAB200: xsi_set_current_line(348, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(348, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB201; - goto LAB1; - -LAB201: xsi_set_current_line(349, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(349, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB202; - goto LAB1; - -LAB202: xsi_set_current_line(351, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(351, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB203; - goto LAB1; - -LAB203: xsi_set_current_line(352, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(352, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB204; - goto LAB1; - -LAB204: xsi_set_current_line(354, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(354, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB205; - goto LAB1; - -LAB205: xsi_set_current_line(355, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(355, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB206; - goto LAB1; - -LAB206: xsi_set_current_line(357, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(357, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB207; - goto LAB1; - -LAB207: xsi_set_current_line(358, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(358, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB208; - goto LAB1; - -LAB208: xsi_set_current_line(360, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(360, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB209; - goto LAB1; - -LAB209: xsi_set_current_line(361, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(361, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB210; - goto LAB1; - -LAB210: xsi_set_current_line(363, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(363, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB211; - goto LAB1; - -LAB211: xsi_set_current_line(364, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(364, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB212; - goto LAB1; - -LAB212: xsi_set_current_line(366, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(366, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB213; - goto LAB1; - -LAB213: xsi_set_current_line(367, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(367, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB214; - goto LAB1; - -LAB214: xsi_set_current_line(369, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(369, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB215; - goto LAB1; - -LAB215: xsi_set_current_line(370, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(370, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB216; - goto LAB1; - -LAB216: xsi_set_current_line(372, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(372, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB217; - goto LAB1; - -LAB217: xsi_set_current_line(373, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(373, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB218; - goto LAB1; - -LAB218: xsi_set_current_line(375, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(375, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB219; - goto LAB1; - -LAB219: xsi_set_current_line(376, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(376, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB220; - goto LAB1; - -LAB220: xsi_set_current_line(378, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(378, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB221; - goto LAB1; - -LAB221: xsi_set_current_line(379, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(379, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB222; - goto LAB1; - -LAB222: xsi_set_current_line(381, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(381, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB223; - goto LAB1; - -LAB223: xsi_set_current_line(382, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(382, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB224; - goto LAB1; - -LAB224: xsi_set_current_line(384, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(384, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB225; - goto LAB1; - -LAB225: xsi_set_current_line(385, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(385, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB226; - goto LAB1; - -LAB226: xsi_set_current_line(387, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(387, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB227; - goto LAB1; - -LAB227: xsi_set_current_line(388, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(388, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB228; - goto LAB1; - -LAB228: xsi_set_current_line(390, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(390, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB229; - goto LAB1; - -LAB229: xsi_set_current_line(391, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(391, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB230; - goto LAB1; - -LAB230: xsi_set_current_line(393, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(393, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB231; - goto LAB1; - -LAB231: xsi_set_current_line(394, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(394, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB232; - goto LAB1; - -LAB232: xsi_set_current_line(396, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(396, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB233; - goto LAB1; - -LAB233: xsi_set_current_line(397, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(397, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB234; - goto LAB1; - -LAB234: xsi_set_current_line(399, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(399, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB235; - goto LAB1; - -LAB235: xsi_set_current_line(400, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(400, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB236; - goto LAB1; - -LAB236: xsi_set_current_line(402, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(402, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB237; - goto LAB1; - -LAB237: xsi_set_current_line(403, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(403, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB238; - goto LAB1; - -LAB238: xsi_set_current_line(405, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(405, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB239; - goto LAB1; - -LAB239: xsi_set_current_line(406, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(406, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB240; - goto LAB1; - -LAB240: xsi_set_current_line(408, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(408, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB241; - goto LAB1; - -LAB241: xsi_set_current_line(409, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(409, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB242; - goto LAB1; - -LAB242: xsi_set_current_line(411, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(411, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB243; - goto LAB1; - -LAB243: xsi_set_current_line(412, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(412, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB244; - goto LAB1; - -LAB244: xsi_set_current_line(414, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(414, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB245; - goto LAB1; - -LAB245: xsi_set_current_line(415, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(415, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB246; - goto LAB1; - -LAB246: xsi_set_current_line(417, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(417, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB247; - goto LAB1; - -LAB247: xsi_set_current_line(418, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(418, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB248; - goto LAB1; - -LAB248: xsi_set_current_line(420, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(420, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB249; - goto LAB1; - -LAB249: xsi_set_current_line(421, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(421, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB250; - goto LAB1; - -LAB250: xsi_set_current_line(423, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(423, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB251; - goto LAB1; - -LAB251: xsi_set_current_line(424, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(424, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB252; - goto LAB1; - -LAB252: xsi_set_current_line(426, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(426, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB253; - goto LAB1; - -LAB253: xsi_set_current_line(427, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(427, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB254; - goto LAB1; - -LAB254: xsi_set_current_line(429, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(429, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB255; - goto LAB1; - -LAB255: xsi_set_current_line(430, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(430, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB256; - goto LAB1; - -LAB256: xsi_set_current_line(432, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(432, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB257; - goto LAB1; - -LAB257: xsi_set_current_line(433, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(433, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB258; - goto LAB1; - -LAB258: xsi_set_current_line(435, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(435, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB259; - goto LAB1; - -LAB259: xsi_set_current_line(436, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(436, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB260; - goto LAB1; - -LAB260: xsi_set_current_line(438, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(438, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB261; - goto LAB1; - -LAB261: xsi_set_current_line(439, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(439, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB262; - goto LAB1; - -LAB262: xsi_set_current_line(441, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(441, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB263; - goto LAB1; - -LAB263: xsi_set_current_line(442, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(442, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB264; - goto LAB1; - -LAB264: xsi_set_current_line(444, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(444, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB265; - goto LAB1; - -LAB265: xsi_set_current_line(445, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(445, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB266; - goto LAB1; - -LAB266: xsi_set_current_line(447, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(447, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB267; - goto LAB1; - -LAB267: xsi_set_current_line(448, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(448, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB268; - goto LAB1; - -LAB268: xsi_set_current_line(450, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(450, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB269; - goto LAB1; - -LAB269: xsi_set_current_line(451, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(451, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB270; - goto LAB1; - -LAB270: xsi_set_current_line(453, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(453, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB271; - goto LAB1; - -LAB271: xsi_set_current_line(454, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(454, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB272; - goto LAB1; - -LAB272: xsi_set_current_line(456, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(456, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB273; - goto LAB1; - -LAB273: xsi_set_current_line(457, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(457, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB274; - goto LAB1; - -LAB274: xsi_set_current_line(459, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(459, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB275; - goto LAB1; - -LAB275: xsi_set_current_line(460, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(460, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB276; - goto LAB1; - -LAB276: xsi_set_current_line(462, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(462, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB277; - goto LAB1; - -LAB277: xsi_set_current_line(463, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(463, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB278; - goto LAB1; - -LAB278: xsi_set_current_line(465, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(465, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB279; - goto LAB1; - -LAB279: xsi_set_current_line(466, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(466, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB280; - goto LAB1; - -LAB280: xsi_set_current_line(468, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(468, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB281; - goto LAB1; - -LAB281: xsi_set_current_line(469, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(469, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB282; - goto LAB1; - -LAB282: xsi_set_current_line(471, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(471, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB283; - goto LAB1; - -LAB283: xsi_set_current_line(472, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(472, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB284; - goto LAB1; - -LAB284: xsi_set_current_line(474, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(474, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB285; - goto LAB1; - -LAB285: xsi_set_current_line(475, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(475, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB286; - goto LAB1; - -LAB286: xsi_set_current_line(477, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(477, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB287; - goto LAB1; - -LAB287: xsi_set_current_line(478, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(478, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB288; - goto LAB1; - -LAB288: xsi_set_current_line(480, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(480, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB289; - goto LAB1; - -LAB289: xsi_set_current_line(481, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(481, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB290; - goto LAB1; - -LAB290: xsi_set_current_line(483, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(483, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB291; - goto LAB1; - -LAB291: xsi_set_current_line(484, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(484, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB292; - goto LAB1; - -LAB292: xsi_set_current_line(486, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(486, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB293; - goto LAB1; - -LAB293: xsi_set_current_line(487, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(487, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB294; - goto LAB1; - -LAB294: xsi_set_current_line(489, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(489, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB295; - goto LAB1; - -LAB295: xsi_set_current_line(490, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(490, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB296; - goto LAB1; - -LAB296: xsi_set_current_line(492, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(492, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB297; - goto LAB1; - -LAB297: xsi_set_current_line(493, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(493, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB298; - goto LAB1; - -LAB298: xsi_set_current_line(495, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(495, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB299; - goto LAB1; - -LAB299: xsi_set_current_line(496, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(496, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB300; - goto LAB1; - -LAB300: xsi_set_current_line(498, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(498, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB301; - goto LAB1; - -LAB301: xsi_set_current_line(499, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(499, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB302; - goto LAB1; - -LAB302: xsi_set_current_line(501, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(501, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB303; - goto LAB1; - -LAB303: xsi_set_current_line(502, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(502, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB304; - goto LAB1; - -LAB304: xsi_set_current_line(504, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(504, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB305; - goto LAB1; - -LAB305: xsi_set_current_line(505, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(505, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB306; - goto LAB1; - -LAB306: xsi_set_current_line(507, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(507, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB307; - goto LAB1; - -LAB307: xsi_set_current_line(508, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(508, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB308; - goto LAB1; - -LAB308: xsi_set_current_line(510, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(510, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB309; - goto LAB1; - -LAB309: xsi_set_current_line(511, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(511, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB310; - goto LAB1; - -LAB310: xsi_set_current_line(513, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(513, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB311; - goto LAB1; - -LAB311: xsi_set_current_line(514, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(514, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB312; - goto LAB1; - -LAB312: xsi_set_current_line(516, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(516, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB313; - goto LAB1; - -LAB313: xsi_set_current_line(517, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(517, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB314; - goto LAB1; - -LAB314: xsi_set_current_line(519, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(519, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB315; - goto LAB1; - -LAB315: xsi_set_current_line(520, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(520, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB316; - goto LAB1; - -LAB316: xsi_set_current_line(522, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(522, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB317; - goto LAB1; - -LAB317: xsi_set_current_line(523, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(523, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB318; - goto LAB1; - -LAB318: xsi_set_current_line(525, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(525, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB319; - goto LAB1; - -LAB319: xsi_set_current_line(526, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(526, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB320; - goto LAB1; - -LAB320: xsi_set_current_line(528, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(528, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB321; - goto LAB1; - -LAB321: xsi_set_current_line(529, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(529, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB322; - goto LAB1; - -LAB322: xsi_set_current_line(531, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(531, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB323; - goto LAB1; - -LAB323: xsi_set_current_line(532, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(532, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB324; - goto LAB1; - -LAB324: xsi_set_current_line(534, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(534, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB325; - goto LAB1; - -LAB325: xsi_set_current_line(535, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(535, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB326; - goto LAB1; - -LAB326: xsi_set_current_line(535, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(537, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(537, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB327; - goto LAB1; - -LAB327: xsi_set_current_line(538, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(538, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB328; - goto LAB1; - -LAB328: xsi_set_current_line(540, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(540, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB329; - goto LAB1; - -LAB329: xsi_set_current_line(541, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(541, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB330; - goto LAB1; - -LAB330: xsi_set_current_line(543, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(543, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB331; - goto LAB1; - -LAB331: xsi_set_current_line(544, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(544, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB332; - goto LAB1; - -LAB332: xsi_set_current_line(544, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(546, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(546, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB333; - goto LAB1; - -LAB333: xsi_set_current_line(547, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(547, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB334; - goto LAB1; - -LAB334: xsi_set_current_line(549, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(549, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB335; - goto LAB1; - -LAB335: xsi_set_current_line(550, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(550, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB336; - goto LAB1; - -LAB336: xsi_set_current_line(552, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(552, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB337; - goto LAB1; - -LAB337: xsi_set_current_line(553, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(553, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB338; - goto LAB1; - -LAB338: xsi_set_current_line(555, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(555, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB339; - goto LAB1; - -LAB339: xsi_set_current_line(556, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(556, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB340; - goto LAB1; - -LAB340: xsi_set_current_line(558, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(558, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB341; - goto LAB1; - -LAB341: xsi_set_current_line(559, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(559, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB342; - goto LAB1; - -LAB342: xsi_set_current_line(561, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(561, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB343; - goto LAB1; - -LAB343: xsi_set_current_line(562, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(562, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB344; - goto LAB1; - -LAB344: xsi_set_current_line(564, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(564, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB345; - goto LAB1; - -LAB345: xsi_set_current_line(565, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(565, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB346; - goto LAB1; - -LAB346: xsi_set_current_line(567, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(567, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB347; - goto LAB1; - -LAB347: xsi_set_current_line(568, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(568, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB348; - goto LAB1; - -LAB348: xsi_set_current_line(570, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(570, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB349; - goto LAB1; - -LAB349: xsi_set_current_line(571, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(571, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB350; - goto LAB1; - -LAB350: xsi_set_current_line(573, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(573, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB351; - goto LAB1; - -LAB351: xsi_set_current_line(574, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(574, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB352; - goto LAB1; - -LAB352: xsi_set_current_line(576, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(576, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB353; - goto LAB1; - -LAB353: xsi_set_current_line(577, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(577, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB354; - goto LAB1; - -LAB354: xsi_set_current_line(579, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(579, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB355; - goto LAB1; - -LAB355: xsi_set_current_line(580, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(580, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB356; - goto LAB1; - -LAB356: xsi_set_current_line(582, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(582, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB357; - goto LAB1; - -LAB357: xsi_set_current_line(583, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(583, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB358; - goto LAB1; - -LAB358: xsi_set_current_line(585, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(585, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB359; - goto LAB1; - -LAB359: xsi_set_current_line(586, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(586, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB360; - goto LAB1; - -LAB360: xsi_set_current_line(588, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(588, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB361; - goto LAB1; - -LAB361: xsi_set_current_line(589, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(589, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB362; - goto LAB1; - -LAB362: xsi_set_current_line(591, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(591, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB363; - goto LAB1; - -LAB363: xsi_set_current_line(592, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(592, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB364; - goto LAB1; - -LAB364: xsi_set_current_line(594, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(594, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB365; - goto LAB1; - -LAB365: xsi_set_current_line(595, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(595, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB366; - goto LAB1; - -LAB366: xsi_set_current_line(597, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(597, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB367; - goto LAB1; - -LAB367: xsi_set_current_line(598, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(598, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB368; - goto LAB1; - -LAB368: xsi_set_current_line(600, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(600, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB369; - goto LAB1; - -LAB369: xsi_set_current_line(601, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(601, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB370; - goto LAB1; - -LAB370: xsi_set_current_line(603, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(603, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB371; - goto LAB1; - -LAB371: xsi_set_current_line(604, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(604, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB372; - goto LAB1; - -LAB372: xsi_set_current_line(606, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(606, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB373; - goto LAB1; - -LAB373: xsi_set_current_line(607, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(607, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB374; - goto LAB1; - -LAB374: xsi_set_current_line(609, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(609, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB375; - goto LAB1; - -LAB375: xsi_set_current_line(610, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(610, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB376; - goto LAB1; - -LAB376: xsi_set_current_line(612, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(612, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB377; - goto LAB1; - -LAB377: xsi_set_current_line(613, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(613, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB378; - goto LAB1; - -LAB378: xsi_set_current_line(615, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(615, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB379; - goto LAB1; - -LAB379: xsi_set_current_line(616, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(616, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB380; - goto LAB1; - -LAB380: xsi_set_current_line(618, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(618, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB381; - goto LAB1; - -LAB381: xsi_set_current_line(619, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(619, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB382; - goto LAB1; - -LAB382: xsi_set_current_line(621, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(621, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB383; - goto LAB1; - -LAB383: xsi_set_current_line(622, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(622, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB384; - goto LAB1; - -LAB384: xsi_set_current_line(624, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(624, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB385; - goto LAB1; - -LAB385: xsi_set_current_line(625, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(625, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB386; - goto LAB1; - -LAB386: xsi_set_current_line(627, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(627, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB387; - goto LAB1; - -LAB387: xsi_set_current_line(628, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(628, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB388; - goto LAB1; - -LAB388: xsi_set_current_line(630, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(630, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB389; - goto LAB1; - -LAB389: xsi_set_current_line(631, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(631, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB390; - goto LAB1; - -LAB390: xsi_set_current_line(633, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(633, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB391; - goto LAB1; - -LAB391: xsi_set_current_line(634, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(634, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB392; - goto LAB1; - -LAB392: xsi_set_current_line(636, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(636, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB393; - goto LAB1; - -LAB393: xsi_set_current_line(637, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(637, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB394; - goto LAB1; - -LAB394: xsi_set_current_line(639, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(639, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB395; - goto LAB1; - -LAB395: xsi_set_current_line(640, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(640, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB396; - goto LAB1; - -LAB396: xsi_set_current_line(642, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(642, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB397; - goto LAB1; - -LAB397: xsi_set_current_line(643, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(643, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB398; - goto LAB1; - -LAB398: xsi_set_current_line(645, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(645, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB399; - goto LAB1; - -LAB399: xsi_set_current_line(646, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(646, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB400; - goto LAB1; - -LAB400: xsi_set_current_line(648, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(648, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB401; - goto LAB1; - -LAB401: xsi_set_current_line(649, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(649, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB402; - goto LAB1; - -LAB402: xsi_set_current_line(651, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(651, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB403; - goto LAB1; - -LAB403: xsi_set_current_line(652, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(652, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB404; - goto LAB1; - -LAB404: xsi_set_current_line(654, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(654, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB405; - goto LAB1; - -LAB405: xsi_set_current_line(655, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(655, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB406; - goto LAB1; - -LAB406: xsi_set_current_line(657, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(657, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB407; - goto LAB1; - -LAB407: xsi_set_current_line(658, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(658, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB408; - goto LAB1; - -LAB408: xsi_set_current_line(660, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(660, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB409; - goto LAB1; - -LAB409: xsi_set_current_line(661, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(661, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB410; - goto LAB1; - -LAB410: xsi_set_current_line(663, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(663, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB411; - goto LAB1; - -LAB411: xsi_set_current_line(664, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(664, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB412; - goto LAB1; - -LAB412: xsi_set_current_line(666, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(666, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB413; - goto LAB1; - -LAB413: xsi_set_current_line(667, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(667, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB414; - goto LAB1; - -LAB414: xsi_set_current_line(669, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(669, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB415; - goto LAB1; - -LAB415: xsi_set_current_line(670, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(670, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB416; - goto LAB1; - -LAB416: xsi_set_current_line(672, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(672, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB417; - goto LAB1; - -LAB417: xsi_set_current_line(673, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(673, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB418; - goto LAB1; - -LAB418: xsi_set_current_line(675, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(675, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB419; - goto LAB1; - -LAB419: xsi_set_current_line(676, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(676, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB420; - goto LAB1; - -LAB420: xsi_set_current_line(678, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(678, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB421; - goto LAB1; - -LAB421: xsi_set_current_line(679, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(679, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB422; - goto LAB1; - -LAB422: xsi_set_current_line(681, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(681, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB423; - goto LAB1; - -LAB423: xsi_set_current_line(682, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(682, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB424; - goto LAB1; - -LAB424: xsi_set_current_line(684, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(684, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB425; - goto LAB1; - -LAB425: xsi_set_current_line(685, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(685, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB426; - goto LAB1; - -LAB426: xsi_set_current_line(687, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(687, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB427; - goto LAB1; - -LAB427: xsi_set_current_line(688, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(688, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB428; - goto LAB1; - -LAB428: xsi_set_current_line(690, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(690, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB429; - goto LAB1; - -LAB429: xsi_set_current_line(691, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(691, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB430; - goto LAB1; - -LAB430: xsi_set_current_line(693, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(693, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB431; - goto LAB1; - -LAB431: xsi_set_current_line(694, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(694, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB432; - goto LAB1; - -LAB432: xsi_set_current_line(696, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(696, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB433; - goto LAB1; - -LAB433: xsi_set_current_line(697, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(697, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB434; - goto LAB1; - -LAB434: xsi_set_current_line(699, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(699, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB435; - goto LAB1; - -LAB435: xsi_set_current_line(700, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(700, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB436; - goto LAB1; - -LAB436: xsi_set_current_line(702, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(702, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB437; - goto LAB1; - -LAB437: xsi_set_current_line(703, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(703, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB438; - goto LAB1; - -LAB438: xsi_set_current_line(705, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(705, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB439; - goto LAB1; - -LAB439: xsi_set_current_line(706, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(706, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB440; - goto LAB1; - -LAB440: xsi_set_current_line(708, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(708, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB441; - goto LAB1; - -LAB441: xsi_set_current_line(709, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(709, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB442; - goto LAB1; - -LAB442: xsi_set_current_line(711, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(711, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB443; - goto LAB1; - -LAB443: xsi_set_current_line(712, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(712, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB444; - goto LAB1; - -LAB444: xsi_set_current_line(714, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(714, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB445; - goto LAB1; - -LAB445: xsi_set_current_line(715, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(715, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB446; - goto LAB1; - -LAB446: xsi_set_current_line(717, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(717, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB447; - goto LAB1; - -LAB447: xsi_set_current_line(718, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(718, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB448; - goto LAB1; - -LAB448: xsi_set_current_line(720, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(720, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB449; - goto LAB1; - -LAB449: xsi_set_current_line(721, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(721, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB450; - goto LAB1; - -LAB450: xsi_set_current_line(723, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(723, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB451; - goto LAB1; - -LAB451: xsi_set_current_line(724, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(724, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB452; - goto LAB1; - -LAB452: xsi_set_current_line(726, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(726, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB453; - goto LAB1; - -LAB453: xsi_set_current_line(727, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(727, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB454; - goto LAB1; - -LAB454: xsi_set_current_line(729, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(729, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB455; - goto LAB1; - -LAB455: xsi_set_current_line(730, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(730, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB456; - goto LAB1; - -LAB456: xsi_set_current_line(730, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(732, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(732, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB457; - goto LAB1; - -LAB457: xsi_set_current_line(733, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(733, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB458; - goto LAB1; - -LAB458: xsi_set_current_line(735, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(735, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB459; - goto LAB1; - -LAB459: xsi_set_current_line(736, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(736, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB460; - goto LAB1; - -LAB460: xsi_set_current_line(736, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(738, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(738, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB461; - goto LAB1; - -LAB461: xsi_set_current_line(739, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(739, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB462; - goto LAB1; - -LAB462: xsi_set_current_line(741, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(741, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB463; - goto LAB1; - -LAB463: xsi_set_current_line(742, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(742, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB464; - goto LAB1; - -LAB464: xsi_set_current_line(744, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(744, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB465; - goto LAB1; - -LAB465: xsi_set_current_line(745, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(745, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB466; - goto LAB1; - -LAB466: xsi_set_current_line(747, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(747, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB467; - goto LAB1; - -LAB467: xsi_set_current_line(748, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(748, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB468; - goto LAB1; - -LAB468: xsi_set_current_line(750, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(750, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB469; - goto LAB1; - -LAB469: xsi_set_current_line(751, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(751, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB470; - goto LAB1; - -LAB470: xsi_set_current_line(753, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(753, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB471; - goto LAB1; - -LAB471: xsi_set_current_line(754, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(754, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB472; - goto LAB1; - -LAB472: xsi_set_current_line(756, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(756, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB473; - goto LAB1; - -LAB473: xsi_set_current_line(757, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(757, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB474; - goto LAB1; - -LAB474: xsi_set_current_line(759, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(759, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB475; - goto LAB1; - -LAB475: xsi_set_current_line(760, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(760, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB476; - goto LAB1; - -LAB476: xsi_set_current_line(762, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(762, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB477; - goto LAB1; - -LAB477: xsi_set_current_line(763, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(763, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB478; - goto LAB1; - -LAB478: xsi_set_current_line(765, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(765, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB479; - goto LAB1; - -LAB479: xsi_set_current_line(766, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(766, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB480; - goto LAB1; - -LAB480: xsi_set_current_line(768, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(768, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB481; - goto LAB1; - -LAB481: xsi_set_current_line(769, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(769, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB482; - goto LAB1; - -LAB482: xsi_set_current_line(771, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(771, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB483; - goto LAB1; - -LAB483: xsi_set_current_line(772, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(772, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB484; - goto LAB1; - -LAB484: xsi_set_current_line(774, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(774, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB485; - goto LAB1; - -LAB485: xsi_set_current_line(775, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(775, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB486; - goto LAB1; - -LAB486: xsi_set_current_line(777, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(777, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB487; - goto LAB1; - -LAB487: xsi_set_current_line(778, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(778, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB488; - goto LAB1; - -LAB488: xsi_set_current_line(780, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(780, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB489; - goto LAB1; - -LAB489: xsi_set_current_line(781, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(781, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB490; - goto LAB1; - -LAB490: xsi_set_current_line(783, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(783, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB491; - goto LAB1; - -LAB491: xsi_set_current_line(784, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(784, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB492; - goto LAB1; - -LAB492: xsi_set_current_line(786, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(786, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB493; - goto LAB1; - -LAB493: xsi_set_current_line(787, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(787, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB494; - goto LAB1; - -LAB494: xsi_set_current_line(789, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(789, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB495; - goto LAB1; - -LAB495: xsi_set_current_line(790, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(790, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB496; - goto LAB1; - -LAB496: xsi_set_current_line(792, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(792, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB497; - goto LAB1; - -LAB497: xsi_set_current_line(793, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(793, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB498; - goto LAB1; - -LAB498: xsi_set_current_line(795, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(795, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB499; - goto LAB1; - -LAB499: xsi_set_current_line(796, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(796, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB500; - goto LAB1; - -LAB500: xsi_set_current_line(798, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(798, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB501; - goto LAB1; - -LAB501: xsi_set_current_line(799, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(799, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB502; - goto LAB1; - -LAB502: xsi_set_current_line(801, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(801, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB503; - goto LAB1; - -LAB503: xsi_set_current_line(802, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(802, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB504; - goto LAB1; - -LAB504: xsi_set_current_line(804, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(804, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB505; - goto LAB1; - -LAB505: xsi_set_current_line(805, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(805, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB506; - goto LAB1; - -LAB506: xsi_set_current_line(807, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(807, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB507; - goto LAB1; - -LAB507: xsi_set_current_line(808, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(808, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB508; - goto LAB1; - -LAB508: xsi_set_current_line(810, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(810, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB509; - goto LAB1; - -LAB509: xsi_set_current_line(811, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(811, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB510; - goto LAB1; - -LAB510: xsi_set_current_line(813, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(813, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB511; - goto LAB1; - -LAB511: xsi_set_current_line(814, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(814, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB512; - goto LAB1; - -LAB512: xsi_set_current_line(816, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(816, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB513; - goto LAB1; - -LAB513: xsi_set_current_line(817, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(817, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB514; - goto LAB1; - -LAB514: xsi_set_current_line(819, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(819, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB515; - goto LAB1; - -LAB515: xsi_set_current_line(820, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(820, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB516; - goto LAB1; - -LAB516: xsi_set_current_line(822, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(822, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB517; - goto LAB1; - -LAB517: xsi_set_current_line(823, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(823, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB518; - goto LAB1; - -LAB518: xsi_set_current_line(825, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(825, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB519; - goto LAB1; - -LAB519: xsi_set_current_line(826, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(826, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB520; - goto LAB1; - -LAB520: xsi_set_current_line(828, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(828, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB521; - goto LAB1; - -LAB521: xsi_set_current_line(829, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(829, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB522; - goto LAB1; - -LAB522: xsi_set_current_line(831, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(831, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB523; - goto LAB1; - -LAB523: xsi_set_current_line(832, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(832, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB524; - goto LAB1; - -LAB524: xsi_set_current_line(834, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(834, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB525; - goto LAB1; - -LAB525: xsi_set_current_line(835, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(835, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB526; - goto LAB1; - -LAB526: xsi_set_current_line(837, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(837, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB527; - goto LAB1; - -LAB527: xsi_set_current_line(838, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(838, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB528; - goto LAB1; - -LAB528: xsi_set_current_line(840, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(840, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB529; - goto LAB1; - -LAB529: xsi_set_current_line(841, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(841, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB530; - goto LAB1; - -LAB530: xsi_set_current_line(843, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(843, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB531; - goto LAB1; - -LAB531: xsi_set_current_line(844, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(844, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB532; - goto LAB1; - -LAB532: xsi_set_current_line(846, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(846, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB533; - goto LAB1; - -LAB533: xsi_set_current_line(847, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(847, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB534; - goto LAB1; - -LAB534: xsi_set_current_line(849, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(849, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB535; - goto LAB1; - -LAB535: xsi_set_current_line(850, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(850, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB536; - goto LAB1; - -LAB536: xsi_set_current_line(852, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(852, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB537; - goto LAB1; - -LAB537: xsi_set_current_line(853, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(853, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB538; - goto LAB1; - -LAB538: xsi_set_current_line(855, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(855, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB539; - goto LAB1; - -LAB539: xsi_set_current_line(856, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(856, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB540; - goto LAB1; - -LAB540: xsi_set_current_line(858, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(858, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB541; - goto LAB1; - -LAB541: xsi_set_current_line(859, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(859, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB542; - goto LAB1; - -LAB542: xsi_set_current_line(861, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(861, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB543; - goto LAB1; - -LAB543: xsi_set_current_line(862, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(862, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB544; - goto LAB1; - -LAB544: xsi_set_current_line(864, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(864, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB545; - goto LAB1; - -LAB545: xsi_set_current_line(865, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(865, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB546; - goto LAB1; - -LAB546: xsi_set_current_line(867, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(867, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB547; - goto LAB1; - -LAB547: xsi_set_current_line(868, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(868, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB548; - goto LAB1; - -LAB548: xsi_set_current_line(870, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(870, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB549; - goto LAB1; - -LAB549: xsi_set_current_line(871, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(871, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB550; - goto LAB1; - -LAB550: xsi_set_current_line(873, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(873, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB551; - goto LAB1; - -LAB551: xsi_set_current_line(874, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(874, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB552; - goto LAB1; - -LAB552: xsi_set_current_line(876, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(876, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB553; - goto LAB1; - -LAB553: xsi_set_current_line(877, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(877, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB554; - goto LAB1; - -LAB554: xsi_set_current_line(879, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(879, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB555; - goto LAB1; - -LAB555: xsi_set_current_line(880, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(880, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB556; - goto LAB1; - -LAB556: xsi_set_current_line(882, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(882, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB557; - goto LAB1; - -LAB557: xsi_set_current_line(883, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(883, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB558; - goto LAB1; - -LAB558: xsi_set_current_line(885, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(885, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB559; - goto LAB1; - -LAB559: xsi_set_current_line(886, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(886, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB560; - goto LAB1; - -LAB560: xsi_set_current_line(888, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(888, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB561; - goto LAB1; - -LAB561: xsi_set_current_line(889, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(889, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB562; - goto LAB1; - -LAB562: xsi_set_current_line(891, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(891, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB563; - goto LAB1; - -LAB563: xsi_set_current_line(892, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(892, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB564; - goto LAB1; - -LAB564: xsi_set_current_line(894, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(894, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB565; - goto LAB1; - -LAB565: xsi_set_current_line(895, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(895, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB566; - goto LAB1; - -LAB566: xsi_set_current_line(897, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(897, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB567; - goto LAB1; - -LAB567: xsi_set_current_line(898, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(898, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB568; - goto LAB1; - -LAB568: xsi_set_current_line(900, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(900, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB569; - goto LAB1; - -LAB569: xsi_set_current_line(901, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(901, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB570; - goto LAB1; - -LAB570: xsi_set_current_line(903, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(903, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB571; - goto LAB1; - -LAB571: xsi_set_current_line(904, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(904, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB572; - goto LAB1; - -LAB572: xsi_set_current_line(906, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(906, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB573; - goto LAB1; - -LAB573: xsi_set_current_line(907, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(907, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB574; - goto LAB1; - -LAB574: xsi_set_current_line(909, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(909, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB575; - goto LAB1; - -LAB575: xsi_set_current_line(910, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(910, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB576; - goto LAB1; - -LAB576: xsi_set_current_line(912, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(912, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB577; - goto LAB1; - -LAB577: xsi_set_current_line(913, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(913, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB578; - goto LAB1; - -LAB578: xsi_set_current_line(915, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(915, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB579; - goto LAB1; - -LAB579: xsi_set_current_line(916, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(916, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB580; - goto LAB1; - -LAB580: xsi_set_current_line(918, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(918, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB581; - goto LAB1; - -LAB581: xsi_set_current_line(919, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(919, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB582; - goto LAB1; - -LAB582: xsi_set_current_line(921, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(921, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB583; - goto LAB1; - -LAB583: xsi_set_current_line(922, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(922, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB584; - goto LAB1; - -LAB584: xsi_set_current_line(924, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(924, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB585; - goto LAB1; - -LAB585: xsi_set_current_line(925, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(925, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB586; - goto LAB1; - -LAB586: xsi_set_current_line(927, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(927, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB587; - goto LAB1; - -LAB587: xsi_set_current_line(928, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(928, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB588; - goto LAB1; - -LAB588: xsi_set_current_line(930, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(930, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB589; - goto LAB1; - -LAB589: xsi_set_current_line(931, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(931, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB590; - goto LAB1; - -LAB590: xsi_set_current_line(933, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(933, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB591; - goto LAB1; - -LAB591: xsi_set_current_line(934, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(934, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB592; - goto LAB1; - -LAB592: xsi_set_current_line(936, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(936, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB593; - goto LAB1; - -LAB593: xsi_set_current_line(937, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(937, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB594; - goto LAB1; - -LAB594: xsi_set_current_line(939, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(939, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB595; - goto LAB1; - -LAB595: xsi_set_current_line(940, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(940, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB596; - goto LAB1; - -LAB596: xsi_set_current_line(942, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(942, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB597; - goto LAB1; - -LAB597: xsi_set_current_line(943, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(943, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB598; - goto LAB1; - -LAB598: xsi_set_current_line(945, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(945, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB599; - goto LAB1; - -LAB599: xsi_set_current_line(946, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(946, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB600; - goto LAB1; - -LAB600: xsi_set_current_line(948, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(948, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB601; - goto LAB1; - -LAB601: xsi_set_current_line(949, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(949, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB602; - goto LAB1; - -LAB602: xsi_set_current_line(951, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(951, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB603; - goto LAB1; - -LAB603: xsi_set_current_line(952, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(952, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB604; - goto LAB1; - -LAB604: xsi_set_current_line(954, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(954, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB605; - goto LAB1; - -LAB605: xsi_set_current_line(955, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(955, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB606; - goto LAB1; - -LAB606: xsi_set_current_line(957, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(957, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB607; - goto LAB1; - -LAB607: xsi_set_current_line(958, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(958, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB608; - goto LAB1; - -LAB608: xsi_set_current_line(960, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(960, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB609; - goto LAB1; - -LAB609: xsi_set_current_line(961, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(961, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB610; - goto LAB1; - -LAB610: xsi_set_current_line(963, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(963, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB611; - goto LAB1; - -LAB611: xsi_set_current_line(964, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(964, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB612; - goto LAB1; - -LAB612: xsi_set_current_line(966, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(966, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB613; - goto LAB1; - -LAB613: xsi_set_current_line(967, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(967, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB614; - goto LAB1; - -LAB614: xsi_set_current_line(969, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(969, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB615; - goto LAB1; - -LAB615: xsi_set_current_line(970, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(970, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB616; - goto LAB1; - -LAB616: xsi_set_current_line(972, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(972, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB617; - goto LAB1; - -LAB617: xsi_set_current_line(973, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(973, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB618; - goto LAB1; - -LAB618: xsi_set_current_line(975, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(975, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB619; - goto LAB1; - -LAB619: xsi_set_current_line(976, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(976, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB620; - goto LAB1; - -LAB620: xsi_set_current_line(978, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(978, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB621; - goto LAB1; - -LAB621: xsi_set_current_line(979, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(979, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB622; - goto LAB1; - -LAB622: xsi_set_current_line(981, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(981, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB623; - goto LAB1; - -LAB623: xsi_set_current_line(982, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(982, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB624; - goto LAB1; - -LAB624: xsi_set_current_line(984, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(984, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB625; - goto LAB1; - -LAB625: xsi_set_current_line(985, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(985, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB626; - goto LAB1; - -LAB626: xsi_set_current_line(987, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(987, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB627; - goto LAB1; - -LAB627: xsi_set_current_line(988, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(988, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB628; - goto LAB1; - -LAB628: xsi_set_current_line(990, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(990, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB629; - goto LAB1; - -LAB629: xsi_set_current_line(991, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(991, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB630; - goto LAB1; - -LAB630: xsi_set_current_line(993, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(993, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB631; - goto LAB1; - -LAB631: xsi_set_current_line(994, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(994, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB632; - goto LAB1; - -LAB632: xsi_set_current_line(996, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(996, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB633; - goto LAB1; - -LAB633: xsi_set_current_line(997, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(997, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB634; - goto LAB1; - -LAB634: xsi_set_current_line(999, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(999, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB635; - goto LAB1; - -LAB635: xsi_set_current_line(1000, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1000, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB636; - goto LAB1; - -LAB636: xsi_set_current_line(1002, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1002, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB637; - goto LAB1; - -LAB637: xsi_set_current_line(1003, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1003, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB638; - goto LAB1; - -LAB638: xsi_set_current_line(1005, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1005, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB639; - goto LAB1; - -LAB639: xsi_set_current_line(1006, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1006, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB640; - goto LAB1; - -LAB640: xsi_set_current_line(1008, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1008, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB641; - goto LAB1; - -LAB641: xsi_set_current_line(1009, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1009, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB642; - goto LAB1; - -LAB642: xsi_set_current_line(1011, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1011, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB643; - goto LAB1; - -LAB643: xsi_set_current_line(1012, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1012, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB644; - goto LAB1; - -LAB644: xsi_set_current_line(1014, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1014, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB645; - goto LAB1; - -LAB645: xsi_set_current_line(1015, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1015, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB646; - goto LAB1; - -LAB646: xsi_set_current_line(1017, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1017, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB647; - goto LAB1; - -LAB647: xsi_set_current_line(1018, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1018, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB648; - goto LAB1; - -LAB648: xsi_set_current_line(1020, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1020, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB649; - goto LAB1; - -LAB649: xsi_set_current_line(1021, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1021, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB650; - goto LAB1; - -LAB650: xsi_set_current_line(1023, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1023, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB651; - goto LAB1; - -LAB651: xsi_set_current_line(1024, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1024, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB652; - goto LAB1; - -LAB652: xsi_set_current_line(1026, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1026, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB653; - goto LAB1; - -LAB653: xsi_set_current_line(1027, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1027, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB654; - goto LAB1; - -LAB654: xsi_set_current_line(1029, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1029, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB655; - goto LAB1; - -LAB655: xsi_set_current_line(1030, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1030, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB656; - goto LAB1; - -LAB656: xsi_set_current_line(1032, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1032, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB657; - goto LAB1; - -LAB657: xsi_set_current_line(1033, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1033, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB658; - goto LAB1; - -LAB658: xsi_set_current_line(1035, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1035, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB659; - goto LAB1; - -LAB659: xsi_set_current_line(1036, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1036, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB660; - goto LAB1; - -LAB660: xsi_set_current_line(1038, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1038, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB661; - goto LAB1; - -LAB661: xsi_set_current_line(1039, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1039, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB662; - goto LAB1; - -LAB662: xsi_set_current_line(1041, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1041, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB663; - goto LAB1; - -LAB663: xsi_set_current_line(1042, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1042, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB664; - goto LAB1; - -LAB664: xsi_set_current_line(1044, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1044, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB665; - goto LAB1; - -LAB665: xsi_set_current_line(1045, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1045, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB666; - goto LAB1; - -LAB666: xsi_set_current_line(1047, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1047, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB667; - goto LAB1; - -LAB667: xsi_set_current_line(1048, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1048, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB668; - goto LAB1; - -LAB668: xsi_set_current_line(1050, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1050, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB669; - goto LAB1; - -LAB669: xsi_set_current_line(1051, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1051, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB670; - goto LAB1; - -LAB670: xsi_set_current_line(1053, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1053, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB671; - goto LAB1; - -LAB671: xsi_set_current_line(1054, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1054, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB672; - goto LAB1; - -LAB672: xsi_set_current_line(1056, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1056, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB673; - goto LAB1; - -LAB673: xsi_set_current_line(1057, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1057, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB674; - goto LAB1; - -LAB674: xsi_set_current_line(1059, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1059, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB675; - goto LAB1; - -LAB675: xsi_set_current_line(1060, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1060, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB676; - goto LAB1; - -LAB676: xsi_set_current_line(1062, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1062, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB677; - goto LAB1; - -LAB677: xsi_set_current_line(1063, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1063, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB678; - goto LAB1; - -LAB678: xsi_set_current_line(1065, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1065, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB679; - goto LAB1; - -LAB679: xsi_set_current_line(1066, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1066, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB680; - goto LAB1; - -LAB680: xsi_set_current_line(1068, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1068, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB681; - goto LAB1; - -LAB681: xsi_set_current_line(1069, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1069, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB682; - goto LAB1; - -LAB682: xsi_set_current_line(1071, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1071, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB683; - goto LAB1; - -LAB683: xsi_set_current_line(1072, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1072, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB684; - goto LAB1; - -LAB684: xsi_set_current_line(1074, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1074, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB685; - goto LAB1; - -LAB685: xsi_set_current_line(1075, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1075, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB686; - goto LAB1; - -LAB686: xsi_set_current_line(1077, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1077, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB687; - goto LAB1; - -LAB687: xsi_set_current_line(1078, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1078, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB688; - goto LAB1; - -LAB688: xsi_set_current_line(1080, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1080, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB689; - goto LAB1; - -LAB689: xsi_set_current_line(1081, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1081, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB690; - goto LAB1; - -LAB690: xsi_set_current_line(1083, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1083, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB691; - goto LAB1; - -LAB691: xsi_set_current_line(1084, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1084, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB692; - goto LAB1; - -LAB692: xsi_set_current_line(1086, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1086, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB693; - goto LAB1; - -LAB693: xsi_set_current_line(1087, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1087, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB694; - goto LAB1; - -LAB694: xsi_set_current_line(1089, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1089, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB695; - goto LAB1; - -LAB695: xsi_set_current_line(1090, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1090, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB696; - goto LAB1; - -LAB696: xsi_set_current_line(1092, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1092, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB697; - goto LAB1; - -LAB697: xsi_set_current_line(1093, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1093, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB698; - goto LAB1; - -LAB698: xsi_set_current_line(1095, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1095, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB699; - goto LAB1; - -LAB699: xsi_set_current_line(1096, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1096, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB700; - goto LAB1; - -LAB700: xsi_set_current_line(1098, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1098, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB701; - goto LAB1; - -LAB701: xsi_set_current_line(1099, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1099, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB702; - goto LAB1; - -LAB702: xsi_set_current_line(1101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1101, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB703; - goto LAB1; - -LAB703: xsi_set_current_line(1102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1102, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB704; - goto LAB1; - -LAB704: xsi_set_current_line(1104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1104, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB705; - goto LAB1; - -LAB705: xsi_set_current_line(1105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1105, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB706; - goto LAB1; - -LAB706: xsi_set_current_line(1107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1107, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB707; - goto LAB1; - -LAB707: xsi_set_current_line(1108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1108, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB708; - goto LAB1; - -LAB708: xsi_set_current_line(1110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1110, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB709; - goto LAB1; - -LAB709: xsi_set_current_line(1111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1111, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB710; - goto LAB1; - -LAB710: xsi_set_current_line(1113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1113, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB711; - goto LAB1; - -LAB711: xsi_set_current_line(1114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1114, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB712; - goto LAB1; - -LAB712: xsi_set_current_line(1116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1116, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB713; - goto LAB1; - -LAB713: xsi_set_current_line(1117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1117, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB714; - goto LAB1; - -LAB714: xsi_set_current_line(1119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1119, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB715; - goto LAB1; - -LAB715: xsi_set_current_line(1120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1120, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB716; - goto LAB1; - -LAB716: xsi_set_current_line(1122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1122, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB717; - goto LAB1; - -LAB717: xsi_set_current_line(1123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1123, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB718; - goto LAB1; - -LAB718: xsi_set_current_line(1125, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1125, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB719; - goto LAB1; - -LAB719: xsi_set_current_line(1126, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1126, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB720; - goto LAB1; - -LAB720: xsi_set_current_line(1128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1128, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB721; - goto LAB1; - -LAB721: xsi_set_current_line(1129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1129, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB722; - goto LAB1; - -LAB722: xsi_set_current_line(1131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1131, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB723; - goto LAB1; - -LAB723: xsi_set_current_line(1132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1132, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB724; - goto LAB1; - -LAB724: xsi_set_current_line(1134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1134, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB725; - goto LAB1; - -LAB725: xsi_set_current_line(1135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1135, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB726; - goto LAB1; - -LAB726: xsi_set_current_line(1137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1137, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB727; - goto LAB1; - -LAB727: xsi_set_current_line(1138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1138, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB728; - goto LAB1; - -LAB728: xsi_set_current_line(1140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1140, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB729; - goto LAB1; - -LAB729: xsi_set_current_line(1141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1141, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB730; - goto LAB1; - -LAB730: xsi_set_current_line(1143, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1143, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB731; - goto LAB1; - -LAB731: xsi_set_current_line(1144, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1144, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB732; - goto LAB1; - -LAB732: xsi_set_current_line(1146, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1146, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB733; - goto LAB1; - -LAB733: xsi_set_current_line(1147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1147, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB734; - goto LAB1; - -LAB734: xsi_set_current_line(1149, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1149, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB735; - goto LAB1; - -LAB735: xsi_set_current_line(1150, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1150, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB736; - goto LAB1; - -LAB736: xsi_set_current_line(1152, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1152, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB737; - goto LAB1; - -LAB737: xsi_set_current_line(1153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1153, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB738; - goto LAB1; - -LAB738: xsi_set_current_line(1155, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1155, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB739; - goto LAB1; - -LAB739: xsi_set_current_line(1156, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1156, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB740; - goto LAB1; - -LAB740: xsi_set_current_line(1158, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1158, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB741; - goto LAB1; - -LAB741: xsi_set_current_line(1159, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1159, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB742; - goto LAB1; - -LAB742: xsi_set_current_line(1161, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1161, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB743; - goto LAB1; - -LAB743: xsi_set_current_line(1162, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1162, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB744; - goto LAB1; - -LAB744: xsi_set_current_line(1164, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1164, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB745; - goto LAB1; - -LAB745: xsi_set_current_line(1165, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1165, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB746; - goto LAB1; - -LAB746: xsi_set_current_line(1167, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1167, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB747; - goto LAB1; - -LAB747: xsi_set_current_line(1168, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1168, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB748; - goto LAB1; - -LAB748: xsi_set_current_line(1170, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1170, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB749; - goto LAB1; - -LAB749: xsi_set_current_line(1171, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1171, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB750; - goto LAB1; - -LAB750: xsi_set_current_line(1173, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1173, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB751; - goto LAB1; - -LAB751: xsi_set_current_line(1174, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1174, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB752; - goto LAB1; - -LAB752: xsi_set_current_line(1176, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1176, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB753; - goto LAB1; - -LAB753: xsi_set_current_line(1177, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1177, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB754; - goto LAB1; - -LAB754: xsi_set_current_line(1179, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1179, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB755; - goto LAB1; - -LAB755: xsi_set_current_line(1180, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1180, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB756; - goto LAB1; - -LAB756: xsi_set_current_line(1182, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1182, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB757; - goto LAB1; - -LAB757: xsi_set_current_line(1183, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1183, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB758; - goto LAB1; - -LAB758: xsi_set_current_line(1185, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1185, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB759; - goto LAB1; - -LAB759: xsi_set_current_line(1186, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1186, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB760; - goto LAB1; - -LAB760: xsi_set_current_line(1188, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1188, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB761; - goto LAB1; - -LAB761: xsi_set_current_line(1189, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1189, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB762; - goto LAB1; - -LAB762: xsi_set_current_line(1191, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1191, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB763; - goto LAB1; - -LAB763: xsi_set_current_line(1192, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1192, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB764; - goto LAB1; - -LAB764: xsi_set_current_line(1194, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1194, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB765; - goto LAB1; - -LAB765: xsi_set_current_line(1195, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1195, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB766; - goto LAB1; - -LAB766: xsi_set_current_line(1197, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1197, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB767; - goto LAB1; - -LAB767: xsi_set_current_line(1198, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1198, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB768; - goto LAB1; - -LAB768: xsi_set_current_line(1200, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1200, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB769; - goto LAB1; - -LAB769: xsi_set_current_line(1201, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1201, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB770; - goto LAB1; - -LAB770: xsi_set_current_line(1203, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1203, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB771; - goto LAB1; - -LAB771: xsi_set_current_line(1204, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1204, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB772; - goto LAB1; - -LAB772: xsi_set_current_line(1206, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1206, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB773; - goto LAB1; - -LAB773: xsi_set_current_line(1207, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1207, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB774; - goto LAB1; - -LAB774: xsi_set_current_line(1209, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1209, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB775; - goto LAB1; - -LAB775: xsi_set_current_line(1210, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1210, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB776; - goto LAB1; - -LAB776: xsi_set_current_line(1212, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1212, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB777; - goto LAB1; - -LAB777: xsi_set_current_line(1213, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1213, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB778; - goto LAB1; - -LAB778: xsi_set_current_line(1215, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1215, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB779; - goto LAB1; - -LAB779: xsi_set_current_line(1216, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1216, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB780; - goto LAB1; - -LAB780: xsi_set_current_line(1218, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1218, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB781; - goto LAB1; - -LAB781: xsi_set_current_line(1219, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1219, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB782; - goto LAB1; - -LAB782: xsi_set_current_line(1221, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1221, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB783; - goto LAB1; - -LAB783: xsi_set_current_line(1222, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1222, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB784; - goto LAB1; - -LAB784: xsi_set_current_line(1224, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1224, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB785; - goto LAB1; - -LAB785: xsi_set_current_line(1225, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1225, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB786; - goto LAB1; - -LAB786: xsi_set_current_line(1227, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1227, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB787; - goto LAB1; - -LAB787: xsi_set_current_line(1228, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1228, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB788; - goto LAB1; - -LAB788: xsi_set_current_line(1230, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1230, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB789; - goto LAB1; - -LAB789: xsi_set_current_line(1231, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1231, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB790; - goto LAB1; - -LAB790: xsi_set_current_line(1233, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1233, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB791; - goto LAB1; - -LAB791: xsi_set_current_line(1234, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1234, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB792; - goto LAB1; - -LAB792: xsi_set_current_line(1236, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1236, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB793; - goto LAB1; - -LAB793: xsi_set_current_line(1237, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1237, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB794; - goto LAB1; - -LAB794: xsi_set_current_line(1239, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1239, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB795; - goto LAB1; - -LAB795: xsi_set_current_line(1240, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1240, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB796; - goto LAB1; - -LAB796: xsi_set_current_line(1242, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1242, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB797; - goto LAB1; - -LAB797: xsi_set_current_line(1243, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1243, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB798; - goto LAB1; - -LAB798: xsi_set_current_line(1245, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1245, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB799; - goto LAB1; - -LAB799: xsi_set_current_line(1246, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1246, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB800; - goto LAB1; - -LAB800: xsi_set_current_line(1248, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1248, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB801; - goto LAB1; - -LAB801: xsi_set_current_line(1249, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1249, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB802; - goto LAB1; - -LAB802: xsi_set_current_line(1251, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1251, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB803; - goto LAB1; - -LAB803: xsi_set_current_line(1252, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1252, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB804; - goto LAB1; - -LAB804: xsi_set_current_line(1254, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1254, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB805; - goto LAB1; - -LAB805: xsi_set_current_line(1255, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1255, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB806; - goto LAB1; - -LAB806: xsi_set_current_line(1257, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1257, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB807; - goto LAB1; - -LAB807: xsi_set_current_line(1258, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1258, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB808; - goto LAB1; - -LAB808: xsi_set_current_line(1260, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1260, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB809; - goto LAB1; - -LAB809: xsi_set_current_line(1261, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1261, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB810; - goto LAB1; - -LAB810: xsi_set_current_line(1263, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1263, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB811; - goto LAB1; - -LAB811: xsi_set_current_line(1264, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1264, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB812; - goto LAB1; - -LAB812: xsi_set_current_line(1266, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1266, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB813; - goto LAB1; - -LAB813: xsi_set_current_line(1267, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1267, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB814; - goto LAB1; - -LAB814: xsi_set_current_line(1269, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1269, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB815; - goto LAB1; - -LAB815: xsi_set_current_line(1270, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1270, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB816; - goto LAB1; - -LAB816: xsi_set_current_line(1272, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1272, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB817; - goto LAB1; - -LAB817: xsi_set_current_line(1273, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1273, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB818; - goto LAB1; - -LAB818: xsi_set_current_line(1275, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1275, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB819; - goto LAB1; - -LAB819: xsi_set_current_line(1276, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1276, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB820; - goto LAB1; - -LAB820: xsi_set_current_line(1278, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1278, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB821; - goto LAB1; - -LAB821: xsi_set_current_line(1279, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1279, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB822; - goto LAB1; - -LAB822: xsi_set_current_line(1281, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1281, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB823; - goto LAB1; - -LAB823: xsi_set_current_line(1282, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1282, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB824; - goto LAB1; - -LAB824: xsi_set_current_line(1284, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1284, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB825; - goto LAB1; - -LAB825: xsi_set_current_line(1285, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1285, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB826; - goto LAB1; - -LAB826: xsi_set_current_line(1287, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1287, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB827; - goto LAB1; - -LAB827: xsi_set_current_line(1288, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1288, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB828; - goto LAB1; - -LAB828: xsi_set_current_line(1290, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1290, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB829; - goto LAB1; - -LAB829: xsi_set_current_line(1291, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1291, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB830; - goto LAB1; - -LAB830: xsi_set_current_line(1293, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1293, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB831; - goto LAB1; - -LAB831: xsi_set_current_line(1294, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1294, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB832; - goto LAB1; - -LAB832: xsi_set_current_line(1296, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1296, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB833; - goto LAB1; - -LAB833: xsi_set_current_line(1297, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1297, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB834; - goto LAB1; - -LAB834: xsi_set_current_line(1299, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1299, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB835; - goto LAB1; - -LAB835: xsi_set_current_line(1300, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1300, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB836; - goto LAB1; - -LAB836: xsi_set_current_line(1302, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1302, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB837; - goto LAB1; - -LAB837: xsi_set_current_line(1303, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1303, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB838; - goto LAB1; - -LAB838: xsi_set_current_line(1305, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1305, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB839; - goto LAB1; - -LAB839: xsi_set_current_line(1306, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1306, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB840; - goto LAB1; - -LAB840: xsi_set_current_line(1308, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1308, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB841; - goto LAB1; - -LAB841: xsi_set_current_line(1309, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1309, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB842; - goto LAB1; - -LAB842: xsi_set_current_line(1311, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1311, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB843; - goto LAB1; - -LAB843: xsi_set_current_line(1312, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1312, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB844; - goto LAB1; - -LAB844: xsi_set_current_line(1314, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1314, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB845; - goto LAB1; - -LAB845: xsi_set_current_line(1315, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1315, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB846; - goto LAB1; - -LAB846: xsi_set_current_line(1317, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1317, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB847; - goto LAB1; - -LAB847: xsi_set_current_line(1318, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1318, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB848; - goto LAB1; - -LAB848: xsi_set_current_line(1320, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1320, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB849; - goto LAB1; - -LAB849: xsi_set_current_line(1321, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1321, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB850; - goto LAB1; - -LAB850: xsi_set_current_line(1323, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1323, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB851; - goto LAB1; - -LAB851: xsi_set_current_line(1324, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1324, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB852; - goto LAB1; - -LAB852: xsi_set_current_line(1326, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1326, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB853; - goto LAB1; - -LAB853: xsi_set_current_line(1327, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1327, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB854; - goto LAB1; - -LAB854: xsi_set_current_line(1329, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1329, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB855; - goto LAB1; - -LAB855: xsi_set_current_line(1330, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1330, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB856; - goto LAB1; - -LAB856: xsi_set_current_line(1332, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1332, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB857; - goto LAB1; - -LAB857: xsi_set_current_line(1333, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1333, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB858; - goto LAB1; - -LAB858: xsi_set_current_line(1335, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1335, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB859; - goto LAB1; - -LAB859: xsi_set_current_line(1336, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1336, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB860; - goto LAB1; - -LAB860: xsi_set_current_line(1338, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1338, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB861; - goto LAB1; - -LAB861: xsi_set_current_line(1339, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1339, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB862; - goto LAB1; - -LAB862: xsi_set_current_line(1341, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1341, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB863; - goto LAB1; - -LAB863: xsi_set_current_line(1342, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1342, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB864; - goto LAB1; - -LAB864: xsi_set_current_line(1344, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1344, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB865; - goto LAB1; - -LAB865: xsi_set_current_line(1345, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1345, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB866; - goto LAB1; - -LAB866: xsi_set_current_line(1347, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1347, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB867; - goto LAB1; - -LAB867: xsi_set_current_line(1348, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1348, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB868; - goto LAB1; - -LAB868: xsi_set_current_line(1350, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1350, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB869; - goto LAB1; - -LAB869: xsi_set_current_line(1351, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1351, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB870; - goto LAB1; - -LAB870: xsi_set_current_line(1353, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1353, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB871; - goto LAB1; - -LAB871: xsi_set_current_line(1354, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1354, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB872; - goto LAB1; - -LAB872: xsi_set_current_line(1356, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1356, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB873; - goto LAB1; - -LAB873: xsi_set_current_line(1357, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1357, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB874; - goto LAB1; - -LAB874: xsi_set_current_line(1359, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1359, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB875; - goto LAB1; - -LAB875: xsi_set_current_line(1360, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1360, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB876; - goto LAB1; - -LAB876: xsi_set_current_line(1362, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1362, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB877; - goto LAB1; - -LAB877: xsi_set_current_line(1363, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1363, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB878; - goto LAB1; - -LAB878: xsi_set_current_line(1365, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1365, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB879; - goto LAB1; - -LAB879: xsi_set_current_line(1366, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1366, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB880; - goto LAB1; - -LAB880: xsi_set_current_line(1368, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1368, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB881; - goto LAB1; - -LAB881: xsi_set_current_line(1369, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1369, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB882; - goto LAB1; - -LAB882: xsi_set_current_line(1371, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1371, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB883; - goto LAB1; - -LAB883: xsi_set_current_line(1372, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1372, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB884; - goto LAB1; - -LAB884: xsi_set_current_line(1374, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1374, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB885; - goto LAB1; - -LAB885: xsi_set_current_line(1375, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1375, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB886; - goto LAB1; - -LAB886: xsi_set_current_line(1377, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1377, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB887; - goto LAB1; - -LAB887: xsi_set_current_line(1378, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1378, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB888; - goto LAB1; - -LAB888: xsi_set_current_line(1380, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1380, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB889; - goto LAB1; - -LAB889: xsi_set_current_line(1381, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1381, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB890; - goto LAB1; - -LAB890: xsi_set_current_line(1383, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1383, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB891; - goto LAB1; - -LAB891: xsi_set_current_line(1384, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1384, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB892; - goto LAB1; - -LAB892: xsi_set_current_line(1386, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1386, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB893; - goto LAB1; - -LAB893: xsi_set_current_line(1387, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1387, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB894; - goto LAB1; - -LAB894: xsi_set_current_line(1389, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1389, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB895; - goto LAB1; - -LAB895: xsi_set_current_line(1390, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1390, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB896; - goto LAB1; - -LAB896: xsi_set_current_line(1392, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1392, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB897; - goto LAB1; - -LAB897: xsi_set_current_line(1393, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1393, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB898; - goto LAB1; - -LAB898: xsi_set_current_line(1395, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1395, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB899; - goto LAB1; - -LAB899: xsi_set_current_line(1396, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1396, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB900; - goto LAB1; - -LAB900: xsi_set_current_line(1398, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1398, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB901; - goto LAB1; - -LAB901: xsi_set_current_line(1399, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1399, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB902; - goto LAB1; - -LAB902: xsi_set_current_line(1401, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1401, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB903; - goto LAB1; - -LAB903: xsi_set_current_line(1402, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1402, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB904; - goto LAB1; - -LAB904: xsi_set_current_line(1404, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1404, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB905; - goto LAB1; - -LAB905: xsi_set_current_line(1405, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1405, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB906; - goto LAB1; - -LAB906: xsi_set_current_line(1407, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1407, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB907; - goto LAB1; - -LAB907: xsi_set_current_line(1408, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1408, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB908; - goto LAB1; - -LAB908: xsi_set_current_line(1410, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1410, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB909; - goto LAB1; - -LAB909: xsi_set_current_line(1411, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1411, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB910; - goto LAB1; - -LAB910: xsi_set_current_line(1413, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1413, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB911; - goto LAB1; - -LAB911: xsi_set_current_line(1414, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1414, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB912; - goto LAB1; - -LAB912: xsi_set_current_line(1416, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1416, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB913; - goto LAB1; - -LAB913: xsi_set_current_line(1417, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1417, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB914; - goto LAB1; - -LAB914: xsi_set_current_line(1419, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1419, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB915; - goto LAB1; - -LAB915: xsi_set_current_line(1420, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1420, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB916; - goto LAB1; - -LAB916: xsi_set_current_line(1422, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1422, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB917; - goto LAB1; - -LAB917: xsi_set_current_line(1423, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1423, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB918; - goto LAB1; - -LAB918: xsi_set_current_line(1425, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1425, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB919; - goto LAB1; - -LAB919: xsi_set_current_line(1426, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1426, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB920; - goto LAB1; - -LAB920: xsi_set_current_line(1428, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1428, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB921; - goto LAB1; - -LAB921: xsi_set_current_line(1429, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1429, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB922; - goto LAB1; - -LAB922: xsi_set_current_line(1431, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1431, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB923; - goto LAB1; - -LAB923: xsi_set_current_line(1432, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1432, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB924; - goto LAB1; - -LAB924: xsi_set_current_line(1434, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1434, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB925; - goto LAB1; - -LAB925: xsi_set_current_line(1435, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1435, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB926; - goto LAB1; - -LAB926: xsi_set_current_line(1437, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1437, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB927; - goto LAB1; - -LAB927: xsi_set_current_line(1438, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1438, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB928; - goto LAB1; - -LAB928: xsi_set_current_line(1440, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1440, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB929; - goto LAB1; - -LAB929: xsi_set_current_line(1441, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1441, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB930; - goto LAB1; - -LAB930: xsi_set_current_line(1443, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1443, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB931; - goto LAB1; - -LAB931: xsi_set_current_line(1444, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1444, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB932; - goto LAB1; - -LAB932: xsi_set_current_line(1446, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1446, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB933; - goto LAB1; - -LAB933: xsi_set_current_line(1447, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1447, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB934; - goto LAB1; - -LAB934: xsi_set_current_line(1449, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1449, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB935; - goto LAB1; - -LAB935: xsi_set_current_line(1450, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1450, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB936; - goto LAB1; - -LAB936: xsi_set_current_line(1452, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1452, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB937; - goto LAB1; - -LAB937: xsi_set_current_line(1453, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1453, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB938; - goto LAB1; - -LAB938: xsi_set_current_line(1455, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1455, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB939; - goto LAB1; - -LAB939: xsi_set_current_line(1456, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1456, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB940; - goto LAB1; - -LAB940: xsi_set_current_line(1458, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1458, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB941; - goto LAB1; - -LAB941: xsi_set_current_line(1459, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1459, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB942; - goto LAB1; - -LAB942: xsi_set_current_line(1461, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1461, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB943; - goto LAB1; - -LAB943: xsi_set_current_line(1462, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1462, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB944; - goto LAB1; - -LAB944: xsi_set_current_line(1464, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1464, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB945; - goto LAB1; - -LAB945: xsi_set_current_line(1465, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1465, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB946; - goto LAB1; - -LAB946: xsi_set_current_line(1467, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1467, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB947; - goto LAB1; - -LAB947: xsi_set_current_line(1468, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1468, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB948; - goto LAB1; - -LAB948: xsi_set_current_line(1470, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1470, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB949; - goto LAB1; - -LAB949: xsi_set_current_line(1471, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1471, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB950; - goto LAB1; - -LAB950: xsi_set_current_line(1473, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1473, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB951; - goto LAB1; - -LAB951: xsi_set_current_line(1474, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1474, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB952; - goto LAB1; - -LAB952: xsi_set_current_line(1476, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1476, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB953; - goto LAB1; - -LAB953: xsi_set_current_line(1477, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1477, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB954; - goto LAB1; - -LAB954: xsi_set_current_line(1479, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1479, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB955; - goto LAB1; - -LAB955: xsi_set_current_line(1480, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1480, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB956; - goto LAB1; - -LAB956: xsi_set_current_line(1482, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1482, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB957; - goto LAB1; - -LAB957: xsi_set_current_line(1483, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1483, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB958; - goto LAB1; - -LAB958: xsi_set_current_line(1485, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1485, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB959; - goto LAB1; - -LAB959: xsi_set_current_line(1486, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1486, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB960; - goto LAB1; - -LAB960: xsi_set_current_line(1488, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1488, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB961; - goto LAB1; - -LAB961: xsi_set_current_line(1489, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1489, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB962; - goto LAB1; - -LAB962: xsi_set_current_line(1491, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1491, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB963; - goto LAB1; - -LAB963: xsi_set_current_line(1492, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1492, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB964; - goto LAB1; - -LAB964: xsi_set_current_line(1494, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1494, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB965; - goto LAB1; - -LAB965: xsi_set_current_line(1495, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1495, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB966; - goto LAB1; - -LAB966: xsi_set_current_line(1497, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1497, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB967; - goto LAB1; - -LAB967: xsi_set_current_line(1498, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1498, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB968; - goto LAB1; - -LAB968: xsi_set_current_line(1500, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1500, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB969; - goto LAB1; - -LAB969: xsi_set_current_line(1501, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1501, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB970; - goto LAB1; - -LAB970: xsi_set_current_line(1503, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1503, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB971; - goto LAB1; - -LAB971: xsi_set_current_line(1504, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1504, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB972; - goto LAB1; - -LAB972: xsi_set_current_line(1506, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1506, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB973; - goto LAB1; - -LAB973: xsi_set_current_line(1507, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1507, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB974; - goto LAB1; - -LAB974: xsi_set_current_line(1509, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1509, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB975; - goto LAB1; - -LAB975: xsi_set_current_line(1510, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1510, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB976; - goto LAB1; - -LAB976: xsi_set_current_line(1512, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1512, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB977; - goto LAB1; - -LAB977: xsi_set_current_line(1513, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1513, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB978; - goto LAB1; - -LAB978: xsi_set_current_line(1515, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1515, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB979; - goto LAB1; - -LAB979: xsi_set_current_line(1516, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1516, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB980; - goto LAB1; - -LAB980: xsi_set_current_line(1518, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1518, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB981; - goto LAB1; - -LAB981: xsi_set_current_line(1519, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1519, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB982; - goto LAB1; - -LAB982: xsi_set_current_line(1521, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1521, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB983; - goto LAB1; - -LAB983: xsi_set_current_line(1522, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1522, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB984; - goto LAB1; - -LAB984: xsi_set_current_line(1524, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1524, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB985; - goto LAB1; - -LAB985: xsi_set_current_line(1525, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1525, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB986; - goto LAB1; - -LAB986: xsi_set_current_line(1527, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1527, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB987; - goto LAB1; - -LAB987: xsi_set_current_line(1528, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1528, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB988; - goto LAB1; - -LAB988: xsi_set_current_line(1530, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1530, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB989; - goto LAB1; - -LAB989: xsi_set_current_line(1531, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1531, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB990; - goto LAB1; - -LAB990: xsi_set_current_line(1533, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1533, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB991; - goto LAB1; - -LAB991: xsi_set_current_line(1534, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1534, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB992; - goto LAB1; - -LAB992: xsi_set_current_line(1536, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1536, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB993; - goto LAB1; - -LAB993: xsi_set_current_line(1537, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1537, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB994; - goto LAB1; - -LAB994: xsi_set_current_line(1539, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1539, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB995; - goto LAB1; - -LAB995: xsi_set_current_line(1540, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1540, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB996; - goto LAB1; - -LAB996: xsi_set_current_line(1542, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1542, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB997; - goto LAB1; - -LAB997: xsi_set_current_line(1543, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1543, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB998; - goto LAB1; - -LAB998: xsi_set_current_line(1545, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1545, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB999; - goto LAB1; - -LAB999: xsi_set_current_line(1546, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1546, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1000; - goto LAB1; - -LAB1000: xsi_set_current_line(1548, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1548, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1001; - goto LAB1; - -LAB1001: xsi_set_current_line(1549, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1549, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1002; - goto LAB1; - -LAB1002: xsi_set_current_line(1551, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1551, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1003; - goto LAB1; - -LAB1003: xsi_set_current_line(1552, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1552, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1004; - goto LAB1; - -LAB1004: xsi_set_current_line(1554, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1554, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1005; - goto LAB1; - -LAB1005: xsi_set_current_line(1555, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1555, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1006; - goto LAB1; - -LAB1006: xsi_set_current_line(1557, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1557, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1007; - goto LAB1; - -LAB1007: xsi_set_current_line(1558, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1558, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1008; - goto LAB1; - -LAB1008: xsi_set_current_line(1560, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1560, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1009; - goto LAB1; - -LAB1009: xsi_set_current_line(1561, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1561, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1010; - goto LAB1; - -LAB1010: xsi_set_current_line(1563, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1563, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1011; - goto LAB1; - -LAB1011: xsi_set_current_line(1564, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1564, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1012; - goto LAB1; - -LAB1012: xsi_set_current_line(1566, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1566, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1013; - goto LAB1; - -LAB1013: xsi_set_current_line(1567, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1567, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1014; - goto LAB1; - -LAB1014: xsi_set_current_line(1569, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1569, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1015; - goto LAB1; - -LAB1015: xsi_set_current_line(1570, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1570, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1016; - goto LAB1; - -LAB1016: xsi_set_current_line(1572, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1572, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1017; - goto LAB1; - -LAB1017: xsi_set_current_line(1573, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1573, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1018; - goto LAB1; - -LAB1018: xsi_set_current_line(1575, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1575, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1019; - goto LAB1; - -LAB1019: xsi_set_current_line(1576, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1576, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1020; - goto LAB1; - -LAB1020: xsi_set_current_line(1578, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1578, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1021; - goto LAB1; - -LAB1021: xsi_set_current_line(1579, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1579, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1022; - goto LAB1; - -LAB1022: xsi_set_current_line(1581, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1581, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1023; - goto LAB1; - -LAB1023: xsi_set_current_line(1582, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1582, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1024; - goto LAB1; - -LAB1024: xsi_set_current_line(1584, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1584, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1025; - goto LAB1; - -LAB1025: xsi_set_current_line(1585, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1585, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1026; - goto LAB1; - -LAB1026: xsi_set_current_line(1587, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1587, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1027; - goto LAB1; - -LAB1027: xsi_set_current_line(1588, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1588, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1028; - goto LAB1; - -LAB1028: xsi_set_current_line(1590, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1590, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1029; - goto LAB1; - -LAB1029: xsi_set_current_line(1591, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1591, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1030; - goto LAB1; - -LAB1030: xsi_set_current_line(1593, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1593, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1031; - goto LAB1; - -LAB1031: xsi_set_current_line(1594, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1594, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1032; - goto LAB1; - -LAB1032: xsi_set_current_line(1596, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1596, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1033; - goto LAB1; - -LAB1033: xsi_set_current_line(1597, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1597, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1034; - goto LAB1; - -LAB1034: xsi_set_current_line(1599, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1599, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1035; - goto LAB1; - -LAB1035: xsi_set_current_line(1600, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1600, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1036; - goto LAB1; - -LAB1036: xsi_set_current_line(1602, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1602, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1037; - goto LAB1; - -LAB1037: xsi_set_current_line(1603, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1603, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1038; - goto LAB1; - -LAB1038: xsi_set_current_line(1605, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1605, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1039; - goto LAB1; - -LAB1039: xsi_set_current_line(1606, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1606, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1040; - goto LAB1; - -LAB1040: xsi_set_current_line(1608, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1608, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1041; - goto LAB1; - -LAB1041: xsi_set_current_line(1609, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1609, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1042; - goto LAB1; - -LAB1042: xsi_set_current_line(1611, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1611, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1043; - goto LAB1; - -LAB1043: xsi_set_current_line(1612, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1612, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1044; - goto LAB1; - -LAB1044: xsi_set_current_line(1614, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1614, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1045; - goto LAB1; - -LAB1045: xsi_set_current_line(1615, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1615, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1046; - goto LAB1; - -LAB1046: xsi_set_current_line(1617, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1617, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1047; - goto LAB1; - -LAB1047: xsi_set_current_line(1618, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1618, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1048; - goto LAB1; - -LAB1048: xsi_set_current_line(1620, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1620, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1049; - goto LAB1; - -LAB1049: xsi_set_current_line(1621, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1621, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1050; - goto LAB1; - -LAB1050: xsi_set_current_line(1623, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1623, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1051; - goto LAB1; - -LAB1051: xsi_set_current_line(1624, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1624, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1052; - goto LAB1; - -LAB1052: xsi_set_current_line(1626, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1626, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1053; - goto LAB1; - -LAB1053: xsi_set_current_line(1627, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1627, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1054; - goto LAB1; - -LAB1054: xsi_set_current_line(1629, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1629, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1055; - goto LAB1; - -LAB1055: xsi_set_current_line(1630, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1630, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1056; - goto LAB1; - -LAB1056: xsi_set_current_line(1632, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1632, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1057; - goto LAB1; - -LAB1057: xsi_set_current_line(1633, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1633, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1058; - goto LAB1; - -LAB1058: xsi_set_current_line(1635, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1635, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1059; - goto LAB1; - -LAB1059: xsi_set_current_line(1636, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1636, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1060; - goto LAB1; - -LAB1060: xsi_set_current_line(1638, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1638, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1061; - goto LAB1; - -LAB1061: xsi_set_current_line(1639, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1639, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1062; - goto LAB1; - -LAB1062: xsi_set_current_line(1641, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1641, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1063; - goto LAB1; - -LAB1063: xsi_set_current_line(1642, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1642, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1064; - goto LAB1; - -LAB1064: xsi_set_current_line(1644, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1644, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1065; - goto LAB1; - -LAB1065: xsi_set_current_line(1645, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1645, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1066; - goto LAB1; - -LAB1066: xsi_set_current_line(1647, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1647, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1067; - goto LAB1; - -LAB1067: xsi_set_current_line(1648, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1648, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1068; - goto LAB1; - -LAB1068: xsi_set_current_line(1650, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1650, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1069; - goto LAB1; - -LAB1069: xsi_set_current_line(1651, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1651, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1070; - goto LAB1; - -LAB1070: xsi_set_current_line(1653, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1653, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1071; - goto LAB1; - -LAB1071: xsi_set_current_line(1654, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1654, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1072; - goto LAB1; - -LAB1072: xsi_set_current_line(1656, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1656, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1073; - goto LAB1; - -LAB1073: xsi_set_current_line(1657, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1657, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1074; - goto LAB1; - -LAB1074: xsi_set_current_line(1659, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1659, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1075; - goto LAB1; - -LAB1075: xsi_set_current_line(1660, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1660, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1076; - goto LAB1; - -LAB1076: xsi_set_current_line(1662, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1662, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1077; - goto LAB1; - -LAB1077: xsi_set_current_line(1663, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1663, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1078; - goto LAB1; - -LAB1078: xsi_set_current_line(1665, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1665, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1079; - goto LAB1; - -LAB1079: xsi_set_current_line(1666, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1666, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1080; - goto LAB1; - -LAB1080: xsi_set_current_line(1668, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1668, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1081; - goto LAB1; - -LAB1081: xsi_set_current_line(1669, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1669, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1082; - goto LAB1; - -LAB1082: xsi_set_current_line(1671, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1671, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1083; - goto LAB1; - -LAB1083: xsi_set_current_line(1672, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1672, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1084; - goto LAB1; - -LAB1084: xsi_set_current_line(1674, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1674, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1085; - goto LAB1; - -LAB1085: xsi_set_current_line(1675, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1675, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1086; - goto LAB1; - -LAB1086: xsi_set_current_line(1677, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1677, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1087; - goto LAB1; - -LAB1087: xsi_set_current_line(1678, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1678, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1088; - goto LAB1; - -LAB1088: xsi_set_current_line(1680, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1680, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1089; - goto LAB1; - -LAB1089: xsi_set_current_line(1681, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1681, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1090; - goto LAB1; - -LAB1090: xsi_set_current_line(1683, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1683, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1091; - goto LAB1; - -LAB1091: xsi_set_current_line(1684, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1684, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1092; - goto LAB1; - -LAB1092: xsi_set_current_line(1686, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1686, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1093; - goto LAB1; - -LAB1093: xsi_set_current_line(1687, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1687, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1094; - goto LAB1; - -LAB1094: xsi_set_current_line(1689, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1689, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1095; - goto LAB1; - -LAB1095: xsi_set_current_line(1690, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1690, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1096; - goto LAB1; - -LAB1096: xsi_set_current_line(1692, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1692, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1097; - goto LAB1; - -LAB1097: xsi_set_current_line(1693, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1693, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1098; - goto LAB1; - -LAB1098: xsi_set_current_line(1695, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1695, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1099; - goto LAB1; - -LAB1099: xsi_set_current_line(1696, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1696, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1100; - goto LAB1; - -LAB1100: xsi_set_current_line(1698, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1698, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1101; - goto LAB1; - -LAB1101: xsi_set_current_line(1699, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1699, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1102; - goto LAB1; - -LAB1102: xsi_set_current_line(1701, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1701, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1103; - goto LAB1; - -LAB1103: xsi_set_current_line(1702, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1702, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1104; - goto LAB1; - -LAB1104: xsi_set_current_line(1704, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1704, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1105; - goto LAB1; - -LAB1105: xsi_set_current_line(1705, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1705, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1106; - goto LAB1; - -LAB1106: xsi_set_current_line(1707, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1707, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1107; - goto LAB1; - -LAB1107: xsi_set_current_line(1708, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1708, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1108; - goto LAB1; - -LAB1108: xsi_set_current_line(1710, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1710, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1109; - goto LAB1; - -LAB1109: xsi_set_current_line(1711, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1711, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1110; - goto LAB1; - -LAB1110: xsi_set_current_line(1713, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1713, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1111; - goto LAB1; - -LAB1111: xsi_set_current_line(1714, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1714, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1112; - goto LAB1; - -LAB1112: xsi_set_current_line(1716, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1716, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1113; - goto LAB1; - -LAB1113: xsi_set_current_line(1717, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1717, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1114; - goto LAB1; - -LAB1114: xsi_set_current_line(1719, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1719, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1115; - goto LAB1; - -LAB1115: xsi_set_current_line(1720, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1720, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1116; - goto LAB1; - -LAB1116: xsi_set_current_line(1722, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1722, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1117; - goto LAB1; - -LAB1117: xsi_set_current_line(1723, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1723, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1118; - goto LAB1; - -LAB1118: xsi_set_current_line(1725, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1725, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1119; - goto LAB1; - -LAB1119: xsi_set_current_line(1726, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1726, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1120; - goto LAB1; - -LAB1120: xsi_set_current_line(1728, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1728, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1121; - goto LAB1; - -LAB1121: xsi_set_current_line(1729, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1729, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1122; - goto LAB1; - -LAB1122: xsi_set_current_line(1731, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1731, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1123; - goto LAB1; - -LAB1123: xsi_set_current_line(1732, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1732, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1124; - goto LAB1; - -LAB1124: xsi_set_current_line(1734, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1734, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1125; - goto LAB1; - -LAB1125: xsi_set_current_line(1735, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1735, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1126; - goto LAB1; - -LAB1126: xsi_set_current_line(1737, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1737, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1127; - goto LAB1; - -LAB1127: xsi_set_current_line(1738, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1738, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1128; - goto LAB1; - -LAB1128: xsi_set_current_line(1740, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1740, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1129; - goto LAB1; - -LAB1129: xsi_set_current_line(1741, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1741, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1130; - goto LAB1; - -LAB1130: xsi_set_current_line(1743, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1743, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1131; - goto LAB1; - -LAB1131: xsi_set_current_line(1744, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1744, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1132; - goto LAB1; - -LAB1132: xsi_set_current_line(1746, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1746, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1133; - goto LAB1; - -LAB1133: xsi_set_current_line(1747, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1747, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1134; - goto LAB1; - -LAB1134: xsi_set_current_line(1749, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1749, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1135; - goto LAB1; - -LAB1135: xsi_set_current_line(1750, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1750, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1136; - goto LAB1; - -LAB1136: xsi_set_current_line(1752, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1752, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1137; - goto LAB1; - -LAB1137: xsi_set_current_line(1753, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1753, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1138; - goto LAB1; - -LAB1138: xsi_set_current_line(1755, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1755, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1139; - goto LAB1; - -LAB1139: xsi_set_current_line(1756, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1756, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1140; - goto LAB1; - -LAB1140: xsi_set_current_line(1758, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1758, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1141; - goto LAB1; - -LAB1141: xsi_set_current_line(1759, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1759, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1142; - goto LAB1; - -LAB1142: xsi_set_current_line(1759, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1761, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1761, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1143; - goto LAB1; - -LAB1143: xsi_set_current_line(1762, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1762, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1144; - goto LAB1; - -LAB1144: xsi_set_current_line(1764, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1764, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1145; - goto LAB1; - -LAB1145: xsi_set_current_line(1765, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1765, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1146; - goto LAB1; - -LAB1146: xsi_set_current_line(1765, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1767, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1767, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1147; - goto LAB1; - -LAB1147: xsi_set_current_line(1768, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1768, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1148; - goto LAB1; - -LAB1148: xsi_set_current_line(1770, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1770, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1149; - goto LAB1; - -LAB1149: xsi_set_current_line(1771, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1771, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1150; - goto LAB1; - -LAB1150: xsi_set_current_line(1773, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1773, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1151; - goto LAB1; - -LAB1151: xsi_set_current_line(1774, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1774, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1152; - goto LAB1; - -LAB1152: xsi_set_current_line(1776, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1776, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1153; - goto LAB1; - -LAB1153: xsi_set_current_line(1777, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1777, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1154; - goto LAB1; - -LAB1154: xsi_set_current_line(1779, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1779, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1155; - goto LAB1; - -LAB1155: xsi_set_current_line(1780, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1780, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1156; - goto LAB1; - -LAB1156: xsi_set_current_line(1782, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1782, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1157; - goto LAB1; - -LAB1157: xsi_set_current_line(1783, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1783, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1158; - goto LAB1; - -LAB1158: xsi_set_current_line(1785, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1785, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1159; - goto LAB1; - -LAB1159: xsi_set_current_line(1786, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1786, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1160; - goto LAB1; - -LAB1160: xsi_set_current_line(1788, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1788, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1161; - goto LAB1; - -LAB1161: xsi_set_current_line(1789, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1789, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1162; - goto LAB1; - -LAB1162: xsi_set_current_line(1791, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1791, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1163; - goto LAB1; - -LAB1163: xsi_set_current_line(1792, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1792, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1164; - goto LAB1; - -LAB1164: xsi_set_current_line(1794, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1794, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1165; - goto LAB1; - -LAB1165: xsi_set_current_line(1795, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1795, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1166; - goto LAB1; - -LAB1166: xsi_set_current_line(1797, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1797, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1167; - goto LAB1; - -LAB1167: xsi_set_current_line(1798, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1798, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1168; - goto LAB1; - -LAB1168: xsi_set_current_line(1800, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1800, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1169; - goto LAB1; - -LAB1169: xsi_set_current_line(1801, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1801, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1170; - goto LAB1; - -LAB1170: xsi_set_current_line(1803, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1803, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1171; - goto LAB1; - -LAB1171: xsi_set_current_line(1804, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1804, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1172; - goto LAB1; - -LAB1172: xsi_set_current_line(1806, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1806, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1173; - goto LAB1; - -LAB1173: xsi_set_current_line(1807, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1807, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1174; - goto LAB1; - -LAB1174: xsi_set_current_line(1809, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1809, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1175; - goto LAB1; - -LAB1175: xsi_set_current_line(1810, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1810, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1176; - goto LAB1; - -LAB1176: xsi_set_current_line(1812, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1812, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1177; - goto LAB1; - -LAB1177: xsi_set_current_line(1813, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1813, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1178; - goto LAB1; - -LAB1178: xsi_set_current_line(1815, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1815, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1179; - goto LAB1; - -LAB1179: xsi_set_current_line(1816, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1816, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1180; - goto LAB1; - -LAB1180: xsi_set_current_line(1818, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1818, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1181; - goto LAB1; - -LAB1181: xsi_set_current_line(1819, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1819, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1182; - goto LAB1; - -LAB1182: xsi_set_current_line(1821, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1821, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1183; - goto LAB1; - -LAB1183: xsi_set_current_line(1822, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1822, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1184; - goto LAB1; - -LAB1184: xsi_set_current_line(1824, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1824, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1185; - goto LAB1; - -LAB1185: xsi_set_current_line(1825, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1825, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1186; - goto LAB1; - -LAB1186: xsi_set_current_line(1827, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1827, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1187; - goto LAB1; - -LAB1187: xsi_set_current_line(1828, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1828, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1188; - goto LAB1; - -LAB1188: xsi_set_current_line(1830, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1830, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1189; - goto LAB1; - -LAB1189: xsi_set_current_line(1831, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1831, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1190; - goto LAB1; - -LAB1190: xsi_set_current_line(1833, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1833, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1191; - goto LAB1; - -LAB1191: xsi_set_current_line(1834, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1834, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1192; - goto LAB1; - -LAB1192: xsi_set_current_line(1836, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1836, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1193; - goto LAB1; - -LAB1193: xsi_set_current_line(1837, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1837, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1194; - goto LAB1; - -LAB1194: xsi_set_current_line(1839, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1839, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1195; - goto LAB1; - -LAB1195: xsi_set_current_line(1840, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1840, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1196; - goto LAB1; - -LAB1196: xsi_set_current_line(1842, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1842, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1197; - goto LAB1; - -LAB1197: xsi_set_current_line(1843, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1843, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1198; - goto LAB1; - -LAB1198: xsi_set_current_line(1845, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1845, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1199; - goto LAB1; - -LAB1199: xsi_set_current_line(1846, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1846, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1200; - goto LAB1; - -LAB1200: xsi_set_current_line(1848, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1848, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1201; - goto LAB1; - -LAB1201: xsi_set_current_line(1849, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1849, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1202; - goto LAB1; - -LAB1202: xsi_set_current_line(1851, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1851, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1203; - goto LAB1; - -LAB1203: xsi_set_current_line(1852, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1852, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1204; - goto LAB1; - -LAB1204: xsi_set_current_line(1854, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1854, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1205; - goto LAB1; - -LAB1205: xsi_set_current_line(1855, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1855, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1206; - goto LAB1; - -LAB1206: xsi_set_current_line(1857, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1857, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1207; - goto LAB1; - -LAB1207: xsi_set_current_line(1858, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1858, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1208; - goto LAB1; - -LAB1208: xsi_set_current_line(1860, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1860, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1209; - goto LAB1; - -LAB1209: xsi_set_current_line(1861, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1861, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1210; - goto LAB1; - -LAB1210: xsi_set_current_line(1863, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1863, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1211; - goto LAB1; - -LAB1211: xsi_set_current_line(1864, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1864, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1212; - goto LAB1; - -LAB1212: xsi_set_current_line(1866, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1866, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1213; - goto LAB1; - -LAB1213: xsi_set_current_line(1867, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1867, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1214; - goto LAB1; - -LAB1214: xsi_set_current_line(1869, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1869, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1215; - goto LAB1; - -LAB1215: xsi_set_current_line(1870, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1870, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1216; - goto LAB1; - -LAB1216: xsi_set_current_line(1872, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1872, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1217; - goto LAB1; - -LAB1217: xsi_set_current_line(1873, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1873, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1218; - goto LAB1; - -LAB1218: xsi_set_current_line(1875, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1875, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1219; - goto LAB1; - -LAB1219: xsi_set_current_line(1876, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1876, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1220; - goto LAB1; - -LAB1220: xsi_set_current_line(1878, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1878, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1221; - goto LAB1; - -LAB1221: xsi_set_current_line(1879, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1879, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1222; - goto LAB1; - -LAB1222: xsi_set_current_line(1881, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1881, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1223; - goto LAB1; - -LAB1223: xsi_set_current_line(1882, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1882, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1224; - goto LAB1; - -LAB1224: xsi_set_current_line(1884, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1884, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1225; - goto LAB1; - -LAB1225: xsi_set_current_line(1885, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1885, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1226; - goto LAB1; - -LAB1226: xsi_set_current_line(1887, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1887, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1227; - goto LAB1; - -LAB1227: xsi_set_current_line(1888, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1888, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1228; - goto LAB1; - -LAB1228: xsi_set_current_line(1890, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1890, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1229; - goto LAB1; - -LAB1229: xsi_set_current_line(1891, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1891, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1230; - goto LAB1; - -LAB1230: xsi_set_current_line(1893, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1893, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1231; - goto LAB1; - -LAB1231: xsi_set_current_line(1894, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1894, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1232; - goto LAB1; - -LAB1232: xsi_set_current_line(1896, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1896, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1233; - goto LAB1; - -LAB1233: xsi_set_current_line(1897, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1897, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1234; - goto LAB1; - -LAB1234: xsi_set_current_line(1899, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1899, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1235; - goto LAB1; - -LAB1235: xsi_set_current_line(1900, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1900, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1236; - goto LAB1; - -LAB1236: xsi_set_current_line(1902, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1902, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1237; - goto LAB1; - -LAB1237: xsi_set_current_line(1903, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1903, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1238; - goto LAB1; - -LAB1238: xsi_set_current_line(1905, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1905, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1239; - goto LAB1; - -LAB1239: xsi_set_current_line(1906, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1906, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1240; - goto LAB1; - -LAB1240: xsi_set_current_line(1908, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1908, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1241; - goto LAB1; - -LAB1241: xsi_set_current_line(1909, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1909, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1242; - goto LAB1; - -LAB1242: xsi_set_current_line(1911, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1911, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1243; - goto LAB1; - -LAB1243: xsi_set_current_line(1912, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1912, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1244; - goto LAB1; - -LAB1244: xsi_set_current_line(1914, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1914, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1245; - goto LAB1; - -LAB1245: xsi_set_current_line(1915, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1915, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1246; - goto LAB1; - -LAB1246: xsi_set_current_line(1917, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1917, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1247; - goto LAB1; - -LAB1247: xsi_set_current_line(1918, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1918, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1248; - goto LAB1; - -LAB1248: xsi_set_current_line(1920, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1920, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1249; - goto LAB1; - -LAB1249: xsi_set_current_line(1921, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1921, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1250; - goto LAB1; - -LAB1250: xsi_set_current_line(1923, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1923, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1251; - goto LAB1; - -LAB1251: xsi_set_current_line(1924, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1924, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1252; - goto LAB1; - -LAB1252: xsi_set_current_line(1926, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1926, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1253; - goto LAB1; - -LAB1253: xsi_set_current_line(1927, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1927, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1254; - goto LAB1; - -LAB1254: xsi_set_current_line(1929, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1929, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1255; - goto LAB1; - -LAB1255: xsi_set_current_line(1930, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1930, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1256; - goto LAB1; - -LAB1256: xsi_set_current_line(1932, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1932, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1257; - goto LAB1; - -LAB1257: xsi_set_current_line(1933, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1933, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1258; - goto LAB1; - -LAB1258: xsi_set_current_line(1935, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1935, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1259; - goto LAB1; - -LAB1259: xsi_set_current_line(1936, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1936, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1260; - goto LAB1; - -LAB1260: xsi_set_current_line(1938, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1938, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1261; - goto LAB1; - -LAB1261: xsi_set_current_line(1939, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1939, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1262; - goto LAB1; - -LAB1262: xsi_set_current_line(1941, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1941, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1263; - goto LAB1; - -LAB1263: xsi_set_current_line(1942, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1942, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1264; - goto LAB1; - -LAB1264: xsi_set_current_line(1944, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1944, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1265; - goto LAB1; - -LAB1265: xsi_set_current_line(1945, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1945, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1266; - goto LAB1; - -LAB1266: xsi_set_current_line(1947, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1947, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1267; - goto LAB1; - -LAB1267: xsi_set_current_line(1948, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1948, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1268; - goto LAB1; - -LAB1268: xsi_set_current_line(1950, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1950, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1269; - goto LAB1; - -LAB1269: xsi_set_current_line(1951, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1951, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1270; - goto LAB1; - -LAB1270: xsi_set_current_line(1953, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1953, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1271; - goto LAB1; - -LAB1271: xsi_set_current_line(1954, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1954, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1272; - goto LAB1; - -LAB1272: xsi_set_current_line(1956, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1956, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1273; - goto LAB1; - -LAB1273: xsi_set_current_line(1957, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1957, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1274; - goto LAB1; - -LAB1274: xsi_set_current_line(1959, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1959, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1275; - goto LAB1; - -LAB1275: xsi_set_current_line(1960, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1960, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1276; - goto LAB1; - -LAB1276: xsi_set_current_line(1962, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1962, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1277; - goto LAB1; - -LAB1277: xsi_set_current_line(1963, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1963, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1278; - goto LAB1; - -LAB1278: xsi_set_current_line(1965, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1965, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1279; - goto LAB1; - -LAB1279: xsi_set_current_line(1966, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1966, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1280; - goto LAB1; - -LAB1280: xsi_set_current_line(1968, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1968, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1281; - goto LAB1; - -LAB1281: xsi_set_current_line(1969, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1969, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1282; - goto LAB1; - -LAB1282: xsi_set_current_line(1971, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1971, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1283; - goto LAB1; - -LAB1283: xsi_set_current_line(1972, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1972, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1284; - goto LAB1; - -LAB1284: xsi_set_current_line(1974, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1974, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1285; - goto LAB1; - -LAB1285: xsi_set_current_line(1975, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1975, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1286; - goto LAB1; - -LAB1286: xsi_set_current_line(1977, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1977, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1287; - goto LAB1; - -LAB1287: xsi_set_current_line(1978, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1978, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1288; - goto LAB1; - -LAB1288: xsi_set_current_line(1980, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1980, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1289; - goto LAB1; - -LAB1289: xsi_set_current_line(1981, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1981, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1290; - goto LAB1; - -LAB1290: xsi_set_current_line(1983, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1983, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1291; - goto LAB1; - -LAB1291: xsi_set_current_line(1984, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1984, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1292; - goto LAB1; - -LAB1292: xsi_set_current_line(1986, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1986, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1293; - goto LAB1; - -LAB1293: xsi_set_current_line(1987, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(1987, ng0); - t2 = (t0 + 2976); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB1294; - goto LAB1; - -LAB1294: goto LAB1; - -} - - -extern void work_m_00000000001129268806_2975551742_init() -{ - static char *pe[] = {(void *)Initial_49_0}; - xsi_register_didat("work_m_00000000001129268806_2975551742", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat deleted file mode 100644 index 7a427ce..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj deleted file mode 100644 index 5df15a2..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c deleted file mode 100644 index 7377b37..0000000 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c +++ /dev/null @@ -1,1141 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Cont_12_0(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - unsigned int t28; - unsigned int t29; - char *t30; - unsigned int t31; - unsigned int t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - -LAB0: t1 = (t0 + 3488U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(12, ng0); - t2 = (t0 + 2568); - t4 = (t2 + 56U); - t5 = *((char **)t4); - memset(t3, 0, 8); - t6 = (t5 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t5); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t6) == 0) - goto LAB4; - -LAB6: t12 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t12) = 1; - -LAB7: t13 = (t3 + 4); - t14 = (t5 + 4); - t15 = *((unsigned int *)t5); - t16 = (~(t15)); - *((unsigned int *)t3) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB9; - -LAB8: t21 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 4680); - t24 = (t23 + 56U); - t25 = *((char **)t24); - t26 = (t25 + 56U); - t27 = *((char **)t26); - memset(t27, 0, 8); - t28 = 1U; - t29 = t28; - t30 = (t3 + 4); - t31 = *((unsigned int *)t3); - t28 = (t28 & t31); - t32 = *((unsigned int *)t30); - t29 = (t29 & t32); - t33 = (t27 + 4); - t34 = *((unsigned int *)t27); - *((unsigned int *)t27) = (t34 | t28); - t35 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t35 | t29); - xsi_driver_vfirst_trans(t23, 0, 0); - t36 = (t0 + 4552); - *((int *)t36) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t17 = *((unsigned int *)t3); - t18 = *((unsigned int *)t14); - *((unsigned int *)t3) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB8; - -} - -static void Cont_13_1(char *t0) -{ - char t5[8]; - char t14[8]; - char t26[8]; - char t47[8]; - char t55[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t21; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - char *t27; - char *t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t54; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - char *t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - char *t69; - char *t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - int t79; - int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - char *t89; - char *t90; - char *t91; - unsigned int t92; - unsigned int t93; - char *t94; - unsigned int t95; - unsigned int t96; - char *t97; - unsigned int t98; - unsigned int t99; - char *t100; - -LAB0: t1 = (t0 + 3736U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(13, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t5 + 4); - t7 = (t4 + 4); - t8 = *((unsigned int *)t4); - t9 = (t8 >> 8); - t10 = (t9 & 1); - *((unsigned int *)t5) = t10; - t11 = *((unsigned int *)t7); - t12 = (t11 >> 8); - t13 = (t12 & 1); - *((unsigned int *)t6) = t13; - memset(t14, 0, 8); - t15 = (t5 + 4); - t16 = *((unsigned int *)t15); - t17 = (~(t16)); - t18 = *((unsigned int *)t5); - t19 = (t18 & t17); - t20 = (t19 & 1U); - if (t20 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t15) != 0) - goto LAB6; - -LAB7: t22 = (t14 + 4); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t22); - t25 = (t23 || t24); - if (t25 > 0) - goto LAB8; - -LAB9: memcpy(t55, t14, 8); - -LAB10: t87 = (t0 + 4744); - t88 = (t87 + 56U); - t89 = *((char **)t88); - t90 = (t89 + 56U); - t91 = *((char **)t90); - memset(t91, 0, 8); - t92 = 1U; - t93 = t92; - t94 = (t55 + 4); - t95 = *((unsigned int *)t55); - t92 = (t92 & t95); - t96 = *((unsigned int *)t94); - t93 = (t93 & t96); - t97 = (t91 + 4); - t98 = *((unsigned int *)t91); - *((unsigned int *)t91) = (t98 | t92); - t99 = *((unsigned int *)t97); - *((unsigned int *)t97) = (t99 | t93); - xsi_driver_vfirst_trans(t87, 0, 0); - t100 = (t0 + 4568); - *((int *)t100) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t14) = 1; - goto LAB7; - -LAB6: t21 = (t14 + 4); - *((unsigned int *)t14) = 1; - *((unsigned int *)t21) = 1; - goto LAB7; - -LAB8: t27 = (t0 + 2568); - t28 = (t27 + 56U); - t29 = *((char **)t28); - memset(t26, 0, 8); - t30 = (t29 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t29); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB14; - -LAB12: if (*((unsigned int *)t30) == 0) - goto LAB11; - -LAB13: t36 = (t26 + 4); - *((unsigned int *)t26) = 1; - *((unsigned int *)t36) = 1; - -LAB14: t37 = (t26 + 4); - t38 = (t29 + 4); - t39 = *((unsigned int *)t29); - t40 = (~(t39)); - *((unsigned int *)t26) = t40; - *((unsigned int *)t37) = 0; - if (*((unsigned int *)t38) != 0) - goto LAB16; - -LAB15: t45 = *((unsigned int *)t26); - *((unsigned int *)t26) = (t45 & 1U); - t46 = *((unsigned int *)t37); - *((unsigned int *)t37) = (t46 & 1U); - memset(t47, 0, 8); - t48 = (t26 + 4); - t49 = *((unsigned int *)t48); - t50 = (~(t49)); - t51 = *((unsigned int *)t26); - t52 = (t51 & t50); - t53 = (t52 & 1U); - if (t53 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t48) != 0) - goto LAB19; - -LAB20: t56 = *((unsigned int *)t14); - t57 = *((unsigned int *)t47); - t58 = (t56 & t57); - *((unsigned int *)t55) = t58; - t59 = (t14 + 4); - t60 = (t47 + 4); - t61 = (t55 + 4); - t62 = *((unsigned int *)t59); - t63 = *((unsigned int *)t60); - t64 = (t62 | t63); - *((unsigned int *)t61) = t64; - t65 = *((unsigned int *)t61); - t66 = (t65 != 0); - if (t66 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB10; - -LAB11: *((unsigned int *)t26) = 1; - goto LAB14; - -LAB16: t41 = *((unsigned int *)t26); - t42 = *((unsigned int *)t38); - *((unsigned int *)t26) = (t41 | t42); - t43 = *((unsigned int *)t37); - t44 = *((unsigned int *)t38); - *((unsigned int *)t37) = (t43 | t44); - goto LAB15; - -LAB17: *((unsigned int *)t47) = 1; - goto LAB20; - -LAB19: t54 = (t47 + 4); - *((unsigned int *)t47) = 1; - *((unsigned int *)t54) = 1; - goto LAB20; - -LAB21: t67 = *((unsigned int *)t55); - t68 = *((unsigned int *)t61); - *((unsigned int *)t55) = (t67 | t68); - t69 = (t14 + 4); - t70 = (t47 + 4); - t71 = *((unsigned int *)t14); - t72 = (~(t71)); - t73 = *((unsigned int *)t69); - t74 = (~(t73)); - t75 = *((unsigned int *)t47); - t76 = (~(t75)); - t77 = *((unsigned int *)t70); - t78 = (~(t77)); - t79 = (t72 & t74); - t80 = (t76 & t78); - t81 = (~(t79)); - t82 = (~(t80)); - t83 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t83 & t81); - t84 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t84 & t82); - t85 = *((unsigned int *)t55); - *((unsigned int *)t55) = (t85 & t81); - t86 = *((unsigned int *)t55); - *((unsigned int *)t55) = (t86 & t82); - goto LAB23; - -} - -static void Always_14_2(char *t0) -{ - char t7[8]; - char t16[8]; - char t31[8]; - char t40[8]; - char t48[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - char *t30; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - char *t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t53; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - int t72; - int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - char *t87; - -LAB0: t1 = (t0 + 3984U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(14, ng0); - t2 = (t0 + 4584); - *((int *)t2) = 1; - t3 = (t0 + 4016); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(14, ng0); - -LAB5: xsi_set_current_line(15, ng0); - t4 = (t0 + 2408); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memset(t7, 0, 8); - t8 = (t7 + 4); - t9 = (t6 + 4); - t10 = *((unsigned int *)t6); - t11 = (t10 >> 8); - t12 = (t11 & 1); - *((unsigned int *)t7) = t12; - t13 = *((unsigned int *)t9); - t14 = (t13 >> 8); - t15 = (t14 & 1); - *((unsigned int *)t8) = t15; - memset(t16, 0, 8); - t17 = (t7 + 4); - t18 = *((unsigned int *)t17); - t19 = (~(t18)); - t20 = *((unsigned int *)t7); - t21 = (t20 & t19); - t22 = (t21 & 1U); - if (t22 != 0) - goto LAB6; - -LAB7: if (*((unsigned int *)t17) != 0) - goto LAB8; - -LAB9: t24 = (t16 + 4); - t25 = *((unsigned int *)t16); - t26 = *((unsigned int *)t24); - t27 = (t25 || t26); - if (t27 > 0) - goto LAB10; - -LAB11: memcpy(t48, t16, 8); - -LAB12: t80 = (t48 + 4); - t81 = *((unsigned int *)t80); - t82 = (~(t81)); - t83 = *((unsigned int *)t48); - t84 = (t83 & t82); - t85 = (t84 != 0); - if (t85 > 0) - goto LAB20; - -LAB21: xsi_set_current_line(18, ng0); - -LAB24: xsi_set_current_line(19, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t7, 0, 8); - xsi_vlog_unsigned_add(t7, 32, t4, 9, t5, 32); - t6 = (t0 + 2408); - xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 9, 0LL); - xsi_set_current_line(20, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t10 = *((unsigned int *)t2); - t11 = (~(t10)); - t12 = *((unsigned int *)t3); - t13 = (t12 & t11); - t14 = (t13 != 0); - if (t14 > 0) - goto LAB25; - -LAB26: -LAB27: -LAB22: goto LAB2; - -LAB6: *((unsigned int *)t16) = 1; - goto LAB9; - -LAB8: t23 = (t16 + 4); - *((unsigned int *)t16) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: t28 = (t0 + 2408); - t29 = (t28 + 56U); - t30 = *((char **)t29); - memset(t31, 0, 8); - t32 = (t31 + 4); - t33 = (t30 + 4); - t34 = *((unsigned int *)t30); - t35 = (t34 >> 5); - t36 = (t35 & 1); - *((unsigned int *)t31) = t36; - t37 = *((unsigned int *)t33); - t38 = (t37 >> 5); - t39 = (t38 & 1); - *((unsigned int *)t32) = t39; - memset(t40, 0, 8); - t41 = (t31 + 4); - t42 = *((unsigned int *)t41); - t43 = (~(t42)); - t44 = *((unsigned int *)t31); - t45 = (t44 & t43); - t46 = (t45 & 1U); - if (t46 != 0) - goto LAB13; - -LAB14: if (*((unsigned int *)t41) != 0) - goto LAB15; - -LAB16: t49 = *((unsigned int *)t16); - t50 = *((unsigned int *)t40); - t51 = (t49 & t50); - *((unsigned int *)t48) = t51; - t52 = (t16 + 4); - t53 = (t40 + 4); - t54 = (t48 + 4); - t55 = *((unsigned int *)t52); - t56 = *((unsigned int *)t53); - t57 = (t55 | t56); - *((unsigned int *)t54) = t57; - t58 = *((unsigned int *)t54); - t59 = (t58 != 0); - if (t59 == 1) - goto LAB17; - -LAB18: -LAB19: goto LAB12; - -LAB13: *((unsigned int *)t40) = 1; - goto LAB16; - -LAB15: t47 = (t40 + 4); - *((unsigned int *)t40) = 1; - *((unsigned int *)t47) = 1; - goto LAB16; - -LAB17: t60 = *((unsigned int *)t48); - t61 = *((unsigned int *)t54); - *((unsigned int *)t48) = (t60 | t61); - t62 = (t16 + 4); - t63 = (t40 + 4); - t64 = *((unsigned int *)t16); - t65 = (~(t64)); - t66 = *((unsigned int *)t62); - t67 = (~(t66)); - t68 = *((unsigned int *)t40); - t69 = (~(t68)); - t70 = *((unsigned int *)t63); - t71 = (~(t70)); - t72 = (t65 & t67); - t73 = (t69 & t71); - t74 = (~(t72)); - t75 = (~(t73)); - t76 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t76 & t74); - t77 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t77 & t75); - t78 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t78 & t74); - t79 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t79 & t75); - goto LAB19; - -LAB20: xsi_set_current_line(15, ng0); - -LAB23: xsi_set_current_line(16, ng0); - t86 = ((char*)((ng1))); - t87 = (t0 + 2408); - xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 9, 0LL); - xsi_set_current_line(17, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB22; - -LAB25: xsi_set_current_line(20, ng0); - t4 = ((char*)((ng2))); - t5 = (t0 + 2568); - xsi_vlogvar_wait_assign_value(t5, t4, 0, 0, 1, 0LL); - goto LAB27; - -} - -static void Always_25_3(char *t0) -{ - char t4[8]; - char t31[8]; - char t39[8]; - char t46[8]; - char t54[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - char *t37; - char *t38; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t43; - char *t44; - char *t45; - char *t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t53; - unsigned int t55; - unsigned int t56; - unsigned int t57; - char *t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - int t78; - int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - char *t92; - char *t93; - -LAB0: t1 = (t0 + 4232U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(25, ng0); - t2 = (t0 + 4600); - *((int *)t2) = 1; - t3 = (t0 + 4264); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(25, ng0); - -LAB5: xsi_set_current_line(26, ng0); - t5 = (t0 + 1208U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(29, ng0); - -LAB16: xsi_set_current_line(30, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t5 = *((char **)t3); - memset(t4, 0, 8); - t6 = (t4 + 4); - t12 = (t5 + 4); - t7 = *((unsigned int *)t5); - t8 = (t7 >> 0); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t12); - t10 = (t9 >> 0); - *((unsigned int *)t6) = t10; - t11 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t11 & 63U); - t15 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t15 & 63U); - t13 = ((char*)((ng1))); - memset(t31, 0, 8); - t14 = (t4 + 4); - t23 = (t13 + 4); - t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t23); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t24 = *((unsigned int *)t14); - t25 = *((unsigned int *)t23); - t26 = (t24 | t25); - t27 = (~(t26)); - t28 = (t22 & t27); - if (t28 != 0) - goto LAB20; - -LAB17: if (t26 != 0) - goto LAB19; - -LAB18: *((unsigned int *)t31) = 1; - -LAB20: t30 = (t31 + 4); - t32 = *((unsigned int *)t30); - t33 = (~(t32)); - t34 = *((unsigned int *)t31); - t35 = (t34 & t33); - t36 = (t35 != 0); - if (t36 > 0) - goto LAB21; - -LAB22: -LAB23: xsi_set_current_line(31, ng0); - t2 = (t0 + 2408); - t3 = (t2 + 56U); - t5 = *((char **)t3); - memset(t4, 0, 8); - t6 = (t4 + 4); - t12 = (t5 + 4); - t7 = *((unsigned int *)t5); - t8 = (t7 >> 0); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t12); - t10 = (t9 >> 0); - *((unsigned int *)t6) = t10; - t11 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t11 & 63U); - t15 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t15 & 63U); - t13 = ((char*)((ng1))); - memset(t31, 0, 8); - t14 = (t4 + 4); - t23 = (t13 + 4); - t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t23); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t24 = *((unsigned int *)t14); - t25 = *((unsigned int *)t23); - t26 = (t24 | t25); - t27 = (~(t26)); - t28 = (t22 & t27); - if (t28 != 0) - goto LAB27; - -LAB24: if (t26 != 0) - goto LAB26; - -LAB25: *((unsigned int *)t31) = 1; - -LAB27: memset(t39, 0, 8); - t30 = (t31 + 4); - t32 = *((unsigned int *)t30); - t33 = (~(t32)); - t34 = *((unsigned int *)t31); - t35 = (t34 & t33); - t36 = (t35 & 1U); - if (t36 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t30) != 0) - goto LAB30; - -LAB31: t38 = (t39 + 4); - t40 = *((unsigned int *)t39); - t41 = *((unsigned int *)t38); - t42 = (t40 || t41); - if (t42 > 0) - goto LAB32; - -LAB33: memcpy(t54, t39, 8); - -LAB34: t86 = (t54 + 4); - t87 = *((unsigned int *)t86); - t88 = (~(t87)); - t89 = *((unsigned int *)t54); - t90 = (t89 & t88); - t91 = (t90 != 0); - if (t91 > 0) - goto LAB42; - -LAB43: -LAB44: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(26, ng0); - -LAB15: xsi_set_current_line(27, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 2088); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - xsi_set_current_line(28, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB14; - -LAB19: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB20; - -LAB21: xsi_set_current_line(30, ng0); - t37 = ((char*)((ng2))); - t38 = (t0 + 2088); - xsi_vlogvar_wait_assign_value(t38, t37, 0, 0, 1, 0LL); - goto LAB23; - -LAB26: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB27; - -LAB28: *((unsigned int *)t39) = 1; - goto LAB31; - -LAB30: t37 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t37) = 1; - goto LAB31; - -LAB32: t43 = (t0 + 2088); - t44 = (t43 + 56U); - t45 = *((char **)t44); - memset(t46, 0, 8); - t47 = (t45 + 4); - t48 = *((unsigned int *)t47); - t49 = (~(t48)); - t50 = *((unsigned int *)t45); - t51 = (t50 & t49); - t52 = (t51 & 1U); - if (t52 != 0) - goto LAB35; - -LAB36: if (*((unsigned int *)t47) != 0) - goto LAB37; - -LAB38: t55 = *((unsigned int *)t39); - t56 = *((unsigned int *)t46); - t57 = (t55 & t56); - *((unsigned int *)t54) = t57; - t58 = (t39 + 4); - t59 = (t46 + 4); - t60 = (t54 + 4); - t61 = *((unsigned int *)t58); - t62 = *((unsigned int *)t59); - t63 = (t61 | t62); - *((unsigned int *)t60) = t63; - t64 = *((unsigned int *)t60); - t65 = (t64 != 0); - if (t65 == 1) - goto LAB39; - -LAB40: -LAB41: goto LAB34; - -LAB35: *((unsigned int *)t46) = 1; - goto LAB38; - -LAB37: t53 = (t46 + 4); - *((unsigned int *)t46) = 1; - *((unsigned int *)t53) = 1; - goto LAB38; - -LAB39: t66 = *((unsigned int *)t54); - t67 = *((unsigned int *)t60); - *((unsigned int *)t54) = (t66 | t67); - t68 = (t39 + 4); - t69 = (t46 + 4); - t70 = *((unsigned int *)t39); - t71 = (~(t70)); - t72 = *((unsigned int *)t68); - t73 = (~(t72)); - t74 = *((unsigned int *)t46); - t75 = (~(t74)); - t76 = *((unsigned int *)t69); - t77 = (~(t76)); - t78 = (t71 & t73); - t79 = (t75 & t77); - t80 = (~(t78)); - t81 = (~(t79)); - t82 = *((unsigned int *)t60); - *((unsigned int *)t60) = (t82 & t80); - t83 = *((unsigned int *)t60); - *((unsigned int *)t60) = (t83 & t81); - t84 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t84 & t80); - t85 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t85 & t81); - goto LAB41; - -LAB42: xsi_set_current_line(31, ng0); - t92 = ((char*)((ng2))); - t93 = (t0 + 2248); - xsi_vlogvar_wait_assign_value(t93, t92, 0, 0, 1, 0LL); - goto LAB44; - -} - - -extern void work_m_00000000002982276307_1919318694_init() -{ - static char *pe[] = {(void *)Cont_12_0,(void *)Cont_13_1,(void *)Always_14_2,(void *)Always_25_3}; - xsi_register_didat("work_m_00000000002982276307_1919318694", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat deleted file mode 100644 index 657d662..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj deleted file mode 100644 index 54118e7..0000000 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index abf976d..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 16ab22d..0000000 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_cs_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 57182 - -Sun Oct 24 02:37:51 2021 - - - Elaboration Time: 0.015625 sec - - Current Memory Usage: 5144.73 Meg - - Total Signals : 31 - Total Nets : 41 - Total Signal Drivers : 18 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 22 - Total Traceable Variables : 25 - Total Scalar Nets and Variables : 143 - - Total Simulation Time: 0.078125 sec - - Current Memory Usage: 4987.44 Meg - -Sun Oct 24 02:39:22 2021 - diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 0d38074..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index f021492..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c deleted file mode 100644 index 2c2da77..0000000 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c +++ /dev/null @@ -1,360 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; -static unsigned int ng3[] = {0U, 0U}; -static unsigned int ng4[] = {20480U, 0U}; -static unsigned int ng5[] = {24576U, 0U}; -static unsigned int ng6[] = {32512U, 0U}; -static unsigned int ng7[] = {32673U, 0U}; -static unsigned int ng8[] = {32674U, 0U}; -static unsigned int ng9[] = {16384U, 0U}; -static unsigned int ng10[] = {16289U, 0U}; -static unsigned int ng11[] = {16290U, 0U}; - - - -static void Initial_55_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 3648U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(55, ng0); - -LAB4: xsi_set_current_line(56, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(58, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(59, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(60, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(61, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(63, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(64, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(64, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(65, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(65, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(67, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(67, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(68, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(68, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(70, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(70, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(71, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(71, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(72, ng0); - t2 = ((char*)((ng5))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(72, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(73, ng0); - t2 = ((char*)((ng6))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(73, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(74, ng0); - t2 = ((char*)((ng7))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(74, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(75, ng0); - t2 = ((char*)((ng8))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(75, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(76, ng0); - t2 = ((char*)((ng9))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(76, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 25000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(89, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(90, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng5))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(91, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(92, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng10))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(93, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng11))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(94, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng9))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); - xsi_set_current_line(95, ng0); - t2 = (t0 + 3456); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: goto LAB1; - -} - - -extern void work_m_00000000002201886890_2332283379_init() -{ - static char *pe[] = {(void *)Initial_55_0}; - xsi_register_didat("work_m_00000000002201886890_2332283379", "isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat deleted file mode 100644 index 433454d..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.nt64.obj deleted file mode 100644 index 1b992df..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c deleted file mode 100644 index 92d2da0..0000000 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c +++ /dev/null @@ -1,5992 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v"; -static unsigned int ng1[] = {4U, 0U}; -static int ng2[] = {0, 0}; -static int ng3[] = {1, 0}; -static unsigned int ng4[] = {0U, 0U}; -static unsigned int ng5[] = {3U, 0U}; -static unsigned int ng6[] = {15U, 0U}; -static unsigned int ng7[] = {14U, 0U}; -static unsigned int ng8[] = {10U, 0U}; -static unsigned int ng9[] = {2U, 0U}; -static unsigned int ng10[] = {5U, 0U}; -static unsigned int ng11[] = {8U, 0U}; -static unsigned int ng12[] = {9U, 0U}; -static unsigned int ng13[] = {11U, 0U}; -static unsigned int ng14[] = {12U, 0U}; -static unsigned int ng15[] = {13U, 0U}; - - - -static void NetDecl_12_0(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - unsigned int t28; - unsigned int t29; - char *t30; - unsigned int t31; - unsigned int t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - -LAB0: t1 = (t0 + 4448U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(12, ng0); - t2 = (t0 + 3528); - t4 = (t2 + 56U); - t5 = *((char **)t4); - memset(t3, 0, 8); - t6 = (t5 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t5); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t6) == 0) - goto LAB4; - -LAB6: t12 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t12) = 1; - -LAB7: t13 = (t3 + 4); - t14 = (t5 + 4); - t15 = *((unsigned int *)t5); - t16 = (~(t15)); - *((unsigned int *)t3) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB9; - -LAB8: t21 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 7224); - t24 = (t23 + 56U); - t25 = *((char **)t24); - t26 = (t25 + 56U); - t27 = *((char **)t26); - memset(t27, 0, 8); - t28 = 1U; - t29 = t28; - t30 = (t3 + 4); - t31 = *((unsigned int *)t3); - t28 = (t28 & t31); - t32 = *((unsigned int *)t30); - t29 = (t29 & t32); - t33 = (t27 + 4); - t34 = *((unsigned int *)t27); - *((unsigned int *)t27) = (t34 | t28); - t35 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t35 | t29); - xsi_driver_vfirst_trans(t23, 0, 0U); - t36 = (t0 + 7000); - *((int *)t36) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t17 = *((unsigned int *)t3); - t18 = *((unsigned int *)t14); - *((unsigned int *)t3) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB8; - -} - -static void NetDecl_13_1(char *t0) -{ - char t3[8]; - char t13[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - char *t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - unsigned int t37; - unsigned int t38; - char *t39; - unsigned int t40; - unsigned int t41; - char *t42; - -LAB0: t1 = (t0 + 4696U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(13, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng1))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: t29 = (t0 + 7288); - t30 = (t29 + 56U); - t31 = *((char **)t30); - t32 = (t31 + 56U); - t33 = *((char **)t32); - memset(t33, 0, 8); - t34 = 1U; - t35 = t34; - t36 = (t13 + 4); - t37 = *((unsigned int *)t13); - t34 = (t34 & t37); - t38 = *((unsigned int *)t36); - t35 = (t35 & t38); - t39 = (t33 + 4); - t40 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t40 | t34); - t41 = *((unsigned int *)t39); - *((unsigned int *)t39) = (t41 | t35); - xsi_driver_vfirst_trans(t29, 0, 0U); - t42 = (t0 + 7016); - *((int *)t42) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -} - -static void Always_14_2(char *t0) -{ - char t4[8]; - char t31[8]; - char t32[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - int t48; - int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - -LAB0: t1 = (t0 + 4944U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(14, ng0); - t2 = (t0 + 7032); - *((int *)t2) = 1; - t3 = (t0 + 4976); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(14, ng0); - -LAB5: xsi_set_current_line(15, ng0); - t5 = (t0 + 1368U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(16, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB15; - -LAB16: if (*((unsigned int *)t2) != 0) - goto LAB17; - -LAB18: t6 = (t4 + 4); - t15 = *((unsigned int *)t4); - t16 = *((unsigned int *)t6); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB19; - -LAB20: memcpy(t32, t4, 8); - -LAB21: t56 = (t32 + 4); - t57 = *((unsigned int *)t56); - t58 = (~(t57)); - t59 = *((unsigned int *)t32); - t60 = (t59 & t58); - t61 = (t60 != 0); - if (t61 > 0) - goto LAB29; - -LAB30: -LAB31: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(15, ng0); - t29 = ((char*)((ng2))); - t30 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - goto LAB14; - -LAB15: *((unsigned int *)t4) = 1; - goto LAB18; - -LAB17: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - goto LAB18; - -LAB19: t12 = (t0 + 2808U); - t13 = *((char **)t12); - memset(t31, 0, 8); - t12 = (t13 + 4); - t18 = *((unsigned int *)t12); - t19 = (~(t18)); - t20 = *((unsigned int *)t13); - t21 = (t20 & t19); - t22 = (t21 & 1U); - if (t22 != 0) - goto LAB22; - -LAB23: if (*((unsigned int *)t12) != 0) - goto LAB24; - -LAB25: t24 = *((unsigned int *)t4); - t25 = *((unsigned int *)t31); - t26 = (t24 & t25); - *((unsigned int *)t32) = t26; - t23 = (t4 + 4); - t29 = (t31 + 4); - t30 = (t32 + 4); - t27 = *((unsigned int *)t23); - t28 = *((unsigned int *)t29); - t33 = (t27 | t28); - *((unsigned int *)t30) = t33; - t34 = *((unsigned int *)t30); - t35 = (t34 != 0); - if (t35 == 1) - goto LAB26; - -LAB27: -LAB28: goto LAB21; - -LAB22: *((unsigned int *)t31) = 1; - goto LAB25; - -LAB24: t14 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t14) = 1; - goto LAB25; - -LAB26: t36 = *((unsigned int *)t32); - t37 = *((unsigned int *)t30); - *((unsigned int *)t32) = (t36 | t37); - t38 = (t4 + 4); - t39 = (t31 + 4); - t40 = *((unsigned int *)t4); - t41 = (~(t40)); - t42 = *((unsigned int *)t38); - t43 = (~(t42)); - t44 = *((unsigned int *)t31); - t45 = (~(t44)); - t46 = *((unsigned int *)t39); - t47 = (~(t46)); - t48 = (t41 & t43); - t49 = (t45 & t47); - t50 = (~(t48)); - t51 = (~(t49)); - t52 = *((unsigned int *)t30); - *((unsigned int *)t30) = (t52 & t50); - t53 = *((unsigned int *)t30); - *((unsigned int *)t30) = (t53 & t51); - t54 = *((unsigned int *)t32); - *((unsigned int *)t32) = (t54 & t50); - t55 = *((unsigned int *)t32); - *((unsigned int *)t32) = (t55 & t51); - goto LAB28; - -LAB29: xsi_set_current_line(16, ng0); - t62 = ((char*)((ng3))); - t63 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t63, t62, 0, 0, 1, 0LL); - goto LAB31; - -} - -static void Always_18_3(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - char *t31; - char *t32; - -LAB0: t1 = (t0 + 5192U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(18, ng0); - t2 = (t0 + 7048); - *((int *)t2) = 1; - t3 = (t0 + 5224); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(18, ng0); - -LAB5: xsi_set_current_line(19, ng0); - t5 = (t0 + 1688U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(19, ng0); - t29 = (t0 + 3368); - t30 = (t29 + 56U); - t31 = *((char **)t30); - t32 = (t0 + 3528); - xsi_vlogvar_wait_assign_value(t32, t31, 0, 0, 1, 0LL); - goto LAB14; - -} - -static void Cont_23_4(char *t0) -{ - char t3[8]; - char t13[8]; - char t29[8]; - char t41[8]; - char t60[8]; - char t68[8]; - char t100[8]; - char t113[8]; - char t124[8]; - char t140[8]; - char t154[8]; - char t161[8]; - char t193[8]; - char t201[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t69; - unsigned int t70; - unsigned int t71; - char *t72; - char *t73; - char *t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - int t92; - int t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t107; - char *t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - char *t114; - char *t115; - char *t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - char *t123; - char *t125; - char *t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - char *t139; - char *t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - char *t147; - char *t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - char *t152; - char *t153; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - char *t160; - unsigned int t162; - unsigned int t163; - unsigned int t164; - char *t165; - char *t166; - char *t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - char *t175; - char *t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - int t185; - int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - char *t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - char *t200; - unsigned int t202; - unsigned int t203; - unsigned int t204; - char *t205; - char *t206; - char *t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - char *t215; - char *t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - char *t229; - char *t230; - char *t231; - char *t232; - char *t233; - unsigned int t234; - unsigned int t235; - char *t236; - unsigned int t237; - unsigned int t238; - char *t239; - unsigned int t240; - unsigned int t241; - char *t242; - -LAB0: t1 = (t0 + 5440U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(23, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 14); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 14); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 3U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 3U); - t12 = ((char*)((ng4))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: memset(t29, 0, 8); - t30 = (t13 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t13); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB8; - -LAB9: if (*((unsigned int *)t30) != 0) - goto LAB10; - -LAB11: t37 = (t29 + 4); - t38 = *((unsigned int *)t29); - t39 = *((unsigned int *)t37); - t40 = (t38 || t39); - if (t40 > 0) - goto LAB12; - -LAB13: memcpy(t68, t29, 8); - -LAB14: memset(t100, 0, 8); - t101 = (t68 + 4); - t102 = *((unsigned int *)t101); - t103 = (~(t102)); - t104 = *((unsigned int *)t68); - t105 = (t104 & t103); - t106 = (t105 & 1U); - if (t106 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t101) != 0) - goto LAB30; - -LAB31: t108 = (t100 + 4); - t109 = *((unsigned int *)t100); - t110 = (!(t109)); - t111 = *((unsigned int *)t108); - t112 = (t110 || t111); - if (t112 > 0) - goto LAB32; - -LAB33: memcpy(t201, t100, 8); - -LAB34: t229 = (t0 + 7352); - t230 = (t229 + 56U); - t231 = *((char **)t230); - t232 = (t231 + 56U); - t233 = *((char **)t232); - memset(t233, 0, 8); - t234 = 1U; - t235 = t234; - t236 = (t201 + 4); - t237 = *((unsigned int *)t201); - t234 = (t234 & t237); - t238 = *((unsigned int *)t236); - t235 = (t235 & t238); - t239 = (t233 + 4); - t240 = *((unsigned int *)t233); - *((unsigned int *)t233) = (t240 | t234); - t241 = *((unsigned int *)t239); - *((unsigned int *)t239) = (t241 | t235); - xsi_driver_vfirst_trans(t229, 0, 0); - t242 = (t0 + 7064); - *((int *)t242) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -LAB8: *((unsigned int *)t29) = 1; - goto LAB11; - -LAB10: t36 = (t29 + 4); - *((unsigned int *)t29) = 1; - *((unsigned int *)t36) = 1; - goto LAB11; - -LAB12: t42 = (t0 + 2648U); - t43 = *((char **)t42); - memset(t41, 0, 8); - t42 = (t43 + 4); - t44 = *((unsigned int *)t42); - t45 = (~(t44)); - t46 = *((unsigned int *)t43); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB18; - -LAB16: if (*((unsigned int *)t42) == 0) - goto LAB15; - -LAB17: t49 = (t41 + 4); - *((unsigned int *)t41) = 1; - *((unsigned int *)t49) = 1; - -LAB18: t50 = (t41 + 4); - t51 = (t43 + 4); - t52 = *((unsigned int *)t43); - t53 = (~(t52)); - *((unsigned int *)t41) = t53; - *((unsigned int *)t50) = 0; - if (*((unsigned int *)t51) != 0) - goto LAB20; - -LAB19: t58 = *((unsigned int *)t41); - *((unsigned int *)t41) = (t58 & 1U); - t59 = *((unsigned int *)t50); - *((unsigned int *)t50) = (t59 & 1U); - memset(t60, 0, 8); - t61 = (t41 + 4); - t62 = *((unsigned int *)t61); - t63 = (~(t62)); - t64 = *((unsigned int *)t41); - t65 = (t64 & t63); - t66 = (t65 & 1U); - if (t66 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t61) != 0) - goto LAB23; - -LAB24: t69 = *((unsigned int *)t29); - t70 = *((unsigned int *)t60); - t71 = (t69 & t70); - *((unsigned int *)t68) = t71; - t72 = (t29 + 4); - t73 = (t60 + 4); - t74 = (t68 + 4); - t75 = *((unsigned int *)t72); - t76 = *((unsigned int *)t73); - t77 = (t75 | t76); - *((unsigned int *)t74) = t77; - t78 = *((unsigned int *)t74); - t79 = (t78 != 0); - if (t79 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB14; - -LAB15: *((unsigned int *)t41) = 1; - goto LAB18; - -LAB20: t54 = *((unsigned int *)t41); - t55 = *((unsigned int *)t51); - *((unsigned int *)t41) = (t54 | t55); - t56 = *((unsigned int *)t50); - t57 = *((unsigned int *)t51); - *((unsigned int *)t50) = (t56 | t57); - goto LAB19; - -LAB21: *((unsigned int *)t60) = 1; - goto LAB24; - -LAB23: t67 = (t60 + 4); - *((unsigned int *)t60) = 1; - *((unsigned int *)t67) = 1; - goto LAB24; - -LAB25: t80 = *((unsigned int *)t68); - t81 = *((unsigned int *)t74); - *((unsigned int *)t68) = (t80 | t81); - t82 = (t29 + 4); - t83 = (t60 + 4); - t84 = *((unsigned int *)t29); - t85 = (~(t84)); - t86 = *((unsigned int *)t82); - t87 = (~(t86)); - t88 = *((unsigned int *)t60); - t89 = (~(t88)); - t90 = *((unsigned int *)t83); - t91 = (~(t90)); - t92 = (t85 & t87); - t93 = (t89 & t91); - t94 = (~(t92)); - t95 = (~(t93)); - t96 = *((unsigned int *)t74); - *((unsigned int *)t74) = (t96 & t94); - t97 = *((unsigned int *)t74); - *((unsigned int *)t74) = (t97 & t95); - t98 = *((unsigned int *)t68); - *((unsigned int *)t68) = (t98 & t94); - t99 = *((unsigned int *)t68); - *((unsigned int *)t68) = (t99 & t95); - goto LAB27; - -LAB28: *((unsigned int *)t100) = 1; - goto LAB31; - -LAB30: t107 = (t100 + 4); - *((unsigned int *)t100) = 1; - *((unsigned int *)t107) = 1; - goto LAB31; - -LAB32: t114 = (t0 + 1048U); - t115 = *((char **)t114); - memset(t113, 0, 8); - t114 = (t113 + 4); - t116 = (t115 + 4); - t117 = *((unsigned int *)t115); - t118 = (t117 >> 13); - *((unsigned int *)t113) = t118; - t119 = *((unsigned int *)t116); - t120 = (t119 >> 13); - *((unsigned int *)t114) = t120; - t121 = *((unsigned int *)t113); - *((unsigned int *)t113) = (t121 & 7U); - t122 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t122 & 7U); - t123 = ((char*)((ng5))); - memset(t124, 0, 8); - t125 = (t113 + 4); - t126 = (t123 + 4); - t127 = *((unsigned int *)t113); - t128 = *((unsigned int *)t123); - t129 = (t127 ^ t128); - t130 = *((unsigned int *)t125); - t131 = *((unsigned int *)t126); - t132 = (t130 ^ t131); - t133 = (t129 | t132); - t134 = *((unsigned int *)t125); - t135 = *((unsigned int *)t126); - t136 = (t134 | t135); - t137 = (~(t136)); - t138 = (t133 & t137); - if (t138 != 0) - goto LAB38; - -LAB35: if (t136 != 0) - goto LAB37; - -LAB36: *((unsigned int *)t124) = 1; - -LAB38: memset(t140, 0, 8); - t141 = (t124 + 4); - t142 = *((unsigned int *)t141); - t143 = (~(t142)); - t144 = *((unsigned int *)t124); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t141) != 0) - goto LAB41; - -LAB42: t148 = (t140 + 4); - t149 = *((unsigned int *)t140); - t150 = *((unsigned int *)t148); - t151 = (t149 || t150); - if (t151 > 0) - goto LAB43; - -LAB44: memcpy(t161, t140, 8); - -LAB45: memset(t193, 0, 8); - t194 = (t161 + 4); - t195 = *((unsigned int *)t194); - t196 = (~(t195)); - t197 = *((unsigned int *)t161); - t198 = (t197 & t196); - t199 = (t198 & 1U); - if (t199 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t194) != 0) - goto LAB55; - -LAB56: t202 = *((unsigned int *)t100); - t203 = *((unsigned int *)t193); - t204 = (t202 | t203); - *((unsigned int *)t201) = t204; - t205 = (t100 + 4); - t206 = (t193 + 4); - t207 = (t201 + 4); - t208 = *((unsigned int *)t205); - t209 = *((unsigned int *)t206); - t210 = (t208 | t209); - *((unsigned int *)t207) = t210; - t211 = *((unsigned int *)t207); - t212 = (t211 != 0); - if (t212 == 1) - goto LAB57; - -LAB58: -LAB59: goto LAB34; - -LAB37: t139 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t139) = 1; - goto LAB38; - -LAB39: *((unsigned int *)t140) = 1; - goto LAB42; - -LAB41: t147 = (t140 + 4); - *((unsigned int *)t140) = 1; - *((unsigned int *)t147) = 1; - goto LAB42; - -LAB43: t152 = (t0 + 2648U); - t153 = *((char **)t152); - memset(t154, 0, 8); - t152 = (t153 + 4); - t155 = *((unsigned int *)t152); - t156 = (~(t155)); - t157 = *((unsigned int *)t153); - t158 = (t157 & t156); - t159 = (t158 & 1U); - if (t159 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t152) != 0) - goto LAB48; - -LAB49: t162 = *((unsigned int *)t140); - t163 = *((unsigned int *)t154); - t164 = (t162 & t163); - *((unsigned int *)t161) = t164; - t165 = (t140 + 4); - t166 = (t154 + 4); - t167 = (t161 + 4); - t168 = *((unsigned int *)t165); - t169 = *((unsigned int *)t166); - t170 = (t168 | t169); - *((unsigned int *)t167) = t170; - t171 = *((unsigned int *)t167); - t172 = (t171 != 0); - if (t172 == 1) - goto LAB50; - -LAB51: -LAB52: goto LAB45; - -LAB46: *((unsigned int *)t154) = 1; - goto LAB49; - -LAB48: t160 = (t154 + 4); - *((unsigned int *)t154) = 1; - *((unsigned int *)t160) = 1; - goto LAB49; - -LAB50: t173 = *((unsigned int *)t161); - t174 = *((unsigned int *)t167); - *((unsigned int *)t161) = (t173 | t174); - t175 = (t140 + 4); - t176 = (t154 + 4); - t177 = *((unsigned int *)t140); - t178 = (~(t177)); - t179 = *((unsigned int *)t175); - t180 = (~(t179)); - t181 = *((unsigned int *)t154); - t182 = (~(t181)); - t183 = *((unsigned int *)t176); - t184 = (~(t183)); - t185 = (t178 & t180); - t186 = (t182 & t184); - t187 = (~(t185)); - t188 = (~(t186)); - t189 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t189 & t187); - t190 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t190 & t188); - t191 = *((unsigned int *)t161); - *((unsigned int *)t161) = (t191 & t187); - t192 = *((unsigned int *)t161); - *((unsigned int *)t161) = (t192 & t188); - goto LAB52; - -LAB53: *((unsigned int *)t193) = 1; - goto LAB56; - -LAB55: t200 = (t193 + 4); - *((unsigned int *)t193) = 1; - *((unsigned int *)t200) = 1; - goto LAB56; - -LAB57: t213 = *((unsigned int *)t201); - t214 = *((unsigned int *)t207); - *((unsigned int *)t201) = (t213 | t214); - t215 = (t100 + 4); - t216 = (t193 + 4); - t217 = *((unsigned int *)t215); - t218 = (~(t217)); - t219 = *((unsigned int *)t100); - t220 = (t219 & t218); - t221 = *((unsigned int *)t216); - t222 = (~(t221)); - t223 = *((unsigned int *)t193); - t224 = (t223 & t222); - t225 = (~(t220)); - t226 = (~(t224)); - t227 = *((unsigned int *)t207); - *((unsigned int *)t207) = (t227 & t225); - t228 = *((unsigned int *)t207); - *((unsigned int *)t207) = (t228 & t226); - goto LAB59; - -} - -static void NetDecl_25_5(char *t0) -{ - char t4[8]; - char t15[8]; - char t26[8]; - char t42[8]; - char t50[8]; - char t82[8]; - char t94[8]; - char t105[8]; - char t121[8]; - char t129[8]; - char t161[8]; - char t173[8]; - char t192[8]; - char t200[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - char *t16; - char *t17; - char *t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - char *t27; - char *t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t54; - char *t55; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - int t74; - int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - char *t89; - char *t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - char *t96; - char *t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t130; - unsigned int t131; - unsigned int t132; - char *t133; - char *t134; - char *t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - int t153; - int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - char *t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - unsigned int t167; - char *t168; - char *t169; - unsigned int t170; - unsigned int t171; - unsigned int t172; - char *t174; - char *t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - char *t181; - char *t182; - char *t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - char *t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - char *t199; - unsigned int t201; - unsigned int t202; - unsigned int t203; - char *t204; - char *t205; - char *t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - char *t214; - char *t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - int t224; - int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - char *t232; - char *t233; - char *t234; - char *t235; - char *t236; - unsigned int t237; - unsigned int t238; - char *t239; - unsigned int t240; - unsigned int t241; - char *t242; - unsigned int t243; - unsigned int t244; - char *t245; - -LAB0: t1 = (t0 + 5688U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(25, ng0); - t2 = (t0 + 2328U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = *((unsigned int *)t11); - t14 = (t12 || t13); - if (t14 > 0) - goto LAB8; - -LAB9: memcpy(t50, t4, 8); - -LAB10: memset(t82, 0, 8); - t83 = (t50 + 4); - t84 = *((unsigned int *)t83); - t85 = (~(t84)); - t86 = *((unsigned int *)t50); - t87 = (t86 & t85); - t88 = (t87 & 1U); - if (t88 != 0) - goto LAB22; - -LAB23: if (*((unsigned int *)t83) != 0) - goto LAB24; - -LAB25: t90 = (t82 + 4); - t91 = *((unsigned int *)t82); - t92 = *((unsigned int *)t90); - t93 = (t91 || t92); - if (t93 > 0) - goto LAB26; - -LAB27: memcpy(t129, t82, 8); - -LAB28: memset(t161, 0, 8); - t162 = (t129 + 4); - t163 = *((unsigned int *)t162); - t164 = (~(t163)); - t165 = *((unsigned int *)t129); - t166 = (t165 & t164); - t167 = (t166 & 1U); - if (t167 != 0) - goto LAB40; - -LAB41: if (*((unsigned int *)t162) != 0) - goto LAB42; - -LAB43: t169 = (t161 + 4); - t170 = *((unsigned int *)t161); - t171 = *((unsigned int *)t169); - t172 = (t170 || t171); - if (t172 > 0) - goto LAB44; - -LAB45: memcpy(t200, t161, 8); - -LAB46: t232 = (t0 + 7416); - t233 = (t232 + 56U); - t234 = *((char **)t233); - t235 = (t234 + 56U); - t236 = *((char **)t235); - memset(t236, 0, 8); - t237 = 1U; - t238 = t237; - t239 = (t200 + 4); - t240 = *((unsigned int *)t200); - t237 = (t237 & t240); - t241 = *((unsigned int *)t239); - t238 = (t238 & t241); - t242 = (t236 + 4); - t243 = *((unsigned int *)t236); - *((unsigned int *)t236) = (t243 | t237); - t244 = *((unsigned int *)t242); - *((unsigned int *)t242) = (t244 | t238); - xsi_driver_vfirst_trans(t232, 0, 0U); - t245 = (t0 + 7080); - *((int *)t245) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 1048U); - t17 = *((char **)t16); - memset(t15, 0, 8); - t16 = (t15 + 4); - t18 = (t17 + 4); - t19 = *((unsigned int *)t17); - t20 = (t19 >> 12); - *((unsigned int *)t15) = t20; - t21 = *((unsigned int *)t18); - t22 = (t21 >> 12); - *((unsigned int *)t16) = t22; - t23 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t23 & 3U); - t24 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t24 & 3U); - t25 = ((char*)((ng5))); - memset(t26, 0, 8); - t27 = (t15 + 4); - t28 = (t25 + 4); - t29 = *((unsigned int *)t15); - t30 = *((unsigned int *)t25); - t31 = (t29 ^ t30); - t32 = *((unsigned int *)t27); - t33 = *((unsigned int *)t28); - t34 = (t32 ^ t33); - t35 = (t31 | t34); - t36 = *((unsigned int *)t27); - t37 = *((unsigned int *)t28); - t38 = (t36 | t37); - t39 = (~(t38)); - t40 = (t35 & t39); - if (t40 != 0) - goto LAB14; - -LAB11: if (t38 != 0) - goto LAB13; - -LAB12: *((unsigned int *)t26) = 1; - -LAB14: memset(t42, 0, 8); - t43 = (t26 + 4); - t44 = *((unsigned int *)t43); - t45 = (~(t44)); - t46 = *((unsigned int *)t26); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB15; - -LAB16: if (*((unsigned int *)t43) != 0) - goto LAB17; - -LAB18: t51 = *((unsigned int *)t4); - t52 = *((unsigned int *)t42); - t53 = (t51 & t52); - *((unsigned int *)t50) = t53; - t54 = (t4 + 4); - t55 = (t42 + 4); - t56 = (t50 + 4); - t57 = *((unsigned int *)t54); - t58 = *((unsigned int *)t55); - t59 = (t57 | t58); - *((unsigned int *)t56) = t59; - t60 = *((unsigned int *)t56); - t61 = (t60 != 0); - if (t61 == 1) - goto LAB19; - -LAB20: -LAB21: goto LAB10; - -LAB13: t41 = (t26 + 4); - *((unsigned int *)t26) = 1; - *((unsigned int *)t41) = 1; - goto LAB14; - -LAB15: *((unsigned int *)t42) = 1; - goto LAB18; - -LAB17: t49 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t49) = 1; - goto LAB18; - -LAB19: t62 = *((unsigned int *)t50); - t63 = *((unsigned int *)t56); - *((unsigned int *)t50) = (t62 | t63); - t64 = (t4 + 4); - t65 = (t42 + 4); - t66 = *((unsigned int *)t4); - t67 = (~(t66)); - t68 = *((unsigned int *)t64); - t69 = (~(t68)); - t70 = *((unsigned int *)t42); - t71 = (~(t70)); - t72 = *((unsigned int *)t65); - t73 = (~(t72)); - t74 = (t67 & t69); - t75 = (t71 & t73); - t76 = (~(t74)); - t77 = (~(t75)); - t78 = *((unsigned int *)t56); - *((unsigned int *)t56) = (t78 & t76); - t79 = *((unsigned int *)t56); - *((unsigned int *)t56) = (t79 & t77); - t80 = *((unsigned int *)t50); - *((unsigned int *)t50) = (t80 & t76); - t81 = *((unsigned int *)t50); - *((unsigned int *)t50) = (t81 & t77); - goto LAB21; - -LAB22: *((unsigned int *)t82) = 1; - goto LAB25; - -LAB24: t89 = (t82 + 4); - *((unsigned int *)t82) = 1; - *((unsigned int *)t89) = 1; - goto LAB25; - -LAB26: t95 = (t0 + 1048U); - t96 = *((char **)t95); - memset(t94, 0, 8); - t95 = (t94 + 4); - t97 = (t96 + 4); - t98 = *((unsigned int *)t96); - t99 = (t98 >> 8); - *((unsigned int *)t94) = t99; - t100 = *((unsigned int *)t97); - t101 = (t100 >> 8); - *((unsigned int *)t95) = t101; - t102 = *((unsigned int *)t94); - *((unsigned int *)t94) = (t102 & 15U); - t103 = *((unsigned int *)t95); - *((unsigned int *)t95) = (t103 & 15U); - t104 = ((char*)((ng6))); - memset(t105, 0, 8); - t106 = (t94 + 4); - t107 = (t104 + 4); - t108 = *((unsigned int *)t94); - t109 = *((unsigned int *)t104); - t110 = (t108 ^ t109); - t111 = *((unsigned int *)t106); - t112 = *((unsigned int *)t107); - t113 = (t111 ^ t112); - t114 = (t110 | t113); - t115 = *((unsigned int *)t106); - t116 = *((unsigned int *)t107); - t117 = (t115 | t116); - t118 = (~(t117)); - t119 = (t114 & t118); - if (t119 != 0) - goto LAB32; - -LAB29: if (t117 != 0) - goto LAB31; - -LAB30: *((unsigned int *)t105) = 1; - -LAB32: memset(t121, 0, 8); - t122 = (t105 + 4); - t123 = *((unsigned int *)t122); - t124 = (~(t123)); - t125 = *((unsigned int *)t105); - t126 = (t125 & t124); - t127 = (t126 & 1U); - if (t127 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t122) != 0) - goto LAB35; - -LAB36: t130 = *((unsigned int *)t82); - t131 = *((unsigned int *)t121); - t132 = (t130 & t131); - *((unsigned int *)t129) = t132; - t133 = (t82 + 4); - t134 = (t121 + 4); - t135 = (t129 + 4); - t136 = *((unsigned int *)t133); - t137 = *((unsigned int *)t134); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = *((unsigned int *)t135); - t140 = (t139 != 0); - if (t140 == 1) - goto LAB37; - -LAB38: -LAB39: goto LAB28; - -LAB31: t120 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t120) = 1; - goto LAB32; - -LAB33: *((unsigned int *)t121) = 1; - goto LAB36; - -LAB35: t128 = (t121 + 4); - *((unsigned int *)t121) = 1; - *((unsigned int *)t128) = 1; - goto LAB36; - -LAB37: t141 = *((unsigned int *)t129); - t142 = *((unsigned int *)t135); - *((unsigned int *)t129) = (t141 | t142); - t143 = (t82 + 4); - t144 = (t121 + 4); - t145 = *((unsigned int *)t82); - t146 = (~(t145)); - t147 = *((unsigned int *)t143); - t148 = (~(t147)); - t149 = *((unsigned int *)t121); - t150 = (~(t149)); - t151 = *((unsigned int *)t144); - t152 = (~(t151)); - t153 = (t146 & t148); - t154 = (t150 & t152); - t155 = (~(t153)); - t156 = (~(t154)); - t157 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t157 & t155); - t158 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t158 & t156); - t159 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t159 & t155); - t160 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t160 & t156); - goto LAB39; - -LAB40: *((unsigned int *)t161) = 1; - goto LAB43; - -LAB42: t168 = (t161 + 4); - *((unsigned int *)t161) = 1; - *((unsigned int *)t168) = 1; - goto LAB43; - -LAB44: t174 = (t0 + 1528U); - t175 = *((char **)t174); - memset(t173, 0, 8); - t174 = (t175 + 4); - t176 = *((unsigned int *)t174); - t177 = (~(t176)); - t178 = *((unsigned int *)t175); - t179 = (t178 & t177); - t180 = (t179 & 1U); - if (t180 != 0) - goto LAB50; - -LAB48: if (*((unsigned int *)t174) == 0) - goto LAB47; - -LAB49: t181 = (t173 + 4); - *((unsigned int *)t173) = 1; - *((unsigned int *)t181) = 1; - -LAB50: t182 = (t173 + 4); - t183 = (t175 + 4); - t184 = *((unsigned int *)t175); - t185 = (~(t184)); - *((unsigned int *)t173) = t185; - *((unsigned int *)t182) = 0; - if (*((unsigned int *)t183) != 0) - goto LAB52; - -LAB51: t190 = *((unsigned int *)t173); - *((unsigned int *)t173) = (t190 & 1U); - t191 = *((unsigned int *)t182); - *((unsigned int *)t182) = (t191 & 1U); - memset(t192, 0, 8); - t193 = (t173 + 4); - t194 = *((unsigned int *)t193); - t195 = (~(t194)); - t196 = *((unsigned int *)t173); - t197 = (t196 & t195); - t198 = (t197 & 1U); - if (t198 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t193) != 0) - goto LAB55; - -LAB56: t201 = *((unsigned int *)t161); - t202 = *((unsigned int *)t192); - t203 = (t201 & t202); - *((unsigned int *)t200) = t203; - t204 = (t161 + 4); - t205 = (t192 + 4); - t206 = (t200 + 4); - t207 = *((unsigned int *)t204); - t208 = *((unsigned int *)t205); - t209 = (t207 | t208); - *((unsigned int *)t206) = t209; - t210 = *((unsigned int *)t206); - t211 = (t210 != 0); - if (t211 == 1) - goto LAB57; - -LAB58: -LAB59: goto LAB46; - -LAB47: *((unsigned int *)t173) = 1; - goto LAB50; - -LAB52: t186 = *((unsigned int *)t173); - t187 = *((unsigned int *)t183); - *((unsigned int *)t173) = (t186 | t187); - t188 = *((unsigned int *)t182); - t189 = *((unsigned int *)t183); - *((unsigned int *)t182) = (t188 | t189); - goto LAB51; - -LAB53: *((unsigned int *)t192) = 1; - goto LAB56; - -LAB55: t199 = (t192 + 4); - *((unsigned int *)t192) = 1; - *((unsigned int *)t199) = 1; - goto LAB56; - -LAB57: t212 = *((unsigned int *)t200); - t213 = *((unsigned int *)t206); - *((unsigned int *)t200) = (t212 | t213); - t214 = (t161 + 4); - t215 = (t192 + 4); - t216 = *((unsigned int *)t161); - t217 = (~(t216)); - t218 = *((unsigned int *)t214); - t219 = (~(t218)); - t220 = *((unsigned int *)t192); - t221 = (~(t220)); - t222 = *((unsigned int *)t215); - t223 = (~(t222)); - t224 = (t217 & t219); - t225 = (t221 & t223); - t226 = (~(t224)); - t227 = (~(t225)); - t228 = *((unsigned int *)t206); - *((unsigned int *)t206) = (t228 & t226); - t229 = *((unsigned int *)t206); - *((unsigned int *)t206) = (t229 & t227); - t230 = *((unsigned int *)t200); - *((unsigned int *)t200) = (t230 & t226); - t231 = *((unsigned int *)t200); - *((unsigned int *)t200) = (t231 & t227); - goto LAB59; - -} - -static void Cont_26_6(char *t0) -{ - char t4[8]; - char t15[8]; - char t26[8]; - char t42[8]; - char t54[8]; - char t65[8]; - char t81[8]; - char t94[8]; - char t105[8]; - char t121[8]; - char t129[8]; - char t157[8]; - char t165[8]; - char t197[8]; - char t210[8]; - char t221[8]; - char t237[8]; - char t249[8]; - char t260[8]; - char t276[8]; - char t289[8]; - char t300[8]; - char t316[8]; - char t324[8]; - char t352[8]; - char t360[8]; - char t392[8]; - char t400[8]; - char t428[8]; - char t436[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - char *t16; - char *t17; - char *t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - char *t27; - char *t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t55; - char *t56; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - char *t88; - char *t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - char *t96; - char *t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t130; - unsigned int t131; - unsigned int t132; - char *t133; - char *t134; - char *t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - char *t164; - unsigned int t166; - unsigned int t167; - unsigned int t168; - char *t169; - char *t170; - char *t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - char *t179; - char *t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - int t189; - int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - char *t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - char *t204; - char *t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - char *t211; - char *t212; - char *t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - char *t220; - char *t222; - char *t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - char *t236; - char *t238; - unsigned int t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - char *t244; - char *t245; - unsigned int t246; - unsigned int t247; - unsigned int t248; - char *t250; - char *t251; - char *t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - char *t259; - char *t261; - char *t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - unsigned int t272; - unsigned int t273; - unsigned int t274; - char *t275; - char *t277; - unsigned int t278; - unsigned int t279; - unsigned int t280; - unsigned int t281; - unsigned int t282; - char *t283; - char *t284; - unsigned int t285; - unsigned int t286; - unsigned int t287; - unsigned int t288; - char *t290; - char *t291; - char *t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - unsigned int t296; - unsigned int t297; - unsigned int t298; - char *t299; - char *t301; - char *t302; - unsigned int t303; - unsigned int t304; - unsigned int t305; - unsigned int t306; - unsigned int t307; - unsigned int t308; - unsigned int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - unsigned int t314; - char *t315; - char *t317; - unsigned int t318; - unsigned int t319; - unsigned int t320; - unsigned int t321; - unsigned int t322; - char *t323; - unsigned int t325; - unsigned int t326; - unsigned int t327; - char *t328; - char *t329; - char *t330; - unsigned int t331; - unsigned int t332; - unsigned int t333; - unsigned int t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - char *t338; - char *t339; - unsigned int t340; - unsigned int t341; - unsigned int t342; - int t343; - unsigned int t344; - unsigned int t345; - unsigned int t346; - int t347; - unsigned int t348; - unsigned int t349; - unsigned int t350; - unsigned int t351; - char *t353; - unsigned int t354; - unsigned int t355; - unsigned int t356; - unsigned int t357; - unsigned int t358; - char *t359; - unsigned int t361; - unsigned int t362; - unsigned int t363; - char *t364; - char *t365; - char *t366; - unsigned int t367; - unsigned int t368; - unsigned int t369; - unsigned int t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - char *t374; - char *t375; - unsigned int t376; - unsigned int t377; - unsigned int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - unsigned int t383; - int t384; - int t385; - unsigned int t386; - unsigned int t387; - unsigned int t388; - unsigned int t389; - unsigned int t390; - unsigned int t391; - char *t393; - unsigned int t394; - unsigned int t395; - unsigned int t396; - unsigned int t397; - unsigned int t398; - char *t399; - unsigned int t401; - unsigned int t402; - unsigned int t403; - char *t404; - char *t405; - char *t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - char *t414; - char *t415; - unsigned int t416; - unsigned int t417; - unsigned int t418; - int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - int t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - char *t429; - unsigned int t430; - unsigned int t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - char *t435; - unsigned int t437; - unsigned int t438; - unsigned int t439; - char *t440; - char *t441; - char *t442; - unsigned int t443; - unsigned int t444; - unsigned int t445; - unsigned int t446; - unsigned int t447; - unsigned int t448; - unsigned int t449; - char *t450; - char *t451; - unsigned int t452; - unsigned int t453; - unsigned int t454; - unsigned int t455; - unsigned int t456; - unsigned int t457; - unsigned int t458; - unsigned int t459; - int t460; - int t461; - unsigned int t462; - unsigned int t463; - unsigned int t464; - unsigned int t465; - unsigned int t466; - unsigned int t467; - char *t468; - char *t469; - char *t470; - char *t471; - char *t472; - unsigned int t473; - unsigned int t474; - char *t475; - unsigned int t476; - unsigned int t477; - char *t478; - unsigned int t479; - unsigned int t480; - char *t481; - -LAB0: t1 = (t0 + 5936U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(26, ng0); - t2 = (t0 + 2968U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = *((unsigned int *)t11); - t14 = (t12 || t13); - if (t14 > 0) - goto LAB8; - -LAB9: memcpy(t436, t4, 8); - -LAB10: t468 = (t0 + 7480); - t469 = (t468 + 56U); - t470 = *((char **)t469); - t471 = (t470 + 56U); - t472 = *((char **)t471); - memset(t472, 0, 8); - t473 = 1U; - t474 = t473; - t475 = (t436 + 4); - t476 = *((unsigned int *)t436); - t473 = (t473 & t476); - t477 = *((unsigned int *)t475); - t474 = (t474 & t477); - t478 = (t472 + 4); - t479 = *((unsigned int *)t472); - *((unsigned int *)t472) = (t479 | t473); - t480 = *((unsigned int *)t478); - *((unsigned int *)t478) = (t480 | t474); - xsi_driver_vfirst_trans(t468, 0, 0); - t481 = (t0 + 7096); - *((int *)t481) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 1048U); - t17 = *((char **)t16); - memset(t15, 0, 8); - t16 = (t15 + 4); - t18 = (t17 + 4); - t19 = *((unsigned int *)t17); - t20 = (t19 >> 4); - *((unsigned int *)t15) = t20; - t21 = *((unsigned int *)t18); - t22 = (t21 >> 4); - *((unsigned int *)t16) = t22; - t23 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t23 & 15U); - t24 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t24 & 15U); - t25 = ((char*)((ng6))); - memset(t26, 0, 8); - t27 = (t15 + 4); - t28 = (t25 + 4); - t29 = *((unsigned int *)t15); - t30 = *((unsigned int *)t25); - t31 = (t29 ^ t30); - t32 = *((unsigned int *)t27); - t33 = *((unsigned int *)t28); - t34 = (t32 ^ t33); - t35 = (t31 | t34); - t36 = *((unsigned int *)t27); - t37 = *((unsigned int *)t28); - t38 = (t36 | t37); - t39 = (~(t38)); - t40 = (t35 & t39); - if (t40 != 0) - goto LAB14; - -LAB11: if (t38 != 0) - goto LAB13; - -LAB12: *((unsigned int *)t26) = 1; - -LAB14: memset(t42, 0, 8); - t43 = (t26 + 4); - t44 = *((unsigned int *)t43); - t45 = (~(t44)); - t46 = *((unsigned int *)t26); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB15; - -LAB16: if (*((unsigned int *)t43) != 0) - goto LAB17; - -LAB18: t50 = (t42 + 4); - t51 = *((unsigned int *)t42); - t52 = *((unsigned int *)t50); - t53 = (t51 || t52); - if (t53 > 0) - goto LAB19; - -LAB20: memcpy(t165, t42, 8); - -LAB21: memset(t197, 0, 8); - t198 = (t165 + 4); - t199 = *((unsigned int *)t198); - t200 = (~(t199)); - t201 = *((unsigned int *)t165); - t202 = (t201 & t200); - t203 = (t202 & 1U); - if (t203 != 0) - goto LAB51; - -LAB52: if (*((unsigned int *)t198) != 0) - goto LAB53; - -LAB54: t205 = (t197 + 4); - t206 = *((unsigned int *)t197); - t207 = (!(t206)); - t208 = *((unsigned int *)t205); - t209 = (t207 || t208); - if (t209 > 0) - goto LAB55; - -LAB56: memcpy(t400, t197, 8); - -LAB57: memset(t428, 0, 8); - t429 = (t400 + 4); - t430 = *((unsigned int *)t429); - t431 = (~(t430)); - t432 = *((unsigned int *)t400); - t433 = (t432 & t431); - t434 = (t433 & 1U); - if (t434 != 0) - goto LAB105; - -LAB106: if (*((unsigned int *)t429) != 0) - goto LAB107; - -LAB108: t437 = *((unsigned int *)t4); - t438 = *((unsigned int *)t428); - t439 = (t437 & t438); - *((unsigned int *)t436) = t439; - t440 = (t4 + 4); - t441 = (t428 + 4); - t442 = (t436 + 4); - t443 = *((unsigned int *)t440); - t444 = *((unsigned int *)t441); - t445 = (t443 | t444); - *((unsigned int *)t442) = t445; - t446 = *((unsigned int *)t442); - t447 = (t446 != 0); - if (t447 == 1) - goto LAB109; - -LAB110: -LAB111: goto LAB10; - -LAB13: t41 = (t26 + 4); - *((unsigned int *)t26) = 1; - *((unsigned int *)t41) = 1; - goto LAB14; - -LAB15: *((unsigned int *)t42) = 1; - goto LAB18; - -LAB17: t49 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t49) = 1; - goto LAB18; - -LAB19: t55 = (t0 + 1048U); - t56 = *((char **)t55); - memset(t54, 0, 8); - t55 = (t54 + 4); - t57 = (t56 + 4); - t58 = *((unsigned int *)t56); - t59 = (t58 >> 0); - *((unsigned int *)t54) = t59; - t60 = *((unsigned int *)t57); - t61 = (t60 >> 0); - *((unsigned int *)t55) = t61; - t62 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t62 & 15U); - t63 = *((unsigned int *)t55); - *((unsigned int *)t55) = (t63 & 15U); - t64 = ((char*)((ng7))); - memset(t65, 0, 8); - t66 = (t54 + 4); - t67 = (t64 + 4); - t68 = *((unsigned int *)t54); - t69 = *((unsigned int *)t64); - t70 = (t68 ^ t69); - t71 = *((unsigned int *)t66); - t72 = *((unsigned int *)t67); - t73 = (t71 ^ t72); - t74 = (t70 | t73); - t75 = *((unsigned int *)t66); - t76 = *((unsigned int *)t67); - t77 = (t75 | t76); - t78 = (~(t77)); - t79 = (t74 & t78); - if (t79 != 0) - goto LAB25; - -LAB22: if (t77 != 0) - goto LAB24; - -LAB23: *((unsigned int *)t65) = 1; - -LAB25: memset(t81, 0, 8); - t82 = (t65 + 4); - t83 = *((unsigned int *)t82); - t84 = (~(t83)); - t85 = *((unsigned int *)t65); - t86 = (t85 & t84); - t87 = (t86 & 1U); - if (t87 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t82) != 0) - goto LAB28; - -LAB29: t89 = (t81 + 4); - t90 = *((unsigned int *)t81); - t91 = (!(t90)); - t92 = *((unsigned int *)t89); - t93 = (t91 || t92); - if (t93 > 0) - goto LAB30; - -LAB31: memcpy(t129, t81, 8); - -LAB32: memset(t157, 0, 8); - t158 = (t129 + 4); - t159 = *((unsigned int *)t158); - t160 = (~(t159)); - t161 = *((unsigned int *)t129); - t162 = (t161 & t160); - t163 = (t162 & 1U); - if (t163 != 0) - goto LAB44; - -LAB45: if (*((unsigned int *)t158) != 0) - goto LAB46; - -LAB47: t166 = *((unsigned int *)t42); - t167 = *((unsigned int *)t157); - t168 = (t166 & t167); - *((unsigned int *)t165) = t168; - t169 = (t42 + 4); - t170 = (t157 + 4); - t171 = (t165 + 4); - t172 = *((unsigned int *)t169); - t173 = *((unsigned int *)t170); - t174 = (t172 | t173); - *((unsigned int *)t171) = t174; - t175 = *((unsigned int *)t171); - t176 = (t175 != 0); - if (t176 == 1) - goto LAB48; - -LAB49: -LAB50: goto LAB21; - -LAB24: t80 = (t65 + 4); - *((unsigned int *)t65) = 1; - *((unsigned int *)t80) = 1; - goto LAB25; - -LAB26: *((unsigned int *)t81) = 1; - goto LAB29; - -LAB28: t88 = (t81 + 4); - *((unsigned int *)t81) = 1; - *((unsigned int *)t88) = 1; - goto LAB29; - -LAB30: t95 = (t0 + 1048U); - t96 = *((char **)t95); - memset(t94, 0, 8); - t95 = (t94 + 4); - t97 = (t96 + 4); - t98 = *((unsigned int *)t96); - t99 = (t98 >> 0); - *((unsigned int *)t94) = t99; - t100 = *((unsigned int *)t97); - t101 = (t100 >> 0); - *((unsigned int *)t95) = t101; - t102 = *((unsigned int *)t94); - *((unsigned int *)t94) = (t102 & 15U); - t103 = *((unsigned int *)t95); - *((unsigned int *)t95) = (t103 & 15U); - t104 = ((char*)((ng6))); - memset(t105, 0, 8); - t106 = (t94 + 4); - t107 = (t104 + 4); - t108 = *((unsigned int *)t94); - t109 = *((unsigned int *)t104); - t110 = (t108 ^ t109); - t111 = *((unsigned int *)t106); - t112 = *((unsigned int *)t107); - t113 = (t111 ^ t112); - t114 = (t110 | t113); - t115 = *((unsigned int *)t106); - t116 = *((unsigned int *)t107); - t117 = (t115 | t116); - t118 = (~(t117)); - t119 = (t114 & t118); - if (t119 != 0) - goto LAB36; - -LAB33: if (t117 != 0) - goto LAB35; - -LAB34: *((unsigned int *)t105) = 1; - -LAB36: memset(t121, 0, 8); - t122 = (t105 + 4); - t123 = *((unsigned int *)t122); - t124 = (~(t123)); - t125 = *((unsigned int *)t105); - t126 = (t125 & t124); - t127 = (t126 & 1U); - if (t127 != 0) - goto LAB37; - -LAB38: if (*((unsigned int *)t122) != 0) - goto LAB39; - -LAB40: t130 = *((unsigned int *)t81); - t131 = *((unsigned int *)t121); - t132 = (t130 | t131); - *((unsigned int *)t129) = t132; - t133 = (t81 + 4); - t134 = (t121 + 4); - t135 = (t129 + 4); - t136 = *((unsigned int *)t133); - t137 = *((unsigned int *)t134); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = *((unsigned int *)t135); - t140 = (t139 != 0); - if (t140 == 1) - goto LAB41; - -LAB42: -LAB43: goto LAB32; - -LAB35: t120 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t120) = 1; - goto LAB36; - -LAB37: *((unsigned int *)t121) = 1; - goto LAB40; - -LAB39: t128 = (t121 + 4); - *((unsigned int *)t121) = 1; - *((unsigned int *)t128) = 1; - goto LAB40; - -LAB41: t141 = *((unsigned int *)t129); - t142 = *((unsigned int *)t135); - *((unsigned int *)t129) = (t141 | t142); - t143 = (t81 + 4); - t144 = (t121 + 4); - t145 = *((unsigned int *)t143); - t146 = (~(t145)); - t147 = *((unsigned int *)t81); - t148 = (t147 & t146); - t149 = *((unsigned int *)t144); - t150 = (~(t149)); - t151 = *((unsigned int *)t121); - t152 = (t151 & t150); - t153 = (~(t148)); - t154 = (~(t152)); - t155 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t155 & t153); - t156 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t156 & t154); - goto LAB43; - -LAB44: *((unsigned int *)t157) = 1; - goto LAB47; - -LAB46: t164 = (t157 + 4); - *((unsigned int *)t157) = 1; - *((unsigned int *)t164) = 1; - goto LAB47; - -LAB48: t177 = *((unsigned int *)t165); - t178 = *((unsigned int *)t171); - *((unsigned int *)t165) = (t177 | t178); - t179 = (t42 + 4); - t180 = (t157 + 4); - t181 = *((unsigned int *)t42); - t182 = (~(t181)); - t183 = *((unsigned int *)t179); - t184 = (~(t183)); - t185 = *((unsigned int *)t157); - t186 = (~(t185)); - t187 = *((unsigned int *)t180); - t188 = (~(t187)); - t189 = (t182 & t184); - t190 = (t186 & t188); - t191 = (~(t189)); - t192 = (~(t190)); - t193 = *((unsigned int *)t171); - *((unsigned int *)t171) = (t193 & t191); - t194 = *((unsigned int *)t171); - *((unsigned int *)t171) = (t194 & t192); - t195 = *((unsigned int *)t165); - *((unsigned int *)t165) = (t195 & t191); - t196 = *((unsigned int *)t165); - *((unsigned int *)t165) = (t196 & t192); - goto LAB50; - -LAB51: *((unsigned int *)t197) = 1; - goto LAB54; - -LAB53: t204 = (t197 + 4); - *((unsigned int *)t197) = 1; - *((unsigned int *)t204) = 1; - goto LAB54; - -LAB55: t211 = (t0 + 1048U); - t212 = *((char **)t211); - memset(t210, 0, 8); - t211 = (t210 + 4); - t213 = (t212 + 4); - t214 = *((unsigned int *)t212); - t215 = (t214 >> 4); - *((unsigned int *)t210) = t215; - t216 = *((unsigned int *)t213); - t217 = (t216 >> 4); - *((unsigned int *)t211) = t217; - t218 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t218 & 15U); - t219 = *((unsigned int *)t211); - *((unsigned int *)t211) = (t219 & 15U); - t220 = ((char*)((ng8))); - memset(t221, 0, 8); - t222 = (t210 + 4); - t223 = (t220 + 4); - t224 = *((unsigned int *)t210); - t225 = *((unsigned int *)t220); - t226 = (t224 ^ t225); - t227 = *((unsigned int *)t222); - t228 = *((unsigned int *)t223); - t229 = (t227 ^ t228); - t230 = (t226 | t229); - t231 = *((unsigned int *)t222); - t232 = *((unsigned int *)t223); - t233 = (t231 | t232); - t234 = (~(t233)); - t235 = (t230 & t234); - if (t235 != 0) - goto LAB61; - -LAB58: if (t233 != 0) - goto LAB60; - -LAB59: *((unsigned int *)t221) = 1; - -LAB61: memset(t237, 0, 8); - t238 = (t221 + 4); - t239 = *((unsigned int *)t238); - t240 = (~(t239)); - t241 = *((unsigned int *)t221); - t242 = (t241 & t240); - t243 = (t242 & 1U); - if (t243 != 0) - goto LAB62; - -LAB63: if (*((unsigned int *)t238) != 0) - goto LAB64; - -LAB65: t245 = (t237 + 4); - t246 = *((unsigned int *)t237); - t247 = *((unsigned int *)t245); - t248 = (t246 || t247); - if (t248 > 0) - goto LAB66; - -LAB67: memcpy(t360, t237, 8); - -LAB68: memset(t392, 0, 8); - t393 = (t360 + 4); - t394 = *((unsigned int *)t393); - t395 = (~(t394)); - t396 = *((unsigned int *)t360); - t397 = (t396 & t395); - t398 = (t397 & 1U); - if (t398 != 0) - goto LAB98; - -LAB99: if (*((unsigned int *)t393) != 0) - goto LAB100; - -LAB101: t401 = *((unsigned int *)t197); - t402 = *((unsigned int *)t392); - t403 = (t401 | t402); - *((unsigned int *)t400) = t403; - t404 = (t197 + 4); - t405 = (t392 + 4); - t406 = (t400 + 4); - t407 = *((unsigned int *)t404); - t408 = *((unsigned int *)t405); - t409 = (t407 | t408); - *((unsigned int *)t406) = t409; - t410 = *((unsigned int *)t406); - t411 = (t410 != 0); - if (t411 == 1) - goto LAB102; - -LAB103: -LAB104: goto LAB57; - -LAB60: t236 = (t221 + 4); - *((unsigned int *)t221) = 1; - *((unsigned int *)t236) = 1; - goto LAB61; - -LAB62: *((unsigned int *)t237) = 1; - goto LAB65; - -LAB64: t244 = (t237 + 4); - *((unsigned int *)t237) = 1; - *((unsigned int *)t244) = 1; - goto LAB65; - -LAB66: t250 = (t0 + 1048U); - t251 = *((char **)t250); - memset(t249, 0, 8); - t250 = (t249 + 4); - t252 = (t251 + 4); - t253 = *((unsigned int *)t251); - t254 = (t253 >> 0); - *((unsigned int *)t249) = t254; - t255 = *((unsigned int *)t252); - t256 = (t255 >> 0); - *((unsigned int *)t250) = t256; - t257 = *((unsigned int *)t249); - *((unsigned int *)t249) = (t257 & 15U); - t258 = *((unsigned int *)t250); - *((unsigned int *)t250) = (t258 & 15U); - t259 = ((char*)((ng9))); - memset(t260, 0, 8); - t261 = (t249 + 4); - t262 = (t259 + 4); - t263 = *((unsigned int *)t249); - t264 = *((unsigned int *)t259); - t265 = (t263 ^ t264); - t266 = *((unsigned int *)t261); - t267 = *((unsigned int *)t262); - t268 = (t266 ^ t267); - t269 = (t265 | t268); - t270 = *((unsigned int *)t261); - t271 = *((unsigned int *)t262); - t272 = (t270 | t271); - t273 = (~(t272)); - t274 = (t269 & t273); - if (t274 != 0) - goto LAB72; - -LAB69: if (t272 != 0) - goto LAB71; - -LAB70: *((unsigned int *)t260) = 1; - -LAB72: memset(t276, 0, 8); - t277 = (t260 + 4); - t278 = *((unsigned int *)t277); - t279 = (~(t278)); - t280 = *((unsigned int *)t260); - t281 = (t280 & t279); - t282 = (t281 & 1U); - if (t282 != 0) - goto LAB73; - -LAB74: if (*((unsigned int *)t277) != 0) - goto LAB75; - -LAB76: t284 = (t276 + 4); - t285 = *((unsigned int *)t276); - t286 = (!(t285)); - t287 = *((unsigned int *)t284); - t288 = (t286 || t287); - if (t288 > 0) - goto LAB77; - -LAB78: memcpy(t324, t276, 8); - -LAB79: memset(t352, 0, 8); - t353 = (t324 + 4); - t354 = *((unsigned int *)t353); - t355 = (~(t354)); - t356 = *((unsigned int *)t324); - t357 = (t356 & t355); - t358 = (t357 & 1U); - if (t358 != 0) - goto LAB91; - -LAB92: if (*((unsigned int *)t353) != 0) - goto LAB93; - -LAB94: t361 = *((unsigned int *)t237); - t362 = *((unsigned int *)t352); - t363 = (t361 & t362); - *((unsigned int *)t360) = t363; - t364 = (t237 + 4); - t365 = (t352 + 4); - t366 = (t360 + 4); - t367 = *((unsigned int *)t364); - t368 = *((unsigned int *)t365); - t369 = (t367 | t368); - *((unsigned int *)t366) = t369; - t370 = *((unsigned int *)t366); - t371 = (t370 != 0); - if (t371 == 1) - goto LAB95; - -LAB96: -LAB97: goto LAB68; - -LAB71: t275 = (t260 + 4); - *((unsigned int *)t260) = 1; - *((unsigned int *)t275) = 1; - goto LAB72; - -LAB73: *((unsigned int *)t276) = 1; - goto LAB76; - -LAB75: t283 = (t276 + 4); - *((unsigned int *)t276) = 1; - *((unsigned int *)t283) = 1; - goto LAB76; - -LAB77: t290 = (t0 + 1048U); - t291 = *((char **)t290); - memset(t289, 0, 8); - t290 = (t289 + 4); - t292 = (t291 + 4); - t293 = *((unsigned int *)t291); - t294 = (t293 >> 0); - *((unsigned int *)t289) = t294; - t295 = *((unsigned int *)t292); - t296 = (t295 >> 0); - *((unsigned int *)t290) = t296; - t297 = *((unsigned int *)t289); - *((unsigned int *)t289) = (t297 & 15U); - t298 = *((unsigned int *)t290); - *((unsigned int *)t290) = (t298 & 15U); - t299 = ((char*)((ng5))); - memset(t300, 0, 8); - t301 = (t289 + 4); - t302 = (t299 + 4); - t303 = *((unsigned int *)t289); - t304 = *((unsigned int *)t299); - t305 = (t303 ^ t304); - t306 = *((unsigned int *)t301); - t307 = *((unsigned int *)t302); - t308 = (t306 ^ t307); - t309 = (t305 | t308); - t310 = *((unsigned int *)t301); - t311 = *((unsigned int *)t302); - t312 = (t310 | t311); - t313 = (~(t312)); - t314 = (t309 & t313); - if (t314 != 0) - goto LAB83; - -LAB80: if (t312 != 0) - goto LAB82; - -LAB81: *((unsigned int *)t300) = 1; - -LAB83: memset(t316, 0, 8); - t317 = (t300 + 4); - t318 = *((unsigned int *)t317); - t319 = (~(t318)); - t320 = *((unsigned int *)t300); - t321 = (t320 & t319); - t322 = (t321 & 1U); - if (t322 != 0) - goto LAB84; - -LAB85: if (*((unsigned int *)t317) != 0) - goto LAB86; - -LAB87: t325 = *((unsigned int *)t276); - t326 = *((unsigned int *)t316); - t327 = (t325 | t326); - *((unsigned int *)t324) = t327; - t328 = (t276 + 4); - t329 = (t316 + 4); - t330 = (t324 + 4); - t331 = *((unsigned int *)t328); - t332 = *((unsigned int *)t329); - t333 = (t331 | t332); - *((unsigned int *)t330) = t333; - t334 = *((unsigned int *)t330); - t335 = (t334 != 0); - if (t335 == 1) - goto LAB88; - -LAB89: -LAB90: goto LAB79; - -LAB82: t315 = (t300 + 4); - *((unsigned int *)t300) = 1; - *((unsigned int *)t315) = 1; - goto LAB83; - -LAB84: *((unsigned int *)t316) = 1; - goto LAB87; - -LAB86: t323 = (t316 + 4); - *((unsigned int *)t316) = 1; - *((unsigned int *)t323) = 1; - goto LAB87; - -LAB88: t336 = *((unsigned int *)t324); - t337 = *((unsigned int *)t330); - *((unsigned int *)t324) = (t336 | t337); - t338 = (t276 + 4); - t339 = (t316 + 4); - t340 = *((unsigned int *)t338); - t341 = (~(t340)); - t342 = *((unsigned int *)t276); - t343 = (t342 & t341); - t344 = *((unsigned int *)t339); - t345 = (~(t344)); - t346 = *((unsigned int *)t316); - t347 = (t346 & t345); - t348 = (~(t343)); - t349 = (~(t347)); - t350 = *((unsigned int *)t330); - *((unsigned int *)t330) = (t350 & t348); - t351 = *((unsigned int *)t330); - *((unsigned int *)t330) = (t351 & t349); - goto LAB90; - -LAB91: *((unsigned int *)t352) = 1; - goto LAB94; - -LAB93: t359 = (t352 + 4); - *((unsigned int *)t352) = 1; - *((unsigned int *)t359) = 1; - goto LAB94; - -LAB95: t372 = *((unsigned int *)t360); - t373 = *((unsigned int *)t366); - *((unsigned int *)t360) = (t372 | t373); - t374 = (t237 + 4); - t375 = (t352 + 4); - t376 = *((unsigned int *)t237); - t377 = (~(t376)); - t378 = *((unsigned int *)t374); - t379 = (~(t378)); - t380 = *((unsigned int *)t352); - t381 = (~(t380)); - t382 = *((unsigned int *)t375); - t383 = (~(t382)); - t384 = (t377 & t379); - t385 = (t381 & t383); - t386 = (~(t384)); - t387 = (~(t385)); - t388 = *((unsigned int *)t366); - *((unsigned int *)t366) = (t388 & t386); - t389 = *((unsigned int *)t366); - *((unsigned int *)t366) = (t389 & t387); - t390 = *((unsigned int *)t360); - *((unsigned int *)t360) = (t390 & t386); - t391 = *((unsigned int *)t360); - *((unsigned int *)t360) = (t391 & t387); - goto LAB97; - -LAB98: *((unsigned int *)t392) = 1; - goto LAB101; - -LAB100: t399 = (t392 + 4); - *((unsigned int *)t392) = 1; - *((unsigned int *)t399) = 1; - goto LAB101; - -LAB102: t412 = *((unsigned int *)t400); - t413 = *((unsigned int *)t406); - *((unsigned int *)t400) = (t412 | t413); - t414 = (t197 + 4); - t415 = (t392 + 4); - t416 = *((unsigned int *)t414); - t417 = (~(t416)); - t418 = *((unsigned int *)t197); - t419 = (t418 & t417); - t420 = *((unsigned int *)t415); - t421 = (~(t420)); - t422 = *((unsigned int *)t392); - t423 = (t422 & t421); - t424 = (~(t419)); - t425 = (~(t423)); - t426 = *((unsigned int *)t406); - *((unsigned int *)t406) = (t426 & t424); - t427 = *((unsigned int *)t406); - *((unsigned int *)t406) = (t427 & t425); - goto LAB104; - -LAB105: *((unsigned int *)t428) = 1; - goto LAB108; - -LAB107: t435 = (t428 + 4); - *((unsigned int *)t428) = 1; - *((unsigned int *)t435) = 1; - goto LAB108; - -LAB109: t448 = *((unsigned int *)t436); - t449 = *((unsigned int *)t442); - *((unsigned int *)t436) = (t448 | t449); - t450 = (t4 + 4); - t451 = (t428 + 4); - t452 = *((unsigned int *)t4); - t453 = (~(t452)); - t454 = *((unsigned int *)t450); - t455 = (~(t454)); - t456 = *((unsigned int *)t428); - t457 = (~(t456)); - t458 = *((unsigned int *)t451); - t459 = (~(t458)); - t460 = (t453 & t455); - t461 = (t457 & t459); - t462 = (~(t460)); - t463 = (~(t461)); - t464 = *((unsigned int *)t442); - *((unsigned int *)t442) = (t464 & t462); - t465 = *((unsigned int *)t442); - *((unsigned int *)t442) = (t465 & t463); - t466 = *((unsigned int *)t436); - *((unsigned int *)t436) = (t466 & t462); - t467 = *((unsigned int *)t436); - *((unsigned int *)t436) = (t467 & t463); - goto LAB111; - -} - -static void Cont_30_7(char *t0) -{ - char t3[8]; - char t13[8]; - char t29[8]; - char t42[8]; - char t53[8]; - char t69[8]; - char t83[8]; - char t90[8]; - char t122[8]; - char t130[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t43; - char *t44; - char *t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t54; - char *t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - char *t76; - char *t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - char *t89; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t94; - char *t95; - char *t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - int t114; - int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - char *t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - char *t129; - unsigned int t131; - unsigned int t132; - unsigned int t133; - char *t134; - char *t135; - char *t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - char *t144; - char *t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - char *t158; - char *t159; - char *t160; - char *t161; - char *t162; - unsigned int t163; - unsigned int t164; - char *t165; - unsigned int t166; - unsigned int t167; - char *t168; - unsigned int t169; - unsigned int t170; - char *t171; - -LAB0: t1 = (t0 + 6184U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(30, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng1))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: memset(t29, 0, 8); - t30 = (t13 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t13); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB8; - -LAB9: if (*((unsigned int *)t30) != 0) - goto LAB10; - -LAB11: t37 = (t29 + 4); - t38 = *((unsigned int *)t29); - t39 = (!(t38)); - t40 = *((unsigned int *)t37); - t41 = (t39 || t40); - if (t41 > 0) - goto LAB12; - -LAB13: memcpy(t130, t29, 8); - -LAB14: t158 = (t0 + 7544); - t159 = (t158 + 56U); - t160 = *((char **)t159); - t161 = (t160 + 56U); - t162 = *((char **)t161); - memset(t162, 0, 8); - t163 = 1U; - t164 = t163; - t165 = (t130 + 4); - t166 = *((unsigned int *)t130); - t163 = (t163 & t166); - t167 = *((unsigned int *)t165); - t164 = (t164 & t167); - t168 = (t162 + 4); - t169 = *((unsigned int *)t162); - *((unsigned int *)t162) = (t169 | t163); - t170 = *((unsigned int *)t168); - *((unsigned int *)t168) = (t170 | t164); - xsi_driver_vfirst_trans(t158, 0, 0); - t171 = (t0 + 7112); - *((int *)t171) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -LAB8: *((unsigned int *)t29) = 1; - goto LAB11; - -LAB10: t36 = (t29 + 4); - *((unsigned int *)t29) = 1; - *((unsigned int *)t36) = 1; - goto LAB11; - -LAB12: t43 = (t0 + 1048U); - t44 = *((char **)t43); - memset(t42, 0, 8); - t43 = (t42 + 4); - t45 = (t44 + 4); - t46 = *((unsigned int *)t44); - t47 = (t46 >> 12); - *((unsigned int *)t42) = t47; - t48 = *((unsigned int *)t45); - t49 = (t48 >> 12); - *((unsigned int *)t43) = t49; - t50 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t50 & 15U); - t51 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t51 & 15U); - t52 = ((char*)((ng4))); - memset(t53, 0, 8); - t54 = (t42 + 4); - t55 = (t52 + 4); - t56 = *((unsigned int *)t42); - t57 = *((unsigned int *)t52); - t58 = (t56 ^ t57); - t59 = *((unsigned int *)t54); - t60 = *((unsigned int *)t55); - t61 = (t59 ^ t60); - t62 = (t58 | t61); - t63 = *((unsigned int *)t54); - t64 = *((unsigned int *)t55); - t65 = (t63 | t64); - t66 = (~(t65)); - t67 = (t62 & t66); - if (t67 != 0) - goto LAB18; - -LAB15: if (t65 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t53) = 1; - -LAB18: memset(t69, 0, 8); - t70 = (t53 + 4); - t71 = *((unsigned int *)t70); - t72 = (~(t71)); - t73 = *((unsigned int *)t53); - t74 = (t73 & t72); - t75 = (t74 & 1U); - if (t75 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t70) != 0) - goto LAB21; - -LAB22: t77 = (t69 + 4); - t78 = *((unsigned int *)t69); - t79 = *((unsigned int *)t77); - t80 = (t78 || t79); - if (t80 > 0) - goto LAB23; - -LAB24: memcpy(t90, t69, 8); - -LAB25: memset(t122, 0, 8); - t123 = (t90 + 4); - t124 = *((unsigned int *)t123); - t125 = (~(t124)); - t126 = *((unsigned int *)t90); - t127 = (t126 & t125); - t128 = (t127 & 1U); - if (t128 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t123) != 0) - goto LAB35; - -LAB36: t131 = *((unsigned int *)t29); - t132 = *((unsigned int *)t122); - t133 = (t131 | t132); - *((unsigned int *)t130) = t133; - t134 = (t29 + 4); - t135 = (t122 + 4); - t136 = (t130 + 4); - t137 = *((unsigned int *)t134); - t138 = *((unsigned int *)t135); - t139 = (t137 | t138); - *((unsigned int *)t136) = t139; - t140 = *((unsigned int *)t136); - t141 = (t140 != 0); - if (t141 == 1) - goto LAB37; - -LAB38: -LAB39: goto LAB14; - -LAB17: t68 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t68) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t69) = 1; - goto LAB22; - -LAB21: t76 = (t69 + 4); - *((unsigned int *)t69) = 1; - *((unsigned int *)t76) = 1; - goto LAB22; - -LAB23: t81 = (t0 + 2648U); - t82 = *((char **)t81); - memset(t83, 0, 8); - t81 = (t82 + 4); - t84 = *((unsigned int *)t81); - t85 = (~(t84)); - t86 = *((unsigned int *)t82); - t87 = (t86 & t85); - t88 = (t87 & 1U); - if (t88 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t81) != 0) - goto LAB28; - -LAB29: t91 = *((unsigned int *)t69); - t92 = *((unsigned int *)t83); - t93 = (t91 & t92); - *((unsigned int *)t90) = t93; - t94 = (t69 + 4); - t95 = (t83 + 4); - t96 = (t90 + 4); - t97 = *((unsigned int *)t94); - t98 = *((unsigned int *)t95); - t99 = (t97 | t98); - *((unsigned int *)t96) = t99; - t100 = *((unsigned int *)t96); - t101 = (t100 != 0); - if (t101 == 1) - goto LAB30; - -LAB31: -LAB32: goto LAB25; - -LAB26: *((unsigned int *)t83) = 1; - goto LAB29; - -LAB28: t89 = (t83 + 4); - *((unsigned int *)t83) = 1; - *((unsigned int *)t89) = 1; - goto LAB29; - -LAB30: t102 = *((unsigned int *)t90); - t103 = *((unsigned int *)t96); - *((unsigned int *)t90) = (t102 | t103); - t104 = (t69 + 4); - t105 = (t83 + 4); - t106 = *((unsigned int *)t69); - t107 = (~(t106)); - t108 = *((unsigned int *)t104); - t109 = (~(t108)); - t110 = *((unsigned int *)t83); - t111 = (~(t110)); - t112 = *((unsigned int *)t105); - t113 = (~(t112)); - t114 = (t107 & t109); - t115 = (t111 & t113); - t116 = (~(t114)); - t117 = (~(t115)); - t118 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t118 & t116); - t119 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t119 & t117); - t120 = *((unsigned int *)t90); - *((unsigned int *)t90) = (t120 & t116); - t121 = *((unsigned int *)t90); - *((unsigned int *)t90) = (t121 & t117); - goto LAB32; - -LAB33: *((unsigned int *)t122) = 1; - goto LAB36; - -LAB35: t129 = (t122 + 4); - *((unsigned int *)t122) = 1; - *((unsigned int *)t129) = 1; - goto LAB36; - -LAB37: t142 = *((unsigned int *)t130); - t143 = *((unsigned int *)t136); - *((unsigned int *)t130) = (t142 | t143); - t144 = (t29 + 4); - t145 = (t122 + 4); - t146 = *((unsigned int *)t144); - t147 = (~(t146)); - t148 = *((unsigned int *)t29); - t149 = (t148 & t147); - t150 = *((unsigned int *)t145); - t151 = (~(t150)); - t152 = *((unsigned int *)t122); - t153 = (t152 & t151); - t154 = (~(t149)); - t155 = (~(t153)); - t156 = *((unsigned int *)t136); - *((unsigned int *)t136) = (t156 & t154); - t157 = *((unsigned int *)t136); - *((unsigned int *)t136) = (t157 & t155); - goto LAB39; - -} - -static void Cont_33_8(char *t0) -{ - char t3[8]; - char t13[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - char *t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - char *t36; - unsigned int t37; - unsigned int t38; - char *t39; - unsigned int t40; - unsigned int t41; - char *t42; - -LAB0: t1 = (t0 + 6432U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(33, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng6))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: t29 = (t0 + 7608); - t30 = (t29 + 56U); - t31 = *((char **)t30); - t32 = (t31 + 56U); - t33 = *((char **)t32); - memset(t33, 0, 8); - t34 = 1U; - t35 = t34; - t36 = (t13 + 4); - t37 = *((unsigned int *)t13); - t34 = (t34 & t37); - t38 = *((unsigned int *)t36); - t35 = (t35 & t38); - t39 = (t33 + 4); - t40 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t40 | t34); - t41 = *((unsigned int *)t39); - *((unsigned int *)t39) = (t41 | t35); - xsi_driver_vfirst_trans(t29, 0, 0); - t42 = (t0 + 7128); - *((int *)t42) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -} - -static void Cont_34_9(char *t0) -{ - char t3[8]; - char t13[8]; - char t29[8]; - char t42[8]; - char t53[8]; - char t69[8]; - char t77[8]; - char t105[8]; - char t118[8]; - char t129[8]; - char t145[8]; - char t153[8]; - char t181[8]; - char t194[8]; - char t205[8]; - char t221[8]; - char t229[8]; - char t257[8]; - char t270[8]; - char t281[8]; - char t297[8]; - char t305[8]; - char t333[8]; - char t346[8]; - char t357[8]; - char t373[8]; - char t381[8]; - char t409[8]; - char t422[8]; - char t433[8]; - char t449[8]; - char t457[8]; - char t485[8]; - char t498[8]; - char t509[8]; - char t525[8]; - char t533[8]; - char t561[8]; - char t574[8]; - char t585[8]; - char t601[8]; - char t609[8]; - char t637[8]; - char t652[8]; - char t659[8]; - char *t1; - char *t2; - char *t4; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t43; - char *t44; - char *t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t54; - char *t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - char *t76; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - char *t112; - char *t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - char *t119; - char *t120; - char *t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - char *t130; - char *t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - char *t144; - char *t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - char *t152; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t157; - char *t158; - char *t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - char *t167; - char *t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - char *t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - char *t188; - char *t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - char *t195; - char *t196; - char *t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - char *t204; - char *t206; - char *t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - char *t220; - char *t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - char *t228; - unsigned int t230; - unsigned int t231; - unsigned int t232; - char *t233; - char *t234; - char *t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - char *t243; - char *t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - int t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - char *t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - char *t264; - char *t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - char *t271; - char *t272; - char *t273; - unsigned int t274; - unsigned int t275; - unsigned int t276; - unsigned int t277; - unsigned int t278; - unsigned int t279; - char *t280; - char *t282; - char *t283; - unsigned int t284; - unsigned int t285; - unsigned int t286; - unsigned int t287; - unsigned int t288; - unsigned int t289; - unsigned int t290; - unsigned int t291; - unsigned int t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - char *t296; - char *t298; - unsigned int t299; - unsigned int t300; - unsigned int t301; - unsigned int t302; - unsigned int t303; - char *t304; - unsigned int t306; - unsigned int t307; - unsigned int t308; - char *t309; - char *t310; - char *t311; - unsigned int t312; - unsigned int t313; - unsigned int t314; - unsigned int t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - char *t319; - char *t320; - unsigned int t321; - unsigned int t322; - unsigned int t323; - int t324; - unsigned int t325; - unsigned int t326; - unsigned int t327; - int t328; - unsigned int t329; - unsigned int t330; - unsigned int t331; - unsigned int t332; - char *t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - char *t340; - char *t341; - unsigned int t342; - unsigned int t343; - unsigned int t344; - unsigned int t345; - char *t347; - char *t348; - char *t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - unsigned int t354; - unsigned int t355; - char *t356; - char *t358; - char *t359; - unsigned int t360; - unsigned int t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - unsigned int t369; - unsigned int t370; - unsigned int t371; - char *t372; - char *t374; - unsigned int t375; - unsigned int t376; - unsigned int t377; - unsigned int t378; - unsigned int t379; - char *t380; - unsigned int t382; - unsigned int t383; - unsigned int t384; - char *t385; - char *t386; - char *t387; - unsigned int t388; - unsigned int t389; - unsigned int t390; - unsigned int t391; - unsigned int t392; - unsigned int t393; - unsigned int t394; - char *t395; - char *t396; - unsigned int t397; - unsigned int t398; - unsigned int t399; - int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - int t404; - unsigned int t405; - unsigned int t406; - unsigned int t407; - unsigned int t408; - char *t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - unsigned int t415; - char *t416; - char *t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - char *t423; - char *t424; - char *t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - unsigned int t429; - unsigned int t430; - unsigned int t431; - char *t432; - char *t434; - char *t435; - unsigned int t436; - unsigned int t437; - unsigned int t438; - unsigned int t439; - unsigned int t440; - unsigned int t441; - unsigned int t442; - unsigned int t443; - unsigned int t444; - unsigned int t445; - unsigned int t446; - unsigned int t447; - char *t448; - char *t450; - unsigned int t451; - unsigned int t452; - unsigned int t453; - unsigned int t454; - unsigned int t455; - char *t456; - unsigned int t458; - unsigned int t459; - unsigned int t460; - char *t461; - char *t462; - char *t463; - unsigned int t464; - unsigned int t465; - unsigned int t466; - unsigned int t467; - unsigned int t468; - unsigned int t469; - unsigned int t470; - char *t471; - char *t472; - unsigned int t473; - unsigned int t474; - unsigned int t475; - int t476; - unsigned int t477; - unsigned int t478; - unsigned int t479; - int t480; - unsigned int t481; - unsigned int t482; - unsigned int t483; - unsigned int t484; - char *t486; - unsigned int t487; - unsigned int t488; - unsigned int t489; - unsigned int t490; - unsigned int t491; - char *t492; - char *t493; - unsigned int t494; - unsigned int t495; - unsigned int t496; - unsigned int t497; - char *t499; - char *t500; - char *t501; - unsigned int t502; - unsigned int t503; - unsigned int t504; - unsigned int t505; - unsigned int t506; - unsigned int t507; - char *t508; - char *t510; - char *t511; - unsigned int t512; - unsigned int t513; - unsigned int t514; - unsigned int t515; - unsigned int t516; - unsigned int t517; - unsigned int t518; - unsigned int t519; - unsigned int t520; - unsigned int t521; - unsigned int t522; - unsigned int t523; - char *t524; - char *t526; - unsigned int t527; - unsigned int t528; - unsigned int t529; - unsigned int t530; - unsigned int t531; - char *t532; - unsigned int t534; - unsigned int t535; - unsigned int t536; - char *t537; - char *t538; - char *t539; - unsigned int t540; - unsigned int t541; - unsigned int t542; - unsigned int t543; - unsigned int t544; - unsigned int t545; - unsigned int t546; - char *t547; - char *t548; - unsigned int t549; - unsigned int t550; - unsigned int t551; - int t552; - unsigned int t553; - unsigned int t554; - unsigned int t555; - int t556; - unsigned int t557; - unsigned int t558; - unsigned int t559; - unsigned int t560; - char *t562; - unsigned int t563; - unsigned int t564; - unsigned int t565; - unsigned int t566; - unsigned int t567; - char *t568; - char *t569; - unsigned int t570; - unsigned int t571; - unsigned int t572; - unsigned int t573; - char *t575; - char *t576; - char *t577; - unsigned int t578; - unsigned int t579; - unsigned int t580; - unsigned int t581; - unsigned int t582; - unsigned int t583; - char *t584; - char *t586; - char *t587; - unsigned int t588; - unsigned int t589; - unsigned int t590; - unsigned int t591; - unsigned int t592; - unsigned int t593; - unsigned int t594; - unsigned int t595; - unsigned int t596; - unsigned int t597; - unsigned int t598; - unsigned int t599; - char *t600; - char *t602; - unsigned int t603; - unsigned int t604; - unsigned int t605; - unsigned int t606; - unsigned int t607; - char *t608; - unsigned int t610; - unsigned int t611; - unsigned int t612; - char *t613; - char *t614; - char *t615; - unsigned int t616; - unsigned int t617; - unsigned int t618; - unsigned int t619; - unsigned int t620; - unsigned int t621; - unsigned int t622; - char *t623; - char *t624; - unsigned int t625; - unsigned int t626; - unsigned int t627; - int t628; - unsigned int t629; - unsigned int t630; - unsigned int t631; - int t632; - unsigned int t633; - unsigned int t634; - unsigned int t635; - unsigned int t636; - char *t638; - unsigned int t639; - unsigned int t640; - unsigned int t641; - unsigned int t642; - unsigned int t643; - char *t644; - char *t645; - unsigned int t646; - unsigned int t647; - unsigned int t648; - unsigned int t649; - char *t650; - char *t651; - unsigned int t653; - unsigned int t654; - unsigned int t655; - unsigned int t656; - unsigned int t657; - char *t658; - unsigned int t660; - unsigned int t661; - unsigned int t662; - char *t663; - char *t664; - char *t665; - unsigned int t666; - unsigned int t667; - unsigned int t668; - unsigned int t669; - unsigned int t670; - unsigned int t671; - unsigned int t672; - char *t673; - char *t674; - unsigned int t675; - unsigned int t676; - unsigned int t677; - int t678; - unsigned int t679; - unsigned int t680; - unsigned int t681; - int t682; - unsigned int t683; - unsigned int t684; - unsigned int t685; - unsigned int t686; - char *t687; - char *t688; - char *t689; - char *t690; - char *t691; - unsigned int t692; - unsigned int t693; - char *t694; - unsigned int t695; - unsigned int t696; - char *t697; - unsigned int t698; - unsigned int t699; - char *t700; - -LAB0: t1 = (t0 + 6680U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(34, ng0); - t2 = (t0 + 1048U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t3 + 4); - t5 = (t4 + 4); - t6 = *((unsigned int *)t4); - t7 = (t6 >> 12); - *((unsigned int *)t3) = t7; - t8 = *((unsigned int *)t5); - t9 = (t8 >> 12); - *((unsigned int *)t2) = t9; - t10 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t10 & 15U); - t11 = *((unsigned int *)t2); - *((unsigned int *)t2) = (t11 & 15U); - t12 = ((char*)((ng10))); - memset(t13, 0, 8); - t14 = (t3 + 4); - t15 = (t12 + 4); - t16 = *((unsigned int *)t3); - t17 = *((unsigned int *)t12); - t18 = (t16 ^ t17); - t19 = *((unsigned int *)t14); - t20 = *((unsigned int *)t15); - t21 = (t19 ^ t20); - t22 = (t18 | t21); - t23 = *((unsigned int *)t14); - t24 = *((unsigned int *)t15); - t25 = (t23 | t24); - t26 = (~(t25)); - t27 = (t22 & t26); - if (t27 != 0) - goto LAB7; - -LAB4: if (t25 != 0) - goto LAB6; - -LAB5: *((unsigned int *)t13) = 1; - -LAB7: memset(t29, 0, 8); - t30 = (t13 + 4); - t31 = *((unsigned int *)t30); - t32 = (~(t31)); - t33 = *((unsigned int *)t13); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB8; - -LAB9: if (*((unsigned int *)t30) != 0) - goto LAB10; - -LAB11: t37 = (t29 + 4); - t38 = *((unsigned int *)t29); - t39 = (!(t38)); - t40 = *((unsigned int *)t37); - t41 = (t39 || t40); - if (t41 > 0) - goto LAB12; - -LAB13: memcpy(t77, t29, 8); - -LAB14: memset(t105, 0, 8); - t106 = (t77 + 4); - t107 = *((unsigned int *)t106); - t108 = (~(t107)); - t109 = *((unsigned int *)t77); - t110 = (t109 & t108); - t111 = (t110 & 1U); - if (t111 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t106) != 0) - goto LAB28; - -LAB29: t113 = (t105 + 4); - t114 = *((unsigned int *)t105); - t115 = (!(t114)); - t116 = *((unsigned int *)t113); - t117 = (t115 || t116); - if (t117 > 0) - goto LAB30; - -LAB31: memcpy(t153, t105, 8); - -LAB32: memset(t181, 0, 8); - t182 = (t153 + 4); - t183 = *((unsigned int *)t182); - t184 = (~(t183)); - t185 = *((unsigned int *)t153); - t186 = (t185 & t184); - t187 = (t186 & 1U); - if (t187 != 0) - goto LAB44; - -LAB45: if (*((unsigned int *)t182) != 0) - goto LAB46; - -LAB47: t189 = (t181 + 4); - t190 = *((unsigned int *)t181); - t191 = (!(t190)); - t192 = *((unsigned int *)t189); - t193 = (t191 || t192); - if (t193 > 0) - goto LAB48; - -LAB49: memcpy(t229, t181, 8); - -LAB50: memset(t257, 0, 8); - t258 = (t229 + 4); - t259 = *((unsigned int *)t258); - t260 = (~(t259)); - t261 = *((unsigned int *)t229); - t262 = (t261 & t260); - t263 = (t262 & 1U); - if (t263 != 0) - goto LAB62; - -LAB63: if (*((unsigned int *)t258) != 0) - goto LAB64; - -LAB65: t265 = (t257 + 4); - t266 = *((unsigned int *)t257); - t267 = (!(t266)); - t268 = *((unsigned int *)t265); - t269 = (t267 || t268); - if (t269 > 0) - goto LAB66; - -LAB67: memcpy(t305, t257, 8); - -LAB68: memset(t333, 0, 8); - t334 = (t305 + 4); - t335 = *((unsigned int *)t334); - t336 = (~(t335)); - t337 = *((unsigned int *)t305); - t338 = (t337 & t336); - t339 = (t338 & 1U); - if (t339 != 0) - goto LAB80; - -LAB81: if (*((unsigned int *)t334) != 0) - goto LAB82; - -LAB83: t341 = (t333 + 4); - t342 = *((unsigned int *)t333); - t343 = (!(t342)); - t344 = *((unsigned int *)t341); - t345 = (t343 || t344); - if (t345 > 0) - goto LAB84; - -LAB85: memcpy(t381, t333, 8); - -LAB86: memset(t409, 0, 8); - t410 = (t381 + 4); - t411 = *((unsigned int *)t410); - t412 = (~(t411)); - t413 = *((unsigned int *)t381); - t414 = (t413 & t412); - t415 = (t414 & 1U); - if (t415 != 0) - goto LAB98; - -LAB99: if (*((unsigned int *)t410) != 0) - goto LAB100; - -LAB101: t417 = (t409 + 4); - t418 = *((unsigned int *)t409); - t419 = (!(t418)); - t420 = *((unsigned int *)t417); - t421 = (t419 || t420); - if (t421 > 0) - goto LAB102; - -LAB103: memcpy(t457, t409, 8); - -LAB104: memset(t485, 0, 8); - t486 = (t457 + 4); - t487 = *((unsigned int *)t486); - t488 = (~(t487)); - t489 = *((unsigned int *)t457); - t490 = (t489 & t488); - t491 = (t490 & 1U); - if (t491 != 0) - goto LAB116; - -LAB117: if (*((unsigned int *)t486) != 0) - goto LAB118; - -LAB119: t493 = (t485 + 4); - t494 = *((unsigned int *)t485); - t495 = (!(t494)); - t496 = *((unsigned int *)t493); - t497 = (t495 || t496); - if (t497 > 0) - goto LAB120; - -LAB121: memcpy(t533, t485, 8); - -LAB122: memset(t561, 0, 8); - t562 = (t533 + 4); - t563 = *((unsigned int *)t562); - t564 = (~(t563)); - t565 = *((unsigned int *)t533); - t566 = (t565 & t564); - t567 = (t566 & 1U); - if (t567 != 0) - goto LAB134; - -LAB135: if (*((unsigned int *)t562) != 0) - goto LAB136; - -LAB137: t569 = (t561 + 4); - t570 = *((unsigned int *)t561); - t571 = (!(t570)); - t572 = *((unsigned int *)t569); - t573 = (t571 || t572); - if (t573 > 0) - goto LAB138; - -LAB139: memcpy(t609, t561, 8); - -LAB140: memset(t637, 0, 8); - t638 = (t609 + 4); - t639 = *((unsigned int *)t638); - t640 = (~(t639)); - t641 = *((unsigned int *)t609); - t642 = (t641 & t640); - t643 = (t642 & 1U); - if (t643 != 0) - goto LAB152; - -LAB153: if (*((unsigned int *)t638) != 0) - goto LAB154; - -LAB155: t645 = (t637 + 4); - t646 = *((unsigned int *)t637); - t647 = (!(t646)); - t648 = *((unsigned int *)t645); - t649 = (t647 || t648); - if (t649 > 0) - goto LAB156; - -LAB157: memcpy(t659, t637, 8); - -LAB158: t687 = (t0 + 7672); - t688 = (t687 + 56U); - t689 = *((char **)t688); - t690 = (t689 + 56U); - t691 = *((char **)t690); - memset(t691, 0, 8); - t692 = 1U; - t693 = t692; - t694 = (t659 + 4); - t695 = *((unsigned int *)t659); - t692 = (t692 & t695); - t696 = *((unsigned int *)t694); - t693 = (t693 & t696); - t697 = (t691 + 4); - t698 = *((unsigned int *)t691); - *((unsigned int *)t691) = (t698 | t692); - t699 = *((unsigned int *)t697); - *((unsigned int *)t697) = (t699 | t693); - xsi_driver_vfirst_trans(t687, 0, 0); - t700 = (t0 + 7144); - *((int *)t700) = 1; - -LAB1: return; -LAB6: t28 = (t13 + 4); - *((unsigned int *)t13) = 1; - *((unsigned int *)t28) = 1; - goto LAB7; - -LAB8: *((unsigned int *)t29) = 1; - goto LAB11; - -LAB10: t36 = (t29 + 4); - *((unsigned int *)t29) = 1; - *((unsigned int *)t36) = 1; - goto LAB11; - -LAB12: t43 = (t0 + 1048U); - t44 = *((char **)t43); - memset(t42, 0, 8); - t43 = (t42 + 4); - t45 = (t44 + 4); - t46 = *((unsigned int *)t44); - t47 = (t46 >> 12); - *((unsigned int *)t42) = t47; - t48 = *((unsigned int *)t45); - t49 = (t48 >> 12); - *((unsigned int *)t43) = t49; - t50 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t50 & 15U); - t51 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t51 & 15U); - t52 = ((char*)((ng11))); - memset(t53, 0, 8); - t54 = (t42 + 4); - t55 = (t52 + 4); - t56 = *((unsigned int *)t42); - t57 = *((unsigned int *)t52); - t58 = (t56 ^ t57); - t59 = *((unsigned int *)t54); - t60 = *((unsigned int *)t55); - t61 = (t59 ^ t60); - t62 = (t58 | t61); - t63 = *((unsigned int *)t54); - t64 = *((unsigned int *)t55); - t65 = (t63 | t64); - t66 = (~(t65)); - t67 = (t62 & t66); - if (t67 != 0) - goto LAB18; - -LAB15: if (t65 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t53) = 1; - -LAB18: memset(t69, 0, 8); - t70 = (t53 + 4); - t71 = *((unsigned int *)t70); - t72 = (~(t71)); - t73 = *((unsigned int *)t53); - t74 = (t73 & t72); - t75 = (t74 & 1U); - if (t75 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t70) != 0) - goto LAB21; - -LAB22: t78 = *((unsigned int *)t29); - t79 = *((unsigned int *)t69); - t80 = (t78 | t79); - *((unsigned int *)t77) = t80; - t81 = (t29 + 4); - t82 = (t69 + 4); - t83 = (t77 + 4); - t84 = *((unsigned int *)t81); - t85 = *((unsigned int *)t82); - t86 = (t84 | t85); - *((unsigned int *)t83) = t86; - t87 = *((unsigned int *)t83); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB23; - -LAB24: -LAB25: goto LAB14; - -LAB17: t68 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t68) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t69) = 1; - goto LAB22; - -LAB21: t76 = (t69 + 4); - *((unsigned int *)t69) = 1; - *((unsigned int *)t76) = 1; - goto LAB22; - -LAB23: t89 = *((unsigned int *)t77); - t90 = *((unsigned int *)t83); - *((unsigned int *)t77) = (t89 | t90); - t91 = (t29 + 4); - t92 = (t69 + 4); - t93 = *((unsigned int *)t91); - t94 = (~(t93)); - t95 = *((unsigned int *)t29); - t96 = (t95 & t94); - t97 = *((unsigned int *)t92); - t98 = (~(t97)); - t99 = *((unsigned int *)t69); - t100 = (t99 & t98); - t101 = (~(t96)); - t102 = (~(t100)); - t103 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t103 & t101); - t104 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t104 & t102); - goto LAB25; - -LAB26: *((unsigned int *)t105) = 1; - goto LAB29; - -LAB28: t112 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t112) = 1; - goto LAB29; - -LAB30: t119 = (t0 + 1048U); - t120 = *((char **)t119); - memset(t118, 0, 8); - t119 = (t118 + 4); - t121 = (t120 + 4); - t122 = *((unsigned int *)t120); - t123 = (t122 >> 12); - *((unsigned int *)t118) = t123; - t124 = *((unsigned int *)t121); - t125 = (t124 >> 12); - *((unsigned int *)t119) = t125; - t126 = *((unsigned int *)t118); - *((unsigned int *)t118) = (t126 & 15U); - t127 = *((unsigned int *)t119); - *((unsigned int *)t119) = (t127 & 15U); - t128 = ((char*)((ng12))); - memset(t129, 0, 8); - t130 = (t118 + 4); - t131 = (t128 + 4); - t132 = *((unsigned int *)t118); - t133 = *((unsigned int *)t128); - t134 = (t132 ^ t133); - t135 = *((unsigned int *)t130); - t136 = *((unsigned int *)t131); - t137 = (t135 ^ t136); - t138 = (t134 | t137); - t139 = *((unsigned int *)t130); - t140 = *((unsigned int *)t131); - t141 = (t139 | t140); - t142 = (~(t141)); - t143 = (t138 & t142); - if (t143 != 0) - goto LAB36; - -LAB33: if (t141 != 0) - goto LAB35; - -LAB34: *((unsigned int *)t129) = 1; - -LAB36: memset(t145, 0, 8); - t146 = (t129 + 4); - t147 = *((unsigned int *)t146); - t148 = (~(t147)); - t149 = *((unsigned int *)t129); - t150 = (t149 & t148); - t151 = (t150 & 1U); - if (t151 != 0) - goto LAB37; - -LAB38: if (*((unsigned int *)t146) != 0) - goto LAB39; - -LAB40: t154 = *((unsigned int *)t105); - t155 = *((unsigned int *)t145); - t156 = (t154 | t155); - *((unsigned int *)t153) = t156; - t157 = (t105 + 4); - t158 = (t145 + 4); - t159 = (t153 + 4); - t160 = *((unsigned int *)t157); - t161 = *((unsigned int *)t158); - t162 = (t160 | t161); - *((unsigned int *)t159) = t162; - t163 = *((unsigned int *)t159); - t164 = (t163 != 0); - if (t164 == 1) - goto LAB41; - -LAB42: -LAB43: goto LAB32; - -LAB35: t144 = (t129 + 4); - *((unsigned int *)t129) = 1; - *((unsigned int *)t144) = 1; - goto LAB36; - -LAB37: *((unsigned int *)t145) = 1; - goto LAB40; - -LAB39: t152 = (t145 + 4); - *((unsigned int *)t145) = 1; - *((unsigned int *)t152) = 1; - goto LAB40; - -LAB41: t165 = *((unsigned int *)t153); - t166 = *((unsigned int *)t159); - *((unsigned int *)t153) = (t165 | t166); - t167 = (t105 + 4); - t168 = (t145 + 4); - t169 = *((unsigned int *)t167); - t170 = (~(t169)); - t171 = *((unsigned int *)t105); - t172 = (t171 & t170); - t173 = *((unsigned int *)t168); - t174 = (~(t173)); - t175 = *((unsigned int *)t145); - t176 = (t175 & t174); - t177 = (~(t172)); - t178 = (~(t176)); - t179 = *((unsigned int *)t159); - *((unsigned int *)t159) = (t179 & t177); - t180 = *((unsigned int *)t159); - *((unsigned int *)t159) = (t180 & t178); - goto LAB43; - -LAB44: *((unsigned int *)t181) = 1; - goto LAB47; - -LAB46: t188 = (t181 + 4); - *((unsigned int *)t181) = 1; - *((unsigned int *)t188) = 1; - goto LAB47; - -LAB48: t195 = (t0 + 1048U); - t196 = *((char **)t195); - memset(t194, 0, 8); - t195 = (t194 + 4); - t197 = (t196 + 4); - t198 = *((unsigned int *)t196); - t199 = (t198 >> 12); - *((unsigned int *)t194) = t199; - t200 = *((unsigned int *)t197); - t201 = (t200 >> 12); - *((unsigned int *)t195) = t201; - t202 = *((unsigned int *)t194); - *((unsigned int *)t194) = (t202 & 15U); - t203 = *((unsigned int *)t195); - *((unsigned int *)t195) = (t203 & 15U); - t204 = ((char*)((ng8))); - memset(t205, 0, 8); - t206 = (t194 + 4); - t207 = (t204 + 4); - t208 = *((unsigned int *)t194); - t209 = *((unsigned int *)t204); - t210 = (t208 ^ t209); - t211 = *((unsigned int *)t206); - t212 = *((unsigned int *)t207); - t213 = (t211 ^ t212); - t214 = (t210 | t213); - t215 = *((unsigned int *)t206); - t216 = *((unsigned int *)t207); - t217 = (t215 | t216); - t218 = (~(t217)); - t219 = (t214 & t218); - if (t219 != 0) - goto LAB54; - -LAB51: if (t217 != 0) - goto LAB53; - -LAB52: *((unsigned int *)t205) = 1; - -LAB54: memset(t221, 0, 8); - t222 = (t205 + 4); - t223 = *((unsigned int *)t222); - t224 = (~(t223)); - t225 = *((unsigned int *)t205); - t226 = (t225 & t224); - t227 = (t226 & 1U); - if (t227 != 0) - goto LAB55; - -LAB56: if (*((unsigned int *)t222) != 0) - goto LAB57; - -LAB58: t230 = *((unsigned int *)t181); - t231 = *((unsigned int *)t221); - t232 = (t230 | t231); - *((unsigned int *)t229) = t232; - t233 = (t181 + 4); - t234 = (t221 + 4); - t235 = (t229 + 4); - t236 = *((unsigned int *)t233); - t237 = *((unsigned int *)t234); - t238 = (t236 | t237); - *((unsigned int *)t235) = t238; - t239 = *((unsigned int *)t235); - t240 = (t239 != 0); - if (t240 == 1) - goto LAB59; - -LAB60: -LAB61: goto LAB50; - -LAB53: t220 = (t205 + 4); - *((unsigned int *)t205) = 1; - *((unsigned int *)t220) = 1; - goto LAB54; - -LAB55: *((unsigned int *)t221) = 1; - goto LAB58; - -LAB57: t228 = (t221 + 4); - *((unsigned int *)t221) = 1; - *((unsigned int *)t228) = 1; - goto LAB58; - -LAB59: t241 = *((unsigned int *)t229); - t242 = *((unsigned int *)t235); - *((unsigned int *)t229) = (t241 | t242); - t243 = (t181 + 4); - t244 = (t221 + 4); - t245 = *((unsigned int *)t243); - t246 = (~(t245)); - t247 = *((unsigned int *)t181); - t248 = (t247 & t246); - t249 = *((unsigned int *)t244); - t250 = (~(t249)); - t251 = *((unsigned int *)t221); - t252 = (t251 & t250); - t253 = (~(t248)); - t254 = (~(t252)); - t255 = *((unsigned int *)t235); - *((unsigned int *)t235) = (t255 & t253); - t256 = *((unsigned int *)t235); - *((unsigned int *)t235) = (t256 & t254); - goto LAB61; - -LAB62: *((unsigned int *)t257) = 1; - goto LAB65; - -LAB64: t264 = (t257 + 4); - *((unsigned int *)t257) = 1; - *((unsigned int *)t264) = 1; - goto LAB65; - -LAB66: t271 = (t0 + 1048U); - t272 = *((char **)t271); - memset(t270, 0, 8); - t271 = (t270 + 4); - t273 = (t272 + 4); - t274 = *((unsigned int *)t272); - t275 = (t274 >> 12); - *((unsigned int *)t270) = t275; - t276 = *((unsigned int *)t273); - t277 = (t276 >> 12); - *((unsigned int *)t271) = t277; - t278 = *((unsigned int *)t270); - *((unsigned int *)t270) = (t278 & 15U); - t279 = *((unsigned int *)t271); - *((unsigned int *)t271) = (t279 & 15U); - t280 = ((char*)((ng13))); - memset(t281, 0, 8); - t282 = (t270 + 4); - t283 = (t280 + 4); - t284 = *((unsigned int *)t270); - t285 = *((unsigned int *)t280); - t286 = (t284 ^ t285); - t287 = *((unsigned int *)t282); - t288 = *((unsigned int *)t283); - t289 = (t287 ^ t288); - t290 = (t286 | t289); - t291 = *((unsigned int *)t282); - t292 = *((unsigned int *)t283); - t293 = (t291 | t292); - t294 = (~(t293)); - t295 = (t290 & t294); - if (t295 != 0) - goto LAB72; - -LAB69: if (t293 != 0) - goto LAB71; - -LAB70: *((unsigned int *)t281) = 1; - -LAB72: memset(t297, 0, 8); - t298 = (t281 + 4); - t299 = *((unsigned int *)t298); - t300 = (~(t299)); - t301 = *((unsigned int *)t281); - t302 = (t301 & t300); - t303 = (t302 & 1U); - if (t303 != 0) - goto LAB73; - -LAB74: if (*((unsigned int *)t298) != 0) - goto LAB75; - -LAB76: t306 = *((unsigned int *)t257); - t307 = *((unsigned int *)t297); - t308 = (t306 | t307); - *((unsigned int *)t305) = t308; - t309 = (t257 + 4); - t310 = (t297 + 4); - t311 = (t305 + 4); - t312 = *((unsigned int *)t309); - t313 = *((unsigned int *)t310); - t314 = (t312 | t313); - *((unsigned int *)t311) = t314; - t315 = *((unsigned int *)t311); - t316 = (t315 != 0); - if (t316 == 1) - goto LAB77; - -LAB78: -LAB79: goto LAB68; - -LAB71: t296 = (t281 + 4); - *((unsigned int *)t281) = 1; - *((unsigned int *)t296) = 1; - goto LAB72; - -LAB73: *((unsigned int *)t297) = 1; - goto LAB76; - -LAB75: t304 = (t297 + 4); - *((unsigned int *)t297) = 1; - *((unsigned int *)t304) = 1; - goto LAB76; - -LAB77: t317 = *((unsigned int *)t305); - t318 = *((unsigned int *)t311); - *((unsigned int *)t305) = (t317 | t318); - t319 = (t257 + 4); - t320 = (t297 + 4); - t321 = *((unsigned int *)t319); - t322 = (~(t321)); - t323 = *((unsigned int *)t257); - t324 = (t323 & t322); - t325 = *((unsigned int *)t320); - t326 = (~(t325)); - t327 = *((unsigned int *)t297); - t328 = (t327 & t326); - t329 = (~(t324)); - t330 = (~(t328)); - t331 = *((unsigned int *)t311); - *((unsigned int *)t311) = (t331 & t329); - t332 = *((unsigned int *)t311); - *((unsigned int *)t311) = (t332 & t330); - goto LAB79; - -LAB80: *((unsigned int *)t333) = 1; - goto LAB83; - -LAB82: t340 = (t333 + 4); - *((unsigned int *)t333) = 1; - *((unsigned int *)t340) = 1; - goto LAB83; - -LAB84: t347 = (t0 + 1048U); - t348 = *((char **)t347); - memset(t346, 0, 8); - t347 = (t346 + 4); - t349 = (t348 + 4); - t350 = *((unsigned int *)t348); - t351 = (t350 >> 12); - *((unsigned int *)t346) = t351; - t352 = *((unsigned int *)t349); - t353 = (t352 >> 12); - *((unsigned int *)t347) = t353; - t354 = *((unsigned int *)t346); - *((unsigned int *)t346) = (t354 & 15U); - t355 = *((unsigned int *)t347); - *((unsigned int *)t347) = (t355 & 15U); - t356 = ((char*)((ng14))); - memset(t357, 0, 8); - t358 = (t346 + 4); - t359 = (t356 + 4); - t360 = *((unsigned int *)t346); - t361 = *((unsigned int *)t356); - t362 = (t360 ^ t361); - t363 = *((unsigned int *)t358); - t364 = *((unsigned int *)t359); - t365 = (t363 ^ t364); - t366 = (t362 | t365); - t367 = *((unsigned int *)t358); - t368 = *((unsigned int *)t359); - t369 = (t367 | t368); - t370 = (~(t369)); - t371 = (t366 & t370); - if (t371 != 0) - goto LAB90; - -LAB87: if (t369 != 0) - goto LAB89; - -LAB88: *((unsigned int *)t357) = 1; - -LAB90: memset(t373, 0, 8); - t374 = (t357 + 4); - t375 = *((unsigned int *)t374); - t376 = (~(t375)); - t377 = *((unsigned int *)t357); - t378 = (t377 & t376); - t379 = (t378 & 1U); - if (t379 != 0) - goto LAB91; - -LAB92: if (*((unsigned int *)t374) != 0) - goto LAB93; - -LAB94: t382 = *((unsigned int *)t333); - t383 = *((unsigned int *)t373); - t384 = (t382 | t383); - *((unsigned int *)t381) = t384; - t385 = (t333 + 4); - t386 = (t373 + 4); - t387 = (t381 + 4); - t388 = *((unsigned int *)t385); - t389 = *((unsigned int *)t386); - t390 = (t388 | t389); - *((unsigned int *)t387) = t390; - t391 = *((unsigned int *)t387); - t392 = (t391 != 0); - if (t392 == 1) - goto LAB95; - -LAB96: -LAB97: goto LAB86; - -LAB89: t372 = (t357 + 4); - *((unsigned int *)t357) = 1; - *((unsigned int *)t372) = 1; - goto LAB90; - -LAB91: *((unsigned int *)t373) = 1; - goto LAB94; - -LAB93: t380 = (t373 + 4); - *((unsigned int *)t373) = 1; - *((unsigned int *)t380) = 1; - goto LAB94; - -LAB95: t393 = *((unsigned int *)t381); - t394 = *((unsigned int *)t387); - *((unsigned int *)t381) = (t393 | t394); - t395 = (t333 + 4); - t396 = (t373 + 4); - t397 = *((unsigned int *)t395); - t398 = (~(t397)); - t399 = *((unsigned int *)t333); - t400 = (t399 & t398); - t401 = *((unsigned int *)t396); - t402 = (~(t401)); - t403 = *((unsigned int *)t373); - t404 = (t403 & t402); - t405 = (~(t400)); - t406 = (~(t404)); - t407 = *((unsigned int *)t387); - *((unsigned int *)t387) = (t407 & t405); - t408 = *((unsigned int *)t387); - *((unsigned int *)t387) = (t408 & t406); - goto LAB97; - -LAB98: *((unsigned int *)t409) = 1; - goto LAB101; - -LAB100: t416 = (t409 + 4); - *((unsigned int *)t409) = 1; - *((unsigned int *)t416) = 1; - goto LAB101; - -LAB102: t423 = (t0 + 1048U); - t424 = *((char **)t423); - memset(t422, 0, 8); - t423 = (t422 + 4); - t425 = (t424 + 4); - t426 = *((unsigned int *)t424); - t427 = (t426 >> 12); - *((unsigned int *)t422) = t427; - t428 = *((unsigned int *)t425); - t429 = (t428 >> 12); - *((unsigned int *)t423) = t429; - t430 = *((unsigned int *)t422); - *((unsigned int *)t422) = (t430 & 15U); - t431 = *((unsigned int *)t423); - *((unsigned int *)t423) = (t431 & 15U); - t432 = ((char*)((ng15))); - memset(t433, 0, 8); - t434 = (t422 + 4); - t435 = (t432 + 4); - t436 = *((unsigned int *)t422); - t437 = *((unsigned int *)t432); - t438 = (t436 ^ t437); - t439 = *((unsigned int *)t434); - t440 = *((unsigned int *)t435); - t441 = (t439 ^ t440); - t442 = (t438 | t441); - t443 = *((unsigned int *)t434); - t444 = *((unsigned int *)t435); - t445 = (t443 | t444); - t446 = (~(t445)); - t447 = (t442 & t446); - if (t447 != 0) - goto LAB108; - -LAB105: if (t445 != 0) - goto LAB107; - -LAB106: *((unsigned int *)t433) = 1; - -LAB108: memset(t449, 0, 8); - t450 = (t433 + 4); - t451 = *((unsigned int *)t450); - t452 = (~(t451)); - t453 = *((unsigned int *)t433); - t454 = (t453 & t452); - t455 = (t454 & 1U); - if (t455 != 0) - goto LAB109; - -LAB110: if (*((unsigned int *)t450) != 0) - goto LAB111; - -LAB112: t458 = *((unsigned int *)t409); - t459 = *((unsigned int *)t449); - t460 = (t458 | t459); - *((unsigned int *)t457) = t460; - t461 = (t409 + 4); - t462 = (t449 + 4); - t463 = (t457 + 4); - t464 = *((unsigned int *)t461); - t465 = *((unsigned int *)t462); - t466 = (t464 | t465); - *((unsigned int *)t463) = t466; - t467 = *((unsigned int *)t463); - t468 = (t467 != 0); - if (t468 == 1) - goto LAB113; - -LAB114: -LAB115: goto LAB104; - -LAB107: t448 = (t433 + 4); - *((unsigned int *)t433) = 1; - *((unsigned int *)t448) = 1; - goto LAB108; - -LAB109: *((unsigned int *)t449) = 1; - goto LAB112; - -LAB111: t456 = (t449 + 4); - *((unsigned int *)t449) = 1; - *((unsigned int *)t456) = 1; - goto LAB112; - -LAB113: t469 = *((unsigned int *)t457); - t470 = *((unsigned int *)t463); - *((unsigned int *)t457) = (t469 | t470); - t471 = (t409 + 4); - t472 = (t449 + 4); - t473 = *((unsigned int *)t471); - t474 = (~(t473)); - t475 = *((unsigned int *)t409); - t476 = (t475 & t474); - t477 = *((unsigned int *)t472); - t478 = (~(t477)); - t479 = *((unsigned int *)t449); - t480 = (t479 & t478); - t481 = (~(t476)); - t482 = (~(t480)); - t483 = *((unsigned int *)t463); - *((unsigned int *)t463) = (t483 & t481); - t484 = *((unsigned int *)t463); - *((unsigned int *)t463) = (t484 & t482); - goto LAB115; - -LAB116: *((unsigned int *)t485) = 1; - goto LAB119; - -LAB118: t492 = (t485 + 4); - *((unsigned int *)t485) = 1; - *((unsigned int *)t492) = 1; - goto LAB119; - -LAB120: t499 = (t0 + 1048U); - t500 = *((char **)t499); - memset(t498, 0, 8); - t499 = (t498 + 4); - t501 = (t500 + 4); - t502 = *((unsigned int *)t500); - t503 = (t502 >> 12); - *((unsigned int *)t498) = t503; - t504 = *((unsigned int *)t501); - t505 = (t504 >> 12); - *((unsigned int *)t499) = t505; - t506 = *((unsigned int *)t498); - *((unsigned int *)t498) = (t506 & 15U); - t507 = *((unsigned int *)t499); - *((unsigned int *)t499) = (t507 & 15U); - t508 = ((char*)((ng7))); - memset(t509, 0, 8); - t510 = (t498 + 4); - t511 = (t508 + 4); - t512 = *((unsigned int *)t498); - t513 = *((unsigned int *)t508); - t514 = (t512 ^ t513); - t515 = *((unsigned int *)t510); - t516 = *((unsigned int *)t511); - t517 = (t515 ^ t516); - t518 = (t514 | t517); - t519 = *((unsigned int *)t510); - t520 = *((unsigned int *)t511); - t521 = (t519 | t520); - t522 = (~(t521)); - t523 = (t518 & t522); - if (t523 != 0) - goto LAB126; - -LAB123: if (t521 != 0) - goto LAB125; - -LAB124: *((unsigned int *)t509) = 1; - -LAB126: memset(t525, 0, 8); - t526 = (t509 + 4); - t527 = *((unsigned int *)t526); - t528 = (~(t527)); - t529 = *((unsigned int *)t509); - t530 = (t529 & t528); - t531 = (t530 & 1U); - if (t531 != 0) - goto LAB127; - -LAB128: if (*((unsigned int *)t526) != 0) - goto LAB129; - -LAB130: t534 = *((unsigned int *)t485); - t535 = *((unsigned int *)t525); - t536 = (t534 | t535); - *((unsigned int *)t533) = t536; - t537 = (t485 + 4); - t538 = (t525 + 4); - t539 = (t533 + 4); - t540 = *((unsigned int *)t537); - t541 = *((unsigned int *)t538); - t542 = (t540 | t541); - *((unsigned int *)t539) = t542; - t543 = *((unsigned int *)t539); - t544 = (t543 != 0); - if (t544 == 1) - goto LAB131; - -LAB132: -LAB133: goto LAB122; - -LAB125: t524 = (t509 + 4); - *((unsigned int *)t509) = 1; - *((unsigned int *)t524) = 1; - goto LAB126; - -LAB127: *((unsigned int *)t525) = 1; - goto LAB130; - -LAB129: t532 = (t525 + 4); - *((unsigned int *)t525) = 1; - *((unsigned int *)t532) = 1; - goto LAB130; - -LAB131: t545 = *((unsigned int *)t533); - t546 = *((unsigned int *)t539); - *((unsigned int *)t533) = (t545 | t546); - t547 = (t485 + 4); - t548 = (t525 + 4); - t549 = *((unsigned int *)t547); - t550 = (~(t549)); - t551 = *((unsigned int *)t485); - t552 = (t551 & t550); - t553 = *((unsigned int *)t548); - t554 = (~(t553)); - t555 = *((unsigned int *)t525); - t556 = (t555 & t554); - t557 = (~(t552)); - t558 = (~(t556)); - t559 = *((unsigned int *)t539); - *((unsigned int *)t539) = (t559 & t557); - t560 = *((unsigned int *)t539); - *((unsigned int *)t539) = (t560 & t558); - goto LAB133; - -LAB134: *((unsigned int *)t561) = 1; - goto LAB137; - -LAB136: t568 = (t561 + 4); - *((unsigned int *)t561) = 1; - *((unsigned int *)t568) = 1; - goto LAB137; - -LAB138: t575 = (t0 + 1048U); - t576 = *((char **)t575); - memset(t574, 0, 8); - t575 = (t574 + 4); - t577 = (t576 + 4); - t578 = *((unsigned int *)t576); - t579 = (t578 >> 12); - *((unsigned int *)t574) = t579; - t580 = *((unsigned int *)t577); - t581 = (t580 >> 12); - *((unsigned int *)t575) = t581; - t582 = *((unsigned int *)t574); - *((unsigned int *)t574) = (t582 & 15U); - t583 = *((unsigned int *)t575); - *((unsigned int *)t575) = (t583 & 15U); - t584 = ((char*)((ng6))); - memset(t585, 0, 8); - t586 = (t574 + 4); - t587 = (t584 + 4); - t588 = *((unsigned int *)t574); - t589 = *((unsigned int *)t584); - t590 = (t588 ^ t589); - t591 = *((unsigned int *)t586); - t592 = *((unsigned int *)t587); - t593 = (t591 ^ t592); - t594 = (t590 | t593); - t595 = *((unsigned int *)t586); - t596 = *((unsigned int *)t587); - t597 = (t595 | t596); - t598 = (~(t597)); - t599 = (t594 & t598); - if (t599 != 0) - goto LAB144; - -LAB141: if (t597 != 0) - goto LAB143; - -LAB142: *((unsigned int *)t585) = 1; - -LAB144: memset(t601, 0, 8); - t602 = (t585 + 4); - t603 = *((unsigned int *)t602); - t604 = (~(t603)); - t605 = *((unsigned int *)t585); - t606 = (t605 & t604); - t607 = (t606 & 1U); - if (t607 != 0) - goto LAB145; - -LAB146: if (*((unsigned int *)t602) != 0) - goto LAB147; - -LAB148: t610 = *((unsigned int *)t561); - t611 = *((unsigned int *)t601); - t612 = (t610 | t611); - *((unsigned int *)t609) = t612; - t613 = (t561 + 4); - t614 = (t601 + 4); - t615 = (t609 + 4); - t616 = *((unsigned int *)t613); - t617 = *((unsigned int *)t614); - t618 = (t616 | t617); - *((unsigned int *)t615) = t618; - t619 = *((unsigned int *)t615); - t620 = (t619 != 0); - if (t620 == 1) - goto LAB149; - -LAB150: -LAB151: goto LAB140; - -LAB143: t600 = (t585 + 4); - *((unsigned int *)t585) = 1; - *((unsigned int *)t600) = 1; - goto LAB144; - -LAB145: *((unsigned int *)t601) = 1; - goto LAB148; - -LAB147: t608 = (t601 + 4); - *((unsigned int *)t601) = 1; - *((unsigned int *)t608) = 1; - goto LAB148; - -LAB149: t621 = *((unsigned int *)t609); - t622 = *((unsigned int *)t615); - *((unsigned int *)t609) = (t621 | t622); - t623 = (t561 + 4); - t624 = (t601 + 4); - t625 = *((unsigned int *)t623); - t626 = (~(t625)); - t627 = *((unsigned int *)t561); - t628 = (t627 & t626); - t629 = *((unsigned int *)t624); - t630 = (~(t629)); - t631 = *((unsigned int *)t601); - t632 = (t631 & t630); - t633 = (~(t628)); - t634 = (~(t632)); - t635 = *((unsigned int *)t615); - *((unsigned int *)t615) = (t635 & t633); - t636 = *((unsigned int *)t615); - *((unsigned int *)t615) = (t636 & t634); - goto LAB151; - -LAB152: *((unsigned int *)t637) = 1; - goto LAB155; - -LAB154: t644 = (t637 + 4); - *((unsigned int *)t637) = 1; - *((unsigned int *)t644) = 1; - goto LAB155; - -LAB156: t650 = (t0 + 2968U); - t651 = *((char **)t650); - memset(t652, 0, 8); - t650 = (t651 + 4); - t653 = *((unsigned int *)t650); - t654 = (~(t653)); - t655 = *((unsigned int *)t651); - t656 = (t655 & t654); - t657 = (t656 & 1U); - if (t657 != 0) - goto LAB159; - -LAB160: if (*((unsigned int *)t650) != 0) - goto LAB161; - -LAB162: t660 = *((unsigned int *)t637); - t661 = *((unsigned int *)t652); - t662 = (t660 | t661); - *((unsigned int *)t659) = t662; - t663 = (t637 + 4); - t664 = (t652 + 4); - t665 = (t659 + 4); - t666 = *((unsigned int *)t663); - t667 = *((unsigned int *)t664); - t668 = (t666 | t667); - *((unsigned int *)t665) = t668; - t669 = *((unsigned int *)t665); - t670 = (t669 != 0); - if (t670 == 1) - goto LAB163; - -LAB164: -LAB165: goto LAB158; - -LAB159: *((unsigned int *)t652) = 1; - goto LAB162; - -LAB161: t658 = (t652 + 4); - *((unsigned int *)t652) = 1; - *((unsigned int *)t658) = 1; - goto LAB162; - -LAB163: t671 = *((unsigned int *)t659); - t672 = *((unsigned int *)t665); - *((unsigned int *)t659) = (t671 | t672); - t673 = (t637 + 4); - t674 = (t652 + 4); - t675 = *((unsigned int *)t673); - t676 = (~(t675)); - t677 = *((unsigned int *)t637); - t678 = (t677 & t676); - t679 = *((unsigned int *)t674); - t680 = (~(t679)); - t681 = *((unsigned int *)t652); - t682 = (t681 & t680); - t683 = (~(t678)); - t684 = (~(t682)); - t685 = *((unsigned int *)t665); - *((unsigned int *)t665) = (t685 & t683); - t686 = *((unsigned int *)t665); - *((unsigned int *)t665) = (t686 & t684); - goto LAB165; - -} - - -extern void work_m_00000000003586053589_0453017098_init() -{ - static char *pe[] = {(void *)NetDecl_12_0,(void *)NetDecl_13_1,(void *)Always_14_2,(void *)Always_18_3,(void *)Cont_23_4,(void *)NetDecl_25_5,(void *)Cont_26_6,(void *)Cont_30_7,(void *)Cont_33_8,(void *)Cont_34_9}; - xsi_register_didat("work_m_00000000003586053589_0453017098", "isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat deleted file mode 100644 index 229fac1..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj deleted file mode 100644 index 050e4f8..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index b05f65d..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.nt64.obj deleted file mode 100644 index 02488a9..0000000 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.nt64.obj and /dev/null differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg new file mode 100644 index 0000000..23c2b34 Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimcrash.log similarity index 100% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimcrash.log rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimcrash.log diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..6992bed --- /dev/null +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + t_fsb_isim_beh.exe + -simmode gui + -simrunnum 2 + -socket 65110 + +Sat Dec 11 08:29:19 2021 + + + Elaboration Time: 0.0625 sec + + Current Memory Usage: 5140.46 Meg + + Total Signals : 30 + Total Nets : 27 + Total Signal Drivers : 19 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 24 + Total Traceable Variables : 34 + Total Scalar Nets and Variables : 123 + + Total Simulation Time: 0.078125 sec + + Current Memory Usage: 4668.6 Meg + +Sun Dec 12 07:05:26 2021 + diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/libPortability.dll similarity index 100% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/libPortability.dll rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/libPortability.dll diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat new file mode 100644 index 0000000..84f1de6 Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe similarity index 56% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe index 640ba59..48d934f 100644 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000..6165745 Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c new file mode 100644 index 0000000..f90b989 --- /dev/null +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c @@ -0,0 +1,677 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v"; +static int ng1[] = {1, 0}; +static int ng2[] = {0, 0}; + + + +static void Initial_59_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 3968U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(59, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(63, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(64, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(65, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(66, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2728); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(67, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(68, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(70, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(70, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(71, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(71, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(72, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(72, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(76, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(76, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(77, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(142, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(142, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(149, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(150, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(151, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(154, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(155, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(155, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(156, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(156, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(157, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(158, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(158, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(159, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(159, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(160, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(160, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(161, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(161, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(162, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(162, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(163, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(163, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(164, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(164, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(166, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(166, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(167, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(168, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 15000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(170, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(170, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(171, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(171, ng0); + t2 = (t0 + 3776); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: goto LAB1; + +} + + +extern void work_m_00000000001435061139_3156757336_init() +{ + static char *pe[] = {(void *)Initial_59_0}; + xsi_register_didat("work_m_00000000001435061139_3156757336", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat new file mode 100644 index 0000000..9a890f2 Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj new file mode 100644 index 0000000..82f0c33 Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c new file mode 100644 index 0000000..038b6c3 --- /dev/null +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c @@ -0,0 +1,2898 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; + + + +static void Always_15_0(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + +LAB0: t1 = (t0 + 5408U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(15, ng0); + t2 = (t0 + 7464); + *((int *)t2) = 1; + t3 = (t0 + 5440); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(15, ng0); + +LAB5: xsi_set_current_line(15, ng0); + t5 = (t0 + 1208U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 3528); + xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +} + +static void Cont_16_1(char *t0) +{ + char t3[8]; + char t21[8]; + char t37[8]; + char t45[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + char *t36; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + char *t73; + char *t74; + char *t75; + char *t76; + char *t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + char *t83; + unsigned int t84; + unsigned int t85; + char *t86; + +LAB0: t1 = (t0 + 5656U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1208U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + memset(t21, 0, 8); + t22 = (t3 + 4); + t23 = *((unsigned int *)t22); + t24 = (~(t23)); + t25 = *((unsigned int *)t3); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t22) != 0) + goto LAB12; + +LAB13: t29 = (t21 + 4); + t30 = *((unsigned int *)t21); + t31 = (!(t30)); + t32 = *((unsigned int *)t29); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t45, t21, 8); + +LAB16: t73 = (t0 + 7656); + t74 = (t73 + 56U); + t75 = *((char **)t74); + t76 = (t75 + 56U); + t77 = *((char **)t76); + memset(t77, 0, 8); + t78 = 1U; + t79 = t78; + t80 = (t45 + 4); + t81 = *((unsigned int *)t45); + t78 = (t78 & t81); + t82 = *((unsigned int *)t80); + t79 = (t79 & t82); + t83 = (t77 + 4); + t84 = *((unsigned int *)t77); + *((unsigned int *)t77) = (t84 | t78); + t85 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t85 | t79); + xsi_driver_vfirst_trans(t73, 0, 0); + t86 = (t0 + 7480); + *((int *)t86) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +LAB10: *((unsigned int *)t21) = 1; + goto LAB13; + +LAB12: t28 = (t21 + 4); + *((unsigned int *)t21) = 1; + *((unsigned int *)t28) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 3528); + t35 = (t34 + 56U); + t36 = *((char **)t35); + memset(t37, 0, 8); + t38 = (t36 + 4); + t39 = *((unsigned int *)t38); + t40 = (~(t39)); + t41 = *((unsigned int *)t36); + t42 = (t41 & t40); + t43 = (t42 & 1U); + if (t43 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t38) != 0) + goto LAB19; + +LAB20: t46 = *((unsigned int *)t21); + t47 = *((unsigned int *)t37); + t48 = (t46 | t47); + *((unsigned int *)t45) = t48; + t49 = (t21 + 4); + t50 = (t37 + 4); + t51 = (t45 + 4); + t52 = *((unsigned int *)t49); + t53 = *((unsigned int *)t50); + t54 = (t52 | t53); + *((unsigned int *)t51) = t54; + t55 = *((unsigned int *)t51); + t56 = (t55 != 0); + if (t56 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t37) = 1; + goto LAB20; + +LAB19: t44 = (t37 + 4); + *((unsigned int *)t37) = 1; + *((unsigned int *)t44) = 1; + goto LAB20; + +LAB21: t57 = *((unsigned int *)t45); + t58 = *((unsigned int *)t51); + *((unsigned int *)t45) = (t57 | t58); + t59 = (t21 + 4); + t60 = (t37 + 4); + t61 = *((unsigned int *)t59); + t62 = (~(t61)); + t63 = *((unsigned int *)t21); + t64 = (t63 & t62); + t65 = *((unsigned int *)t60); + t66 = (~(t65)); + t67 = *((unsigned int *)t37); + t68 = (t67 & t66); + t69 = (~(t64)); + t70 = (~(t68)); + t71 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t71 & t69); + t72 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t72 & t70); + goto LAB23; + +} + +static void NetDecl_21_2(char *t0) +{ + char t4[8]; + char t19[8]; + char t27[8]; + char t55[8]; + char t69[8]; + char t84[8]; + char t92[8]; + char t120[8]; + char t128[8]; + char t160[8]; + char t174[8]; + char t189[8]; + char t197[8]; + char t225[8]; + char t233[8]; + char *t1; + char *t2; + char *t3; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + char *t17; + char *t18; + char *t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + char *t26; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + char *t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + char *t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + char *t67; + char *t68; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + unsigned int t93; + unsigned int t94; + unsigned int t95; + char *t96; + char *t97; + char *t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + char *t127; + unsigned int t129; + unsigned int t130; + unsigned int t131; + char *t132; + char *t133; + char *t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + char *t142; + char *t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + int t152; + int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + char *t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + char *t167; + char *t168; + unsigned int t169; + unsigned int t170; + unsigned int t171; + char *t172; + char *t173; + unsigned int t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + char *t180; + char *t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + char *t186; + char *t187; + char *t188; + char *t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + char *t196; + unsigned int t198; + unsigned int t199; + unsigned int t200; + char *t201; + char *t202; + char *t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + unsigned int t209; + unsigned int t210; + char *t211; + char *t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + char *t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + char *t232; + unsigned int t234; + unsigned int t235; + unsigned int t236; + char *t237; + char *t238; + char *t239; + unsigned int t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + unsigned int t244; + unsigned int t245; + unsigned int t246; + char *t247; + char *t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + unsigned int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + int t257; + int t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + char *t265; + char *t266; + char *t267; + char *t268; + char *t269; + unsigned int t270; + unsigned int t271; + char *t272; + unsigned int t273; + unsigned int t274; + char *t275; + unsigned int t276; + unsigned int t277; + char *t278; + +LAB0: t1 = (t0 + 5904U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(21, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t3); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t2) != 0) + goto LAB6; + +LAB7: t11 = (t4 + 4); + t12 = *((unsigned int *)t4); + t13 = (!(t12)); + t14 = *((unsigned int *)t11); + t15 = (t13 || t14); + if (t15 > 0) + goto LAB8; + +LAB9: memcpy(t27, t4, 8); + +LAB10: memset(t55, 0, 8); + t56 = (t27 + 4); + t57 = *((unsigned int *)t56); + t58 = (~(t57)); + t59 = *((unsigned int *)t27); + t60 = (t59 & t58); + t61 = (t60 & 1U); + if (t61 != 0) + goto LAB18; + +LAB19: if (*((unsigned int *)t56) != 0) + goto LAB20; + +LAB21: t63 = (t55 + 4); + t64 = *((unsigned int *)t55); + t65 = *((unsigned int *)t63); + t66 = (t64 || t65); + if (t66 > 0) + goto LAB22; + +LAB23: memcpy(t128, t55, 8); + +LAB24: memset(t160, 0, 8); + t161 = (t128 + 4); + t162 = *((unsigned int *)t161); + t163 = (~(t162)); + t164 = *((unsigned int *)t128); + t165 = (t164 & t163); + t166 = (t165 & 1U); + if (t166 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t161) != 0) + goto LAB48; + +LAB49: t168 = (t160 + 4); + t169 = *((unsigned int *)t160); + t170 = *((unsigned int *)t168); + t171 = (t169 || t170); + if (t171 > 0) + goto LAB50; + +LAB51: memcpy(t233, t160, 8); + +LAB52: t265 = (t0 + 7720); + t266 = (t265 + 56U); + t267 = *((char **)t266); + t268 = (t267 + 56U); + t269 = *((char **)t268); + memset(t269, 0, 8); + t270 = 1U; + t271 = t270; + t272 = (t233 + 4); + t273 = *((unsigned int *)t233); + t270 = (t270 & t273); + t274 = *((unsigned int *)t272); + t271 = (t271 & t274); + t275 = (t269 + 4); + t276 = *((unsigned int *)t269); + *((unsigned int *)t269) = (t276 | t270); + t277 = *((unsigned int *)t275); + *((unsigned int *)t275) = (t277 | t271); + xsi_driver_vfirst_trans(t265, 0, 0U); + t278 = (t0 + 7496); + *((int *)t278) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t10 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t10) = 1; + goto LAB7; + +LAB8: t16 = (t0 + 3688); + t17 = (t16 + 56U); + t18 = *((char **)t17); + memset(t19, 0, 8); + t20 = (t18 + 4); + t21 = *((unsigned int *)t20); + t22 = (~(t21)); + t23 = *((unsigned int *)t18); + t24 = (t23 & t22); + t25 = (t24 & 1U); + if (t25 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t20) != 0) + goto LAB13; + +LAB14: t28 = *((unsigned int *)t4); + t29 = *((unsigned int *)t19); + t30 = (t28 | t29); + *((unsigned int *)t27) = t30; + t31 = (t4 + 4); + t32 = (t19 + 4); + t33 = (t27 + 4); + t34 = *((unsigned int *)t31); + t35 = *((unsigned int *)t32); + t36 = (t34 | t35); + *((unsigned int *)t33) = t36; + t37 = *((unsigned int *)t33); + t38 = (t37 != 0); + if (t38 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t19) = 1; + goto LAB14; + +LAB13: t26 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t26) = 1; + goto LAB14; + +LAB15: t39 = *((unsigned int *)t27); + t40 = *((unsigned int *)t33); + *((unsigned int *)t27) = (t39 | t40); + t41 = (t4 + 4); + t42 = (t19 + 4); + t43 = *((unsigned int *)t41); + t44 = (~(t43)); + t45 = *((unsigned int *)t4); + t46 = (t45 & t44); + t47 = *((unsigned int *)t42); + t48 = (~(t47)); + t49 = *((unsigned int *)t19); + t50 = (t49 & t48); + t51 = (~(t46)); + t52 = (~(t50)); + t53 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t53 & t51); + t54 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t54 & t52); + goto LAB17; + +LAB18: *((unsigned int *)t55) = 1; + goto LAB21; + +LAB20: t62 = (t55 + 4); + *((unsigned int *)t55) = 1; + *((unsigned int *)t62) = 1; + goto LAB21; + +LAB22: t67 = (t0 + 2008U); + t68 = *((char **)t67); + memset(t69, 0, 8); + t67 = (t68 + 4); + t70 = *((unsigned int *)t67); + t71 = (~(t70)); + t72 = *((unsigned int *)t68); + t73 = (t72 & t71); + t74 = (t73 & 1U); + if (t74 != 0) + goto LAB25; + +LAB26: if (*((unsigned int *)t67) != 0) + goto LAB27; + +LAB28: t76 = (t69 + 4); + t77 = *((unsigned int *)t69); + t78 = (!(t77)); + t79 = *((unsigned int *)t76); + t80 = (t78 || t79); + if (t80 > 0) + goto LAB29; + +LAB30: memcpy(t92, t69, 8); + +LAB31: memset(t120, 0, 8); + t121 = (t92 + 4); + t122 = *((unsigned int *)t121); + t123 = (~(t122)); + t124 = *((unsigned int *)t92); + t125 = (t124 & t123); + t126 = (t125 & 1U); + if (t126 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t121) != 0) + goto LAB41; + +LAB42: t129 = *((unsigned int *)t55); + t130 = *((unsigned int *)t120); + t131 = (t129 & t130); + *((unsigned int *)t128) = t131; + t132 = (t55 + 4); + t133 = (t120 + 4); + t134 = (t128 + 4); + t135 = *((unsigned int *)t132); + t136 = *((unsigned int *)t133); + t137 = (t135 | t136); + *((unsigned int *)t134) = t137; + t138 = *((unsigned int *)t134); + t139 = (t138 != 0); + if (t139 == 1) + goto LAB43; + +LAB44: +LAB45: goto LAB24; + +LAB25: *((unsigned int *)t69) = 1; + goto LAB28; + +LAB27: t75 = (t69 + 4); + *((unsigned int *)t69) = 1; + *((unsigned int *)t75) = 1; + goto LAB28; + +LAB29: t81 = (t0 + 3848); + t82 = (t81 + 56U); + t83 = *((char **)t82); + memset(t84, 0, 8); + t85 = (t83 + 4); + t86 = *((unsigned int *)t85); + t87 = (~(t86)); + t88 = *((unsigned int *)t83); + t89 = (t88 & t87); + t90 = (t89 & 1U); + if (t90 != 0) + goto LAB32; + +LAB33: if (*((unsigned int *)t85) != 0) + goto LAB34; + +LAB35: t93 = *((unsigned int *)t69); + t94 = *((unsigned int *)t84); + t95 = (t93 | t94); + *((unsigned int *)t92) = t95; + t96 = (t69 + 4); + t97 = (t84 + 4); + t98 = (t92 + 4); + t99 = *((unsigned int *)t96); + t100 = *((unsigned int *)t97); + t101 = (t99 | t100); + *((unsigned int *)t98) = t101; + t102 = *((unsigned int *)t98); + t103 = (t102 != 0); + if (t103 == 1) + goto LAB36; + +LAB37: +LAB38: goto LAB31; + +LAB32: *((unsigned int *)t84) = 1; + goto LAB35; + +LAB34: t91 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t91) = 1; + goto LAB35; + +LAB36: t104 = *((unsigned int *)t92); + t105 = *((unsigned int *)t98); + *((unsigned int *)t92) = (t104 | t105); + t106 = (t69 + 4); + t107 = (t84 + 4); + t108 = *((unsigned int *)t106); + t109 = (~(t108)); + t110 = *((unsigned int *)t69); + t111 = (t110 & t109); + t112 = *((unsigned int *)t107); + t113 = (~(t112)); + t114 = *((unsigned int *)t84); + t115 = (t114 & t113); + t116 = (~(t111)); + t117 = (~(t115)); + t118 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t118 & t116); + t119 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t119 & t117); + goto LAB38; + +LAB39: *((unsigned int *)t120) = 1; + goto LAB42; + +LAB41: t127 = (t120 + 4); + *((unsigned int *)t120) = 1; + *((unsigned int *)t127) = 1; + goto LAB42; + +LAB43: t140 = *((unsigned int *)t128); + t141 = *((unsigned int *)t134); + *((unsigned int *)t128) = (t140 | t141); + t142 = (t55 + 4); + t143 = (t120 + 4); + t144 = *((unsigned int *)t55); + t145 = (~(t144)); + t146 = *((unsigned int *)t142); + t147 = (~(t146)); + t148 = *((unsigned int *)t120); + t149 = (~(t148)); + t150 = *((unsigned int *)t143); + t151 = (~(t150)); + t152 = (t145 & t147); + t153 = (t149 & t151); + t154 = (~(t152)); + t155 = (~(t153)); + t156 = *((unsigned int *)t134); + *((unsigned int *)t134) = (t156 & t154); + t157 = *((unsigned int *)t134); + *((unsigned int *)t134) = (t157 & t155); + t158 = *((unsigned int *)t128); + *((unsigned int *)t128) = (t158 & t154); + t159 = *((unsigned int *)t128); + *((unsigned int *)t128) = (t159 & t155); + goto LAB45; + +LAB46: *((unsigned int *)t160) = 1; + goto LAB49; + +LAB48: t167 = (t160 + 4); + *((unsigned int *)t160) = 1; + *((unsigned int *)t167) = 1; + goto LAB49; + +LAB50: t172 = (t0 + 2168U); + t173 = *((char **)t172); + memset(t174, 0, 8); + t172 = (t173 + 4); + t175 = *((unsigned int *)t172); + t176 = (~(t175)); + t177 = *((unsigned int *)t173); + t178 = (t177 & t176); + t179 = (t178 & 1U); + if (t179 != 0) + goto LAB53; + +LAB54: if (*((unsigned int *)t172) != 0) + goto LAB55; + +LAB56: t181 = (t174 + 4); + t182 = *((unsigned int *)t174); + t183 = (!(t182)); + t184 = *((unsigned int *)t181); + t185 = (t183 || t184); + if (t185 > 0) + goto LAB57; + +LAB58: memcpy(t197, t174, 8); + +LAB59: memset(t225, 0, 8); + t226 = (t197 + 4); + t227 = *((unsigned int *)t226); + t228 = (~(t227)); + t229 = *((unsigned int *)t197); + t230 = (t229 & t228); + t231 = (t230 & 1U); + if (t231 != 0) + goto LAB67; + +LAB68: if (*((unsigned int *)t226) != 0) + goto LAB69; + +LAB70: t234 = *((unsigned int *)t160); + t235 = *((unsigned int *)t225); + t236 = (t234 & t235); + *((unsigned int *)t233) = t236; + t237 = (t160 + 4); + t238 = (t225 + 4); + t239 = (t233 + 4); + t240 = *((unsigned int *)t237); + t241 = *((unsigned int *)t238); + t242 = (t240 | t241); + *((unsigned int *)t239) = t242; + t243 = *((unsigned int *)t239); + t244 = (t243 != 0); + if (t244 == 1) + goto LAB71; + +LAB72: +LAB73: goto LAB52; + +LAB53: *((unsigned int *)t174) = 1; + goto LAB56; + +LAB55: t180 = (t174 + 4); + *((unsigned int *)t174) = 1; + *((unsigned int *)t180) = 1; + goto LAB56; + +LAB57: t186 = (t0 + 4008); + t187 = (t186 + 56U); + t188 = *((char **)t187); + memset(t189, 0, 8); + t190 = (t188 + 4); + t191 = *((unsigned int *)t190); + t192 = (~(t191)); + t193 = *((unsigned int *)t188); + t194 = (t193 & t192); + t195 = (t194 & 1U); + if (t195 != 0) + goto LAB60; + +LAB61: if (*((unsigned int *)t190) != 0) + goto LAB62; + +LAB63: t198 = *((unsigned int *)t174); + t199 = *((unsigned int *)t189); + t200 = (t198 | t199); + *((unsigned int *)t197) = t200; + t201 = (t174 + 4); + t202 = (t189 + 4); + t203 = (t197 + 4); + t204 = *((unsigned int *)t201); + t205 = *((unsigned int *)t202); + t206 = (t204 | t205); + *((unsigned int *)t203) = t206; + t207 = *((unsigned int *)t203); + t208 = (t207 != 0); + if (t208 == 1) + goto LAB64; + +LAB65: +LAB66: goto LAB59; + +LAB60: *((unsigned int *)t189) = 1; + goto LAB63; + +LAB62: t196 = (t189 + 4); + *((unsigned int *)t189) = 1; + *((unsigned int *)t196) = 1; + goto LAB63; + +LAB64: t209 = *((unsigned int *)t197); + t210 = *((unsigned int *)t203); + *((unsigned int *)t197) = (t209 | t210); + t211 = (t174 + 4); + t212 = (t189 + 4); + t213 = *((unsigned int *)t211); + t214 = (~(t213)); + t215 = *((unsigned int *)t174); + t216 = (t215 & t214); + t217 = *((unsigned int *)t212); + t218 = (~(t217)); + t219 = *((unsigned int *)t189); + t220 = (t219 & t218); + t221 = (~(t216)); + t222 = (~(t220)); + t223 = *((unsigned int *)t203); + *((unsigned int *)t203) = (t223 & t221); + t224 = *((unsigned int *)t203); + *((unsigned int *)t203) = (t224 & t222); + goto LAB66; + +LAB67: *((unsigned int *)t225) = 1; + goto LAB70; + +LAB69: t232 = (t225 + 4); + *((unsigned int *)t225) = 1; + *((unsigned int *)t232) = 1; + goto LAB70; + +LAB71: t245 = *((unsigned int *)t233); + t246 = *((unsigned int *)t239); + *((unsigned int *)t233) = (t245 | t246); + t247 = (t160 + 4); + t248 = (t225 + 4); + t249 = *((unsigned int *)t160); + t250 = (~(t249)); + t251 = *((unsigned int *)t247); + t252 = (~(t251)); + t253 = *((unsigned int *)t225); + t254 = (~(t253)); + t255 = *((unsigned int *)t248); + t256 = (~(t255)); + t257 = (t250 & t252); + t258 = (t254 & t256); + t259 = (~(t257)); + t260 = (~(t258)); + t261 = *((unsigned int *)t239); + *((unsigned int *)t239) = (t261 & t259); + t262 = *((unsigned int *)t239); + *((unsigned int *)t239) = (t262 & t260); + t263 = *((unsigned int *)t233); + *((unsigned int *)t233) = (t263 & t259); + t264 = *((unsigned int *)t233); + *((unsigned int *)t233) = (t264 & t260); + goto LAB73; + +} + +static void NetDecl_24_3(char *t0) +{ + char t4[8]; + char t19[8]; + char t27[8]; + char t55[8]; + char t70[8]; + char t77[8]; + char t105[8]; + char t121[8]; + char t129[8]; + char *t1; + char *t2; + char *t3; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + char *t17; + char *t18; + char *t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + char *t26; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + char *t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + char *t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + char *t68; + char *t69; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + char *t76; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + char *t112; + char *t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + char *t118; + char *t119; + char *t120; + char *t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + unsigned int t130; + unsigned int t131; + unsigned int t132; + char *t133; + char *t134; + char *t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + char *t143; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + char *t157; + char *t158; + char *t159; + char *t160; + char *t161; + unsigned int t162; + unsigned int t163; + char *t164; + unsigned int t165; + unsigned int t166; + char *t167; + unsigned int t168; + unsigned int t169; + char *t170; + +LAB0: t1 = (t0 + 6152U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(24, ng0); + t2 = (t0 + 2328U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t3); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t2) != 0) + goto LAB6; + +LAB7: t11 = (t4 + 4); + t12 = *((unsigned int *)t4); + t13 = (!(t12)); + t14 = *((unsigned int *)t11); + t15 = (t13 || t14); + if (t15 > 0) + goto LAB8; + +LAB9: memcpy(t27, t4, 8); + +LAB10: memset(t55, 0, 8); + t56 = (t27 + 4); + t57 = *((unsigned int *)t56); + t58 = (~(t57)); + t59 = *((unsigned int *)t27); + t60 = (t59 & t58); + t61 = (t60 & 1U); + if (t61 != 0) + goto LAB18; + +LAB19: if (*((unsigned int *)t56) != 0) + goto LAB20; + +LAB21: t63 = (t55 + 4); + t64 = *((unsigned int *)t55); + t65 = (!(t64)); + t66 = *((unsigned int *)t63); + t67 = (t65 || t66); + if (t67 > 0) + goto LAB22; + +LAB23: memcpy(t77, t55, 8); + +LAB24: memset(t105, 0, 8); + t106 = (t77 + 4); + t107 = *((unsigned int *)t106); + t108 = (~(t107)); + t109 = *((unsigned int *)t77); + t110 = (t109 & t108); + t111 = (t110 & 1U); + if (t111 != 0) + goto LAB32; + +LAB33: if (*((unsigned int *)t106) != 0) + goto LAB34; + +LAB35: t113 = (t105 + 4); + t114 = *((unsigned int *)t105); + t115 = (!(t114)); + t116 = *((unsigned int *)t113); + t117 = (t115 || t116); + if (t117 > 0) + goto LAB36; + +LAB37: memcpy(t129, t105, 8); + +LAB38: t157 = (t0 + 7784); + t158 = (t157 + 56U); + t159 = *((char **)t158); + t160 = (t159 + 56U); + t161 = *((char **)t160); + memset(t161, 0, 8); + t162 = 1U; + t163 = t162; + t164 = (t129 + 4); + t165 = *((unsigned int *)t129); + t162 = (t162 & t165); + t166 = *((unsigned int *)t164); + t163 = (t163 & t166); + t167 = (t161 + 4); + t168 = *((unsigned int *)t161); + *((unsigned int *)t161) = (t168 | t162); + t169 = *((unsigned int *)t167); + *((unsigned int *)t167) = (t169 | t163); + xsi_driver_vfirst_trans(t157, 0, 0U); + t170 = (t0 + 7512); + *((int *)t170) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t10 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t10) = 1; + goto LAB7; + +LAB8: t16 = (t0 + 4168); + t17 = (t16 + 56U); + t18 = *((char **)t17); + memset(t19, 0, 8); + t20 = (t18 + 4); + t21 = *((unsigned int *)t20); + t22 = (~(t21)); + t23 = *((unsigned int *)t18); + t24 = (t23 & t22); + t25 = (t24 & 1U); + if (t25 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t20) != 0) + goto LAB13; + +LAB14: t28 = *((unsigned int *)t4); + t29 = *((unsigned int *)t19); + t30 = (t28 | t29); + *((unsigned int *)t27) = t30; + t31 = (t4 + 4); + t32 = (t19 + 4); + t33 = (t27 + 4); + t34 = *((unsigned int *)t31); + t35 = *((unsigned int *)t32); + t36 = (t34 | t35); + *((unsigned int *)t33) = t36; + t37 = *((unsigned int *)t33); + t38 = (t37 != 0); + if (t38 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t19) = 1; + goto LAB14; + +LAB13: t26 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t26) = 1; + goto LAB14; + +LAB15: t39 = *((unsigned int *)t27); + t40 = *((unsigned int *)t33); + *((unsigned int *)t27) = (t39 | t40); + t41 = (t4 + 4); + t42 = (t19 + 4); + t43 = *((unsigned int *)t41); + t44 = (~(t43)); + t45 = *((unsigned int *)t4); + t46 = (t45 & t44); + t47 = *((unsigned int *)t42); + t48 = (~(t47)); + t49 = *((unsigned int *)t19); + t50 = (t49 & t48); + t51 = (~(t46)); + t52 = (~(t50)); + t53 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t53 & t51); + t54 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t54 & t52); + goto LAB17; + +LAB18: *((unsigned int *)t55) = 1; + goto LAB21; + +LAB20: t62 = (t55 + 4); + *((unsigned int *)t55) = 1; + *((unsigned int *)t62) = 1; + goto LAB21; + +LAB22: t68 = (t0 + 2488U); + t69 = *((char **)t68); + memset(t70, 0, 8); + t68 = (t69 + 4); + t71 = *((unsigned int *)t68); + t72 = (~(t71)); + t73 = *((unsigned int *)t69); + t74 = (t73 & t72); + t75 = (t74 & 1U); + if (t75 != 0) + goto LAB25; + +LAB26: if (*((unsigned int *)t68) != 0) + goto LAB27; + +LAB28: t78 = *((unsigned int *)t55); + t79 = *((unsigned int *)t70); + t80 = (t78 | t79); + *((unsigned int *)t77) = t80; + t81 = (t55 + 4); + t82 = (t70 + 4); + t83 = (t77 + 4); + t84 = *((unsigned int *)t81); + t85 = *((unsigned int *)t82); + t86 = (t84 | t85); + *((unsigned int *)t83) = t86; + t87 = *((unsigned int *)t83); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB29; + +LAB30: +LAB31: goto LAB24; + +LAB25: *((unsigned int *)t70) = 1; + goto LAB28; + +LAB27: t76 = (t70 + 4); + *((unsigned int *)t70) = 1; + *((unsigned int *)t76) = 1; + goto LAB28; + +LAB29: t89 = *((unsigned int *)t77); + t90 = *((unsigned int *)t83); + *((unsigned int *)t77) = (t89 | t90); + t91 = (t55 + 4); + t92 = (t70 + 4); + t93 = *((unsigned int *)t91); + t94 = (~(t93)); + t95 = *((unsigned int *)t55); + t96 = (t95 & t94); + t97 = *((unsigned int *)t92); + t98 = (~(t97)); + t99 = *((unsigned int *)t70); + t100 = (t99 & t98); + t101 = (~(t96)); + t102 = (~(t100)); + t103 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t103 & t101); + t104 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t104 & t102); + goto LAB31; + +LAB32: *((unsigned int *)t105) = 1; + goto LAB35; + +LAB34: t112 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t112) = 1; + goto LAB35; + +LAB36: t118 = (t0 + 4328); + t119 = (t118 + 56U); + t120 = *((char **)t119); + memset(t121, 0, 8); + t122 = (t120 + 4); + t123 = *((unsigned int *)t122); + t124 = (~(t123)); + t125 = *((unsigned int *)t120); + t126 = (t125 & t124); + t127 = (t126 & 1U); + if (t127 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t122) != 0) + goto LAB41; + +LAB42: t130 = *((unsigned int *)t105); + t131 = *((unsigned int *)t121); + t132 = (t130 | t131); + *((unsigned int *)t129) = t132; + t133 = (t105 + 4); + t134 = (t121 + 4); + t135 = (t129 + 4); + t136 = *((unsigned int *)t133); + t137 = *((unsigned int *)t134); + t138 = (t136 | t137); + *((unsigned int *)t135) = t138; + t139 = *((unsigned int *)t135); + t140 = (t139 != 0); + if (t140 == 1) + goto LAB43; + +LAB44: +LAB45: goto LAB38; + +LAB39: *((unsigned int *)t121) = 1; + goto LAB42; + +LAB41: t128 = (t121 + 4); + *((unsigned int *)t121) = 1; + *((unsigned int *)t128) = 1; + goto LAB42; + +LAB43: t141 = *((unsigned int *)t129); + t142 = *((unsigned int *)t135); + *((unsigned int *)t129) = (t141 | t142); + t143 = (t105 + 4); + t144 = (t121 + 4); + t145 = *((unsigned int *)t143); + t146 = (~(t145)); + t147 = *((unsigned int *)t105); + t148 = (t147 & t146); + t149 = *((unsigned int *)t144); + t150 = (~(t149)); + t151 = *((unsigned int *)t121); + t152 = (t151 & t150); + t153 = (~(t148)); + t154 = (~(t152)); + t155 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t155 & t153); + t156 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t156 & t154); + goto LAB45; + +} + +static void Cont_25_4(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t36[8]; + char t43[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + char *t92; + char *t93; + char *t94; + char *t95; + char *t96; + unsigned int t97; + unsigned int t98; + char *t99; + unsigned int t100; + unsigned int t101; + char *t102; + unsigned int t103; + unsigned int t104; + char *t105; + +LAB0: t1 = (t0 + 6400U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(25, ng0); + t2 = (t0 + 1208U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t43, t22, 8); + +LAB16: memset(t3, 0, 8); + t75 = (t43 + 4); + t76 = *((unsigned int *)t75); + t77 = (~(t76)); + t78 = *((unsigned int *)t43); + t79 = (t78 & t77); + t80 = (t79 & 1U); + if (t80 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t75) == 0) + goto LAB24; + +LAB26: t81 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t81) = 1; + +LAB27: t82 = (t3 + 4); + t83 = (t43 + 4); + t84 = *((unsigned int *)t43); + t85 = (~(t84)); + *((unsigned int *)t3) = t85; + *((unsigned int *)t82) = 0; + if (*((unsigned int *)t83) != 0) + goto LAB29; + +LAB28: t90 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t90 & 1U); + t91 = *((unsigned int *)t82); + *((unsigned int *)t82) = (t91 & 1U); + t92 = (t0 + 7848); + t93 = (t92 + 56U); + t94 = *((char **)t93); + t95 = (t94 + 56U); + t96 = *((char **)t95); + memset(t96, 0, 8); + t97 = 1U; + t98 = t97; + t99 = (t3 + 4); + t100 = *((unsigned int *)t3); + t97 = (t97 & t100); + t101 = *((unsigned int *)t99); + t98 = (t98 & t101); + t102 = (t96 + 4); + t103 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t103 | t97); + t104 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t104 | t98); + xsi_driver_vfirst_trans(t92, 0, 0); + t105 = (t0 + 7528); + *((int *)t105) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 2968U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t34) != 0) + goto LAB19; + +LAB20: t44 = *((unsigned int *)t22); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t22 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB19: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB20; + +LAB21: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t22 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t22); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB23; + +LAB24: *((unsigned int *)t3) = 1; + goto LAB27; + +LAB29: t86 = *((unsigned int *)t3); + t87 = *((unsigned int *)t83); + *((unsigned int *)t3) = (t86 | t87); + t88 = *((unsigned int *)t82); + t89 = *((unsigned int *)t83); + *((unsigned int *)t82) = (t88 | t89); + goto LAB28; + +} + +static void Always_26_5(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + +LAB0: t1 = (t0 + 6648U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(26, ng0); + t2 = (t0 + 7544); + *((int *)t2) = 1; + t3 = (t0 + 6680); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(26, ng0); + +LAB5: xsi_set_current_line(27, ng0); + t5 = (t0 + 1688U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(33, ng0); + +LAB16: xsi_set_current_line(34, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB17; + +LAB18: +LAB19: xsi_set_current_line(35, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB20; + +LAB21: +LAB22: xsi_set_current_line(36, ng0); + t2 = (t0 + 2168U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB23; + +LAB24: +LAB25: xsi_set_current_line(37, ng0); + t2 = (t0 + 2328U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB26; + +LAB27: +LAB28: xsi_set_current_line(38, ng0); + t2 = (t0 + 2488U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 != 0); + if (t11 > 0) + goto LAB29; + +LAB30: +LAB31: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(27, ng0); + +LAB15: xsi_set_current_line(28, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 3688); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + xsi_set_current_line(29, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(30, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(31, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(32, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB14; + +LAB17: xsi_set_current_line(34, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 3688); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB19; + +LAB20: xsi_set_current_line(35, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 3848); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB22; + +LAB23: xsi_set_current_line(36, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 4008); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB25; + +LAB26: xsi_set_current_line(37, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 4168); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB28; + +LAB29: xsi_set_current_line(38, ng0); + t5 = ((char*)((ng2))); + t6 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); + goto LAB31; + +} + +static void Cont_44_6(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t37[8]; + char t45[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + char *t36; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + int t69; + int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + char *t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + char *t83; + char *t84; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t94; + char *t95; + char *t96; + char *t97; + char *t98; + unsigned int t99; + unsigned int t100; + char *t101; + unsigned int t102; + unsigned int t103; + char *t104; + unsigned int t105; + unsigned int t106; + char *t107; + +LAB0: t1 = (t0 + 6896U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(44, ng0); + t2 = (t0 + 1208U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t45, t22, 8); + +LAB16: memset(t3, 0, 8); + t77 = (t45 + 4); + t78 = *((unsigned int *)t77); + t79 = (~(t78)); + t80 = *((unsigned int *)t45); + t81 = (t80 & t79); + t82 = (t81 & 1U); + if (t82 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t77) == 0) + goto LAB24; + +LAB26: t83 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t83) = 1; + +LAB27: t84 = (t3 + 4); + t85 = (t45 + 4); + t86 = *((unsigned int *)t45); + t87 = (~(t86)); + *((unsigned int *)t3) = t87; + *((unsigned int *)t84) = 0; + if (*((unsigned int *)t85) != 0) + goto LAB29; + +LAB28: t92 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t92 & 1U); + t93 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t93 & 1U); + t94 = (t0 + 7912); + t95 = (t94 + 56U); + t96 = *((char **)t95); + t97 = (t96 + 56U); + t98 = *((char **)t97); + memset(t98, 0, 8); + t99 = 1U; + t100 = t99; + t101 = (t3 + 4); + t102 = *((unsigned int *)t3); + t99 = (t99 & t102); + t103 = *((unsigned int *)t101); + t100 = (t100 & t103); + t104 = (t98 + 4); + t105 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t105 | t99); + t106 = *((unsigned int *)t104); + *((unsigned int *)t104) = (t106 | t100); + xsi_driver_vfirst_trans(t94, 0, 0); + t107 = (t0 + 7560); + *((int *)t107) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 4488); + t35 = (t34 + 56U); + t36 = *((char **)t35); + memset(t37, 0, 8); + t38 = (t36 + 4); + t39 = *((unsigned int *)t38); + t40 = (~(t39)); + t41 = *((unsigned int *)t36); + t42 = (t41 & t40); + t43 = (t42 & 1U); + if (t43 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t38) != 0) + goto LAB19; + +LAB20: t46 = *((unsigned int *)t22); + t47 = *((unsigned int *)t37); + t48 = (t46 & t47); + *((unsigned int *)t45) = t48; + t49 = (t22 + 4); + t50 = (t37 + 4); + t51 = (t45 + 4); + t52 = *((unsigned int *)t49); + t53 = *((unsigned int *)t50); + t54 = (t52 | t53); + *((unsigned int *)t51) = t54; + t55 = *((unsigned int *)t51); + t56 = (t55 != 0); + if (t56 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t37) = 1; + goto LAB20; + +LAB19: t44 = (t37 + 4); + *((unsigned int *)t37) = 1; + *((unsigned int *)t44) = 1; + goto LAB20; + +LAB21: t57 = *((unsigned int *)t45); + t58 = *((unsigned int *)t51); + *((unsigned int *)t45) = (t57 | t58); + t59 = (t22 + 4); + t60 = (t37 + 4); + t61 = *((unsigned int *)t22); + t62 = (~(t61)); + t63 = *((unsigned int *)t59); + t64 = (~(t63)); + t65 = *((unsigned int *)t37); + t66 = (~(t65)); + t67 = *((unsigned int *)t60); + t68 = (~(t67)); + t69 = (t62 & t64); + t70 = (t66 & t68); + t71 = (~(t69)); + t72 = (~(t70)); + t73 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t73 & t71); + t74 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t74 & t72); + t75 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t75 & t71); + t76 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t76 & t72); + goto LAB23; + +LAB24: *((unsigned int *)t3) = 1; + goto LAB27; + +LAB29: t88 = *((unsigned int *)t3); + t89 = *((unsigned int *)t85); + *((unsigned int *)t3) = (t88 | t89); + t90 = *((unsigned int *)t84); + t91 = *((unsigned int *)t85); + *((unsigned int *)t84) = (t90 | t91); + goto LAB28; + +} + +static void Always_45_7(char *t0) +{ + char t4[8]; + char t31[8]; + char t35[8]; + char t42[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + unsigned int t43; + unsigned int t44; + unsigned int t45; + char *t46; + char *t47; + char *t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + int t66; + int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + char *t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t81; + +LAB0: t1 = (t0 + 7144U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(45, ng0); + t2 = (t0 + 7576); + *((int *)t2) = 1; + t3 = (t0 + 7176); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(45, ng0); + +LAB5: xsi_set_current_line(46, ng0); + t5 = (t0 + 1688U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(49, ng0); + t2 = (t0 + 2808U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB16; + +LAB17: if (*((unsigned int *)t2) != 0) + goto LAB18; + +LAB19: t6 = (t4 + 4); + t15 = *((unsigned int *)t4); + t16 = *((unsigned int *)t6); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB20; + +LAB21: memcpy(t42, t4, 8); + +LAB22: t74 = (t42 + 4); + t75 = *((unsigned int *)t74); + t76 = (~(t75)); + t77 = *((unsigned int *)t42); + t78 = (t77 & t76); + t79 = (t78 != 0); + if (t79 > 0) + goto LAB36; + +LAB37: +LAB38: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(46, ng0); + +LAB15: xsi_set_current_line(47, ng0); + t29 = ((char*)((ng2))); + t30 = (t0 + 3368); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + xsi_set_current_line(48, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB14; + +LAB16: *((unsigned int *)t4) = 1; + goto LAB19; + +LAB18: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + goto LAB19; + +LAB20: t12 = (t0 + 2968U); + t13 = *((char **)t12); + memset(t31, 0, 8); + t12 = (t13 + 4); + t18 = *((unsigned int *)t12); + t19 = (~(t18)); + t20 = *((unsigned int *)t13); + t21 = (t20 & t19); + t22 = (t21 & 1U); + if (t22 != 0) + goto LAB26; + +LAB24: if (*((unsigned int *)t12) == 0) + goto LAB23; + +LAB25: t14 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t14) = 1; + +LAB26: t23 = (t31 + 4); + t29 = (t13 + 4); + t24 = *((unsigned int *)t13); + t25 = (~(t24)); + *((unsigned int *)t31) = t25; + *((unsigned int *)t23) = 0; + if (*((unsigned int *)t29) != 0) + goto LAB28; + +LAB27: t33 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t33 & 1U); + t34 = *((unsigned int *)t23); + *((unsigned int *)t23) = (t34 & 1U); + memset(t35, 0, 8); + t30 = (t31 + 4); + t36 = *((unsigned int *)t30); + t37 = (~(t36)); + t38 = *((unsigned int *)t31); + t39 = (t38 & t37); + t40 = (t39 & 1U); + if (t40 != 0) + goto LAB29; + +LAB30: if (*((unsigned int *)t30) != 0) + goto LAB31; + +LAB32: t43 = *((unsigned int *)t4); + t44 = *((unsigned int *)t35); + t45 = (t43 & t44); + *((unsigned int *)t42) = t45; + t46 = (t4 + 4); + t47 = (t35 + 4); + t48 = (t42 + 4); + t49 = *((unsigned int *)t46); + t50 = *((unsigned int *)t47); + t51 = (t49 | t50); + *((unsigned int *)t48) = t51; + t52 = *((unsigned int *)t48); + t53 = (t52 != 0); + if (t53 == 1) + goto LAB33; + +LAB34: +LAB35: goto LAB22; + +LAB23: *((unsigned int *)t31) = 1; + goto LAB26; + +LAB28: t26 = *((unsigned int *)t31); + t27 = *((unsigned int *)t29); + *((unsigned int *)t31) = (t26 | t27); + t28 = *((unsigned int *)t23); + t32 = *((unsigned int *)t29); + *((unsigned int *)t23) = (t28 | t32); + goto LAB27; + +LAB29: *((unsigned int *)t35) = 1; + goto LAB32; + +LAB31: t41 = (t35 + 4); + *((unsigned int *)t35) = 1; + *((unsigned int *)t41) = 1; + goto LAB32; + +LAB33: t54 = *((unsigned int *)t42); + t55 = *((unsigned int *)t48); + *((unsigned int *)t42) = (t54 | t55); + t56 = (t4 + 4); + t57 = (t35 + 4); + t58 = *((unsigned int *)t4); + t59 = (~(t58)); + t60 = *((unsigned int *)t56); + t61 = (~(t60)); + t62 = *((unsigned int *)t35); + t63 = (~(t62)); + t64 = *((unsigned int *)t57); + t65 = (~(t64)); + t66 = (t59 & t61); + t67 = (t63 & t65); + t68 = (~(t66)); + t69 = (~(t67)); + t70 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t70 & t68); + t71 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t71 & t69); + t72 = *((unsigned int *)t42); + *((unsigned int *)t42) = (t72 & t68); + t73 = *((unsigned int *)t42); + *((unsigned int *)t42) = (t73 & t69); + goto LAB35; + +LAB36: xsi_set_current_line(49, ng0); + +LAB39: xsi_set_current_line(50, ng0); + t80 = (t0 + 2648U); + t81 = *((char **)t80); + t80 = (t0 + 3368); + xsi_vlogvar_wait_assign_value(t80, t81, 0, 0, 1, 0LL); + xsi_set_current_line(51, ng0); + t2 = (t0 + 2648U); + t3 = *((char **)t2); + t2 = (t0 + 4488); + xsi_vlogvar_wait_assign_value(t2, t3, 0, 0, 1, 0LL); + goto LAB38; + +} + + +extern void work_m_00000000002613258380_2132107520_init() +{ + static char *pe[] = {(void *)Always_15_0,(void *)Cont_16_1,(void *)NetDecl_21_2,(void *)NetDecl_24_3,(void *)Cont_25_4,(void *)Always_26_5,(void *)Cont_44_6,(void *)Always_45_7}; + xsi_register_didat("work_m_00000000002613258380_2132107520", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat new file mode 100644 index 0000000..b6b412a Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat differ diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj new file mode 100644 index 0000000..d53c4b4 Binary files /dev/null and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c similarity index 99% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c index 970c073..e1ce6c3 100644 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c @@ -332,6 +332,6 @@ LAB5: xsi_set_current_line(63, ng0); extern void work_m_00000000004134447467_2073120511_init() { static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); + xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); xsi_register_executes(pe); } diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat similarity index 87% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat index bfc2343..296a166 100644 Binary files a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj similarity index 95% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj index 610fec0..a35271f 100644 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c similarity index 87% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c index 9bb9618..183ac1c 100644 --- a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c +++ b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c @@ -22,12 +22,12 @@ int main(int argc, char **argv) xsi_register_info(&xsi_info); xsi_register_min_prec_unit(-12); - work_m_00000000002982276307_1919318694_init(); - work_m_00000000001129268806_2975551742_init(); + work_m_00000000002613258380_2132107520_init(); + work_m_00000000001435061139_3156757336_init(); work_m_00000000004134447467_2073120511_init(); - xsi_register_tops("work_m_00000000001129268806_2975551742"); + xsi_register_tops("work_m_00000000001435061139_3156757336"); xsi_register_tops("work_m_00000000004134447467_2073120511"); diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj similarity index 72% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj rename to cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj index 54b8c4c..4bf9e43 100644 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj and b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg new file mode 100644 index 0000000..b85bd13 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimcrash.log similarity index 100% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimcrash.log rename to cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimcrash.log diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..d16f7d2 --- /dev/null +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + t_iobm_isim_beh.exe + -simmode gui + -simrunnum 1 + -socket 65108 + +Sat Dec 11 08:29:15 2021 + + + Elaboration Time: 0.046875 sec + + Current Memory Usage: 5140.5 Meg + + Total Signals : 39 + Total Nets : 38 + Total Signal Drivers : 30 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 48 + Total Traceable Variables : 52 + Total Scalar Nets and Variables : 158 + + Total Simulation Time: 0.109375 sec + + Current Memory Usage: 4668.64 Meg + +Sun Dec 12 07:05:26 2021 + diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/libPortability.dll similarity index 100% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/libPortability.dll rename to cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/libPortability.dll diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat new file mode 100644 index 0000000..7ee657f Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe new file mode 100644 index 0000000..2b8ca23 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000..dc83098 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c new file mode 100644 index 0000000..0814fcf --- /dev/null +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c @@ -0,0 +1,8216 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/IOBM.v"; +static int ng1[] = {1, 0}; +static int ng2[] = {0, 0}; +static int ng3[] = {19, 0}; +static int ng4[] = {16, 0}; +static int ng5[] = {7, 0}; +static int ng6[] = {2, 0}; +static int ng7[] = {3, 0}; +static int ng8[] = {4, 0}; +static int ng9[] = {5, 0}; +static int ng10[] = {6, 0}; + + + +static void Always_13_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + +LAB0: t1 = (t0 + 8288U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(13, ng0); + t2 = (t0 + 12576); + *((int *)t2) = 1; + t3 = (t0 + 8320); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(13, ng0); + +LAB5: xsi_set_current_line(13, ng0); + t4 = (t0 + 2328U); + t5 = *((char **)t4); + t4 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_17_1(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + +LAB0: t1 = (t0 + 8536U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(17, ng0); + t2 = (t0 + 12592); + *((int *)t2) = 1; + t3 = (t0 + 8568); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(17, ng0); + +LAB5: xsi_set_current_line(18, ng0); + t5 = (t0 + 1528U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); + xsi_set_current_line(19, ng0); + t2 = (t0 + 1688U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB15; + +LAB13: if (*((unsigned int *)t2) == 0) + goto LAB12; + +LAB14: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB15: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB17; + +LAB16: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 5768); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(20, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB21; + +LAB19: if (*((unsigned int *)t2) == 0) + goto LAB18; + +LAB20: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB21: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB23; + +LAB22: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6088); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(21, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t2) == 0) + goto LAB24; + +LAB26: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB27: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB29; + +LAB28: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6408); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: *((unsigned int *)t4) = 1; + goto LAB15; + +LAB17: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB16; + +LAB18: *((unsigned int *)t4) = 1; + goto LAB21; + +LAB23: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB22; + +LAB24: *((unsigned int *)t4) = 1; + goto LAB27; + +LAB29: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB28; + +} + +static void Always_23_2(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + +LAB0: t1 = (t0 + 8784U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(23, ng0); + t2 = (t0 + 12608); + *((int *)t2) = 1; + t3 = (t0 + 8816); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(23, ng0); + +LAB5: xsi_set_current_line(24, ng0); + t5 = (t0 + 1528U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); + xsi_set_current_line(25, ng0); + t2 = (t0 + 1688U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB15; + +LAB13: if (*((unsigned int *)t2) == 0) + goto LAB12; + +LAB14: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB15: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB17; + +LAB16: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(26, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB21; + +LAB19: if (*((unsigned int *)t2) == 0) + goto LAB18; + +LAB20: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB21: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB23; + +LAB22: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6248); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + xsi_set_current_line(27, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t2) == 0) + goto LAB24; + +LAB26: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + +LAB27: t6 = (t4 + 4); + t12 = (t3 + 4); + t15 = *((unsigned int *)t3); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t6) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB29; + +LAB28: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t22 & 1U); + t13 = (t0 + 6568); + xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: *((unsigned int *)t4) = 1; + goto LAB15; + +LAB17: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB16; + +LAB18: *((unsigned int *)t4) = 1; + goto LAB21; + +LAB23: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB22; + +LAB24: *((unsigned int *)t4) = 1; + goto LAB27; + +LAB29: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t12); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t6); + t20 = *((unsigned int *)t12); + *((unsigned int *)t6) = (t19 | t20); + goto LAB28; + +} + +static void NetDecl_29_3(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9032U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(29, ng0); + t2 = (t0 + 5448); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 12896); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12624); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 5608); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void NetDecl_30_4(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9280U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(30, ng0); + t2 = (t0 + 6088); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 12960); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12640); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 6248); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void NetDecl_31_5(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9528U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(31, ng0); + t2 = (t0 + 5768); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 13024); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12656); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 5928); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void NetDecl_32_6(char *t0) +{ + char t5[8]; + char t20[8]; + char t28[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + char *t17; + char *t18; + char *t19; + char *t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + char *t27; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + char *t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + char *t61; + char *t62; + char *t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + unsigned int t71; + unsigned int t72; + char *t73; + +LAB0: t1 = (t0 + 9776U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(32, ng0); + t2 = (t0 + 6408); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = *((unsigned int *)t13); + t16 = (t14 || t15); + if (t16 > 0) + goto LAB8; + +LAB9: memcpy(t28, t5, 8); + +LAB10: t60 = (t0 + 13088); + t61 = (t60 + 56U); + t62 = *((char **)t61); + t63 = (t62 + 56U); + t64 = *((char **)t63); + memset(t64, 0, 8); + t65 = 1U; + t66 = t65; + t67 = (t28 + 4); + t68 = *((unsigned int *)t28); + t65 = (t65 & t68); + t69 = *((unsigned int *)t67); + t66 = (t66 & t69); + t70 = (t64 + 4); + t71 = *((unsigned int *)t64); + *((unsigned int *)t64) = (t71 | t65); + t72 = *((unsigned int *)t70); + *((unsigned int *)t70) = (t72 | t66); + xsi_driver_vfirst_trans(t60, 0, 0U); + t73 = (t0 + 12672); + *((int *)t73) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t17 = (t0 + 6568); + t18 = (t17 + 56U); + t19 = *((char **)t18); + memset(t20, 0, 8); + t21 = (t19 + 4); + t22 = *((unsigned int *)t21); + t23 = (~(t22)); + t24 = *((unsigned int *)t19); + t25 = (t24 & t23); + t26 = (t25 & 1U); + if (t26 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t21) != 0) + goto LAB13; + +LAB14: t29 = *((unsigned int *)t5); + t30 = *((unsigned int *)t20); + t31 = (t29 & t30); + *((unsigned int *)t28) = t31; + t32 = (t5 + 4); + t33 = (t20 + 4); + t34 = (t28 + 4); + t35 = *((unsigned int *)t32); + t36 = *((unsigned int *)t33); + t37 = (t35 | t36); + *((unsigned int *)t34) = t37; + t38 = *((unsigned int *)t34); + t39 = (t38 != 0); + if (t39 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t20) = 1; + goto LAB14; + +LAB13: t27 = (t20 + 4); + *((unsigned int *)t20) = 1; + *((unsigned int *)t27) = 1; + goto LAB14; + +LAB15: t40 = *((unsigned int *)t28); + t41 = *((unsigned int *)t34); + *((unsigned int *)t28) = (t40 | t41); + t42 = (t5 + 4); + t43 = (t20 + 4); + t44 = *((unsigned int *)t5); + t45 = (~(t44)); + t46 = *((unsigned int *)t42); + t47 = (~(t46)); + t48 = *((unsigned int *)t20); + t49 = (~(t48)); + t50 = *((unsigned int *)t43); + t51 = (~(t50)); + t52 = (t45 & t47); + t53 = (t49 & t51); + t54 = (~(t52)); + t55 = (~(t53)); + t56 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t56 & t54); + t57 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t57 & t55); + t58 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t58 & t54); + t59 = *((unsigned int *)t28); + *((unsigned int *)t28) = (t59 & t55); + goto LAB17; + +} + +static void Always_38_7(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + +LAB0: t1 = (t0 + 10024U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(38, ng0); + t2 = (t0 + 12688); + *((int *)t2) = 1; + t3 = (t0 + 10056); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(38, ng0); + +LAB5: xsi_set_current_line(38, ng0); + t4 = (t0 + 1368U); + t5 = *((char **)t4); + t4 = (t0 + 6888); + xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_39_8(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: t1 = (t0 + 10272U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(39, ng0); + t2 = (t0 + 12704); + *((int *)t2) = 1; + t3 = (t0 + 10304); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(39, ng0); + +LAB5: xsi_set_current_line(39, ng0); + t4 = (t0 + 6888); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t0 + 7048); + xsi_vlogvar_wait_assign_value(t7, t6, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_40_9(char *t0) +{ + char t7[8]; + char t19[8]; + char t40[8]; + char t48[8]; + char t88[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + char *t20; + char *t21; + char *t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + char *t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + char *t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + unsigned int t49; + unsigned int t50; + unsigned int t51; + char *t52; + char *t53; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + int t72; + int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + char *t86; + char *t87; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + char *t97; + char *t98; + +LAB0: t1 = (t0 + 10520U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(40, ng0); + t2 = (t0 + 12720); + *((int *)t2) = 1; + t3 = (t0 + 10552); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(40, ng0); + +LAB5: xsi_set_current_line(41, ng0); + t4 = (t0 + 7048); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memset(t7, 0, 8); + t8 = (t6 + 4); + t9 = *((unsigned int *)t8); + t10 = (~(t9)); + t11 = *((unsigned int *)t6); + t12 = (t11 & t10); + t13 = (t12 & 1U); + if (t13 != 0) + goto LAB6; + +LAB7: if (*((unsigned int *)t8) != 0) + goto LAB8; + +LAB9: t15 = (t7 + 4); + t16 = *((unsigned int *)t7); + t17 = *((unsigned int *)t15); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB10; + +LAB11: memcpy(t48, t7, 8); + +LAB12: t80 = (t48 + 4); + t81 = *((unsigned int *)t80); + t82 = (~(t81)); + t83 = *((unsigned int *)t48); + t84 = (t83 & t82); + t85 = (t84 != 0); + if (t85 > 0) + goto LAB26; + +LAB27: xsi_set_current_line(42, ng0); + t2 = (t0 + 6728); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t7, 0, 8); + t6 = (t4 + 4); + t8 = (t5 + 4); + t9 = *((unsigned int *)t4); + t10 = *((unsigned int *)t5); + t11 = (t9 ^ t10); + t12 = *((unsigned int *)t6); + t13 = *((unsigned int *)t8); + t16 = (t12 ^ t13); + t17 = (t11 | t16); + t18 = *((unsigned int *)t6); + t24 = *((unsigned int *)t8); + t25 = (t18 | t24); + t26 = (~(t25)); + t27 = (t17 & t26); + if (t27 != 0) + goto LAB32; + +LAB29: if (t25 != 0) + goto LAB31; + +LAB30: *((unsigned int *)t7) = 1; + +LAB32: memset(t19, 0, 8); + t15 = (t7 + 4); + t28 = *((unsigned int *)t15); + t32 = (~(t28)); + t33 = *((unsigned int *)t7); + t34 = (t33 & t32); + t35 = (t34 & 1U); + if (t35 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t15) != 0) + goto LAB35; + +LAB36: t21 = (t19 + 4); + t36 = *((unsigned int *)t19); + t37 = (!(t36)); + t38 = *((unsigned int *)t21); + t39 = (t37 || t38); + if (t39 > 0) + goto LAB37; + +LAB38: memcpy(t88, t19, 8); + +LAB39: t87 = (t88 + 4); + t92 = *((unsigned int *)t87); + t93 = (~(t92)); + t94 = *((unsigned int *)t88); + t95 = (t94 & t93); + t96 = (t95 != 0); + if (t96 > 0) + goto LAB51; + +LAB52: xsi_set_current_line(43, ng0); + t2 = (t0 + 6728); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng1))); + memset(t7, 0, 8); + xsi_vlog_unsigned_add(t7, 32, t4, 5, t5, 32); + t6 = (t0 + 6728); + xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 5, 0LL); + +LAB53: +LAB28: goto LAB2; + +LAB6: *((unsigned int *)t7) = 1; + goto LAB9; + +LAB8: t14 = (t7 + 4); + *((unsigned int *)t7) = 1; + *((unsigned int *)t14) = 1; + goto LAB9; + +LAB10: t20 = (t0 + 6888); + t21 = (t20 + 56U); + t22 = *((char **)t21); + memset(t19, 0, 8); + t23 = (t22 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t22); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB16; + +LAB14: if (*((unsigned int *)t23) == 0) + goto LAB13; + +LAB15: t29 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t29) = 1; + +LAB16: t30 = (t19 + 4); + t31 = (t22 + 4); + t32 = *((unsigned int *)t22); + t33 = (~(t32)); + *((unsigned int *)t19) = t33; + *((unsigned int *)t30) = 0; + if (*((unsigned int *)t31) != 0) + goto LAB18; + +LAB17: t38 = *((unsigned int *)t19); + *((unsigned int *)t19) = (t38 & 1U); + t39 = *((unsigned int *)t30); + *((unsigned int *)t30) = (t39 & 1U); + memset(t40, 0, 8); + t41 = (t19 + 4); + t42 = *((unsigned int *)t41); + t43 = (~(t42)); + t44 = *((unsigned int *)t19); + t45 = (t44 & t43); + t46 = (t45 & 1U); + if (t46 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t41) != 0) + goto LAB21; + +LAB22: t49 = *((unsigned int *)t7); + t50 = *((unsigned int *)t40); + t51 = (t49 & t50); + *((unsigned int *)t48) = t51; + t52 = (t7 + 4); + t53 = (t40 + 4); + t54 = (t48 + 4); + t55 = *((unsigned int *)t52); + t56 = *((unsigned int *)t53); + t57 = (t55 | t56); + *((unsigned int *)t54) = t57; + t58 = *((unsigned int *)t54); + t59 = (t58 != 0); + if (t59 == 1) + goto LAB23; + +LAB24: +LAB25: goto LAB12; + +LAB13: *((unsigned int *)t19) = 1; + goto LAB16; + +LAB18: t34 = *((unsigned int *)t19); + t35 = *((unsigned int *)t31); + *((unsigned int *)t19) = (t34 | t35); + t36 = *((unsigned int *)t30); + t37 = *((unsigned int *)t31); + *((unsigned int *)t30) = (t36 | t37); + goto LAB17; + +LAB19: *((unsigned int *)t40) = 1; + goto LAB22; + +LAB21: t47 = (t40 + 4); + *((unsigned int *)t40) = 1; + *((unsigned int *)t47) = 1; + goto LAB22; + +LAB23: t60 = *((unsigned int *)t48); + t61 = *((unsigned int *)t54); + *((unsigned int *)t48) = (t60 | t61); + t62 = (t7 + 4); + t63 = (t40 + 4); + t64 = *((unsigned int *)t7); + t65 = (~(t64)); + t66 = *((unsigned int *)t62); + t67 = (~(t66)); + t68 = *((unsigned int *)t40); + t69 = (~(t68)); + t70 = *((unsigned int *)t63); + t71 = (~(t70)); + t72 = (t65 & t67); + t73 = (t69 & t71); + t74 = (~(t72)); + t75 = (~(t73)); + t76 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t76 & t74); + t77 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t77 & t75); + t78 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t78 & t74); + t79 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t79 & t75); + goto LAB25; + +LAB26: xsi_set_current_line(41, ng0); + t86 = ((char*)((ng1))); + t87 = (t0 + 6728); + xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 5, 0LL); + goto LAB28; + +LAB31: t14 = (t7 + 4); + *((unsigned int *)t7) = 1; + *((unsigned int *)t14) = 1; + goto LAB32; + +LAB33: *((unsigned int *)t19) = 1; + goto LAB36; + +LAB35: t20 = (t19 + 4); + *((unsigned int *)t19) = 1; + *((unsigned int *)t20) = 1; + goto LAB36; + +LAB37: t22 = (t0 + 6728); + t23 = (t22 + 56U); + t29 = *((char **)t23); + t30 = ((char*)((ng3))); + memset(t40, 0, 8); + t31 = (t29 + 4); + t41 = (t30 + 4); + t42 = *((unsigned int *)t29); + t43 = *((unsigned int *)t30); + t44 = (t42 ^ t43); + t45 = *((unsigned int *)t31); + t46 = *((unsigned int *)t41); + t49 = (t45 ^ t46); + t50 = (t44 | t49); + t51 = *((unsigned int *)t31); + t55 = *((unsigned int *)t41); + t56 = (t51 | t55); + t57 = (~(t56)); + t58 = (t50 & t57); + if (t58 != 0) + goto LAB43; + +LAB40: if (t56 != 0) + goto LAB42; + +LAB41: *((unsigned int *)t40) = 1; + +LAB43: memset(t48, 0, 8); + t52 = (t40 + 4); + t59 = *((unsigned int *)t52); + t60 = (~(t59)); + t61 = *((unsigned int *)t40); + t64 = (t61 & t60); + t65 = (t64 & 1U); + if (t65 != 0) + goto LAB44; + +LAB45: if (*((unsigned int *)t52) != 0) + goto LAB46; + +LAB47: t66 = *((unsigned int *)t19); + t67 = *((unsigned int *)t48); + t68 = (t66 | t67); + *((unsigned int *)t88) = t68; + t54 = (t19 + 4); + t62 = (t48 + 4); + t63 = (t88 + 4); + t69 = *((unsigned int *)t54); + t70 = *((unsigned int *)t62); + t71 = (t69 | t70); + *((unsigned int *)t63) = t71; + t74 = *((unsigned int *)t63); + t75 = (t74 != 0); + if (t75 == 1) + goto LAB48; + +LAB49: +LAB50: goto LAB39; + +LAB42: t47 = (t40 + 4); + *((unsigned int *)t40) = 1; + *((unsigned int *)t47) = 1; + goto LAB43; + +LAB44: *((unsigned int *)t48) = 1; + goto LAB47; + +LAB46: t53 = (t48 + 4); + *((unsigned int *)t48) = 1; + *((unsigned int *)t53) = 1; + goto LAB47; + +LAB48: t76 = *((unsigned int *)t88); + t77 = *((unsigned int *)t63); + *((unsigned int *)t88) = (t76 | t77); + t80 = (t19 + 4); + t86 = (t48 + 4); + t78 = *((unsigned int *)t80); + t79 = (~(t78)); + t81 = *((unsigned int *)t19); + t72 = (t81 & t79); + t82 = *((unsigned int *)t86); + t83 = (~(t82)); + t84 = *((unsigned int *)t48); + t73 = (t84 & t83); + t85 = (~(t72)); + t89 = (~(t73)); + t90 = *((unsigned int *)t63); + *((unsigned int *)t63) = (t90 & t85); + t91 = *((unsigned int *)t63); + *((unsigned int *)t63) = (t91 & t89); + goto LAB50; + +LAB51: xsi_set_current_line(42, ng0); + t97 = ((char*)((ng2))); + t98 = (t0 + 6728); + xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 5, 0LL); + goto LAB53; + +} + +static void Always_48_10(char *t0) +{ + char t8[8]; + char t24[8]; + char t36[8]; + char t57[8]; + char t65[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t37; + char *t38; + char *t39; + char *t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + char *t46; + char *t47; + char *t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + char *t70; + char *t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + char *t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + int t89; + int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + char *t97; + +LAB0: t1 = (t0 + 10768U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 12736); + *((int *)t2) = 1; + t3 = (t0 + 10800); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(48, ng0); + +LAB5: xsi_set_current_line(48, ng0); + t4 = (t0 + 6728); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng4))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: memset(t24, 0, 8); + t25 = (t8 + 4); + t26 = *((unsigned int *)t25); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 & 1U); + if (t30 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t25) != 0) + goto LAB12; + +LAB13: t32 = (t24 + 4); + t33 = *((unsigned int *)t24); + t34 = *((unsigned int *)t32); + t35 = (t33 || t34); + if (t35 > 0) + goto LAB14; + +LAB15: memcpy(t65, t24, 8); + +LAB16: t97 = (t0 + 7208); + xsi_vlogvar_wait_assign_value(t97, t65, 0, 0, 1, 0LL); + goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t24) = 1; + goto LAB13; + +LAB12: t31 = (t24 + 4); + *((unsigned int *)t24) = 1; + *((unsigned int *)t31) = 1; + goto LAB13; + +LAB14: t37 = (t0 + 4328); + t38 = (t37 + 56U); + t39 = *((char **)t38); + memset(t36, 0, 8); + t40 = (t39 + 4); + t41 = *((unsigned int *)t40); + t42 = (~(t41)); + t43 = *((unsigned int *)t39); + t44 = (t43 & t42); + t45 = (t44 & 1U); + if (t45 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t40) == 0) + goto LAB17; + +LAB19: t46 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t46) = 1; + +LAB20: t47 = (t36 + 4); + t48 = (t39 + 4); + t49 = *((unsigned int *)t39); + t50 = (~(t49)); + *((unsigned int *)t36) = t50; + *((unsigned int *)t47) = 0; + if (*((unsigned int *)t48) != 0) + goto LAB22; + +LAB21: t55 = *((unsigned int *)t36); + *((unsigned int *)t36) = (t55 & 1U); + t56 = *((unsigned int *)t47); + *((unsigned int *)t47) = (t56 & 1U); + memset(t57, 0, 8); + t58 = (t36 + 4); + t59 = *((unsigned int *)t58); + t60 = (~(t59)); + t61 = *((unsigned int *)t36); + t62 = (t61 & t60); + t63 = (t62 & 1U); + if (t63 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t58) != 0) + goto LAB25; + +LAB26: t66 = *((unsigned int *)t24); + t67 = *((unsigned int *)t57); + t68 = (t66 & t67); + *((unsigned int *)t65) = t68; + t69 = (t24 + 4); + t70 = (t57 + 4); + t71 = (t65 + 4); + t72 = *((unsigned int *)t69); + t73 = *((unsigned int *)t70); + t74 = (t72 | t73); + *((unsigned int *)t71) = t74; + t75 = *((unsigned int *)t71); + t76 = (t75 != 0); + if (t76 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB22: t51 = *((unsigned int *)t36); + t52 = *((unsigned int *)t48); + *((unsigned int *)t36) = (t51 | t52); + t53 = *((unsigned int *)t47); + t54 = *((unsigned int *)t48); + *((unsigned int *)t47) = (t53 | t54); + goto LAB21; + +LAB23: *((unsigned int *)t57) = 1; + goto LAB26; + +LAB25: t64 = (t57 + 4); + *((unsigned int *)t57) = 1; + *((unsigned int *)t64) = 1; + goto LAB26; + +LAB27: t77 = *((unsigned int *)t65); + t78 = *((unsigned int *)t71); + *((unsigned int *)t65) = (t77 | t78); + t79 = (t24 + 4); + t80 = (t57 + 4); + t81 = *((unsigned int *)t24); + t82 = (~(t81)); + t83 = *((unsigned int *)t79); + t84 = (~(t83)); + t85 = *((unsigned int *)t57); + t86 = (~(t85)); + t87 = *((unsigned int *)t80); + t88 = (~(t87)); + t89 = (t82 & t84); + t90 = (t86 & t88); + t91 = (~(t89)); + t92 = (~(t90)); + t93 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t93 & t91); + t94 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t94 & t92); + t95 = *((unsigned int *)t65); + *((unsigned int *)t65) = (t95 & t91); + t96 = *((unsigned int *)t65); + *((unsigned int *)t65) = (t96 & t92); + goto LAB29; + +} + +static void Always_49_11(char *t0) +{ + char t8[8]; + char t24[8]; + char t39[8]; + char t47[8]; + char t79[8]; + char t93[8]; + char t100[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t36; + char *t37; + char *t38; + char *t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + char *t46; + unsigned int t48; + unsigned int t49; + unsigned int t50; + char *t51; + char *t52; + char *t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + char *t61; + char *t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + int t71; + int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + char *t86; + char *t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + char *t99; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t105; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + int t124; + int t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + char *t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + char *t138; + char *t139; + +LAB0: t1 = (t0 + 11016U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 12752); + *((int *)t2) = 1; + t3 = (t0 + 11048); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(49, ng0); + +LAB5: xsi_set_current_line(50, ng0); + t4 = (t0 + 6728); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng5))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: memset(t24, 0, 8); + t25 = (t8 + 4); + t26 = *((unsigned int *)t25); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 & 1U); + if (t30 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t25) != 0) + goto LAB12; + +LAB13: t32 = (t24 + 4); + t33 = *((unsigned int *)t24); + t34 = *((unsigned int *)t32); + t35 = (t33 || t34); + if (t35 > 0) + goto LAB14; + +LAB15: memcpy(t47, t24, 8); + +LAB16: memset(t79, 0, 8); + t80 = (t47 + 4); + t81 = *((unsigned int *)t80); + t82 = (~(t81)); + t83 = *((unsigned int *)t47); + t84 = (t83 & t82); + t85 = (t84 & 1U); + if (t85 != 0) + goto LAB24; + +LAB25: if (*((unsigned int *)t80) != 0) + goto LAB26; + +LAB27: t87 = (t79 + 4); + t88 = *((unsigned int *)t79); + t89 = *((unsigned int *)t87); + t90 = (t88 || t89); + if (t90 > 0) + goto LAB28; + +LAB29: memcpy(t100, t79, 8); + +LAB30: t132 = (t100 + 4); + t133 = *((unsigned int *)t132); + t134 = (~(t133)); + t135 = *((unsigned int *)t100); + t136 = (t135 & t134); + t137 = (t136 != 0); + if (t137 > 0) + goto LAB38; + +LAB39: xsi_set_current_line(51, ng0); + t2 = (t0 + 6728); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB44; + +LAB41: if (t20 != 0) + goto LAB43; + +LAB42: *((unsigned int *)t8) = 1; + +LAB44: t10 = (t8 + 4); + t26 = *((unsigned int *)t10); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 != 0); + if (t30 > 0) + goto LAB45; + +LAB46: +LAB47: +LAB40: goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t24) = 1; + goto LAB13; + +LAB12: t31 = (t24 + 4); + *((unsigned int *)t24) = 1; + *((unsigned int *)t31) = 1; + goto LAB13; + +LAB14: t36 = (t0 + 4968); + t37 = (t36 + 56U); + t38 = *((char **)t37); + memset(t39, 0, 8); + t40 = (t38 + 4); + t41 = *((unsigned int *)t40); + t42 = (~(t41)); + t43 = *((unsigned int *)t38); + t44 = (t43 & t42); + t45 = (t44 & 1U); + if (t45 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t40) != 0) + goto LAB19; + +LAB20: t48 = *((unsigned int *)t24); + t49 = *((unsigned int *)t39); + t50 = (t48 & t49); + *((unsigned int *)t47) = t50; + t51 = (t24 + 4); + t52 = (t39 + 4); + t53 = (t47 + 4); + t54 = *((unsigned int *)t51); + t55 = *((unsigned int *)t52); + t56 = (t54 | t55); + *((unsigned int *)t53) = t56; + t57 = *((unsigned int *)t53); + t58 = (t57 != 0); + if (t58 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t39) = 1; + goto LAB20; + +LAB19: t46 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t46) = 1; + goto LAB20; + +LAB21: t59 = *((unsigned int *)t47); + t60 = *((unsigned int *)t53); + *((unsigned int *)t47) = (t59 | t60); + t61 = (t24 + 4); + t62 = (t39 + 4); + t63 = *((unsigned int *)t24); + t64 = (~(t63)); + t65 = *((unsigned int *)t61); + t66 = (~(t65)); + t67 = *((unsigned int *)t39); + t68 = (~(t67)); + t69 = *((unsigned int *)t62); + t70 = (~(t69)); + t71 = (t64 & t66); + t72 = (t68 & t70); + t73 = (~(t71)); + t74 = (~(t72)); + t75 = *((unsigned int *)t53); + *((unsigned int *)t53) = (t75 & t73); + t76 = *((unsigned int *)t53); + *((unsigned int *)t53) = (t76 & t74); + t77 = *((unsigned int *)t47); + *((unsigned int *)t47) = (t77 & t73); + t78 = *((unsigned int *)t47); + *((unsigned int *)t47) = (t78 & t74); + goto LAB23; + +LAB24: *((unsigned int *)t79) = 1; + goto LAB27; + +LAB26: t86 = (t79 + 4); + *((unsigned int *)t79) = 1; + *((unsigned int *)t86) = 1; + goto LAB27; + +LAB28: t91 = (t0 + 3288U); + t92 = *((char **)t91); + memset(t93, 0, 8); + t91 = (t92 + 4); + t94 = *((unsigned int *)t91); + t95 = (~(t94)); + t96 = *((unsigned int *)t92); + t97 = (t96 & t95); + t98 = (t97 & 1U); + if (t98 != 0) + goto LAB31; + +LAB32: if (*((unsigned int *)t91) != 0) + goto LAB33; + +LAB34: t101 = *((unsigned int *)t79); + t102 = *((unsigned int *)t93); + t103 = (t101 & t102); + *((unsigned int *)t100) = t103; + t104 = (t79 + 4); + t105 = (t93 + 4); + t106 = (t100 + 4); + t107 = *((unsigned int *)t104); + t108 = *((unsigned int *)t105); + t109 = (t107 | t108); + *((unsigned int *)t106) = t109; + t110 = *((unsigned int *)t106); + t111 = (t110 != 0); + if (t111 == 1) + goto LAB35; + +LAB36: +LAB37: goto LAB30; + +LAB31: *((unsigned int *)t93) = 1; + goto LAB34; + +LAB33: t99 = (t93 + 4); + *((unsigned int *)t93) = 1; + *((unsigned int *)t99) = 1; + goto LAB34; + +LAB35: t112 = *((unsigned int *)t100); + t113 = *((unsigned int *)t106); + *((unsigned int *)t100) = (t112 | t113); + t114 = (t79 + 4); + t115 = (t93 + 4); + t116 = *((unsigned int *)t79); + t117 = (~(t116)); + t118 = *((unsigned int *)t114); + t119 = (~(t118)); + t120 = *((unsigned int *)t93); + t121 = (~(t120)); + t122 = *((unsigned int *)t115); + t123 = (~(t122)); + t124 = (t117 & t119); + t125 = (t121 & t123); + t126 = (~(t124)); + t127 = (~(t125)); + t128 = *((unsigned int *)t106); + *((unsigned int *)t106) = (t128 & t126); + t129 = *((unsigned int *)t106); + *((unsigned int *)t106) = (t129 & t127); + t130 = *((unsigned int *)t100); + *((unsigned int *)t100) = (t130 & t126); + t131 = *((unsigned int *)t100); + *((unsigned int *)t100) = (t131 & t127); + goto LAB37; + +LAB38: xsi_set_current_line(50, ng0); + t138 = ((char*)((ng2))); + t139 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t139, t138, 0, 0, 1, 0LL); + goto LAB40; + +LAB43: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB44; + +LAB45: xsi_set_current_line(51, ng0); + t23 = ((char*)((ng1))); + t25 = (t0 + 4328); + xsi_vlogvar_wait_assign_value(t25, t23, 0, 0, 1, 0LL); + goto LAB47; + +} + +static void Always_56_12(char *t0) +{ + char t8[8]; + char t39[8]; + char t66[8]; + char t67[8]; + char t68[8]; + char t94[8]; + char t109[8]; + char t116[8]; + char t144[8]; + char t159[8]; + char t166[8]; + char t194[8]; + char t202[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + char *t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + char *t40; + char *t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + char *t65; + unsigned int t69; + unsigned int t70; + char *t71; + char *t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t107; + char *t108; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + char *t115; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t120; + char *t121; + char *t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + char *t130; + char *t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + char *t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + char *t151; + char *t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + char *t157; + char *t158; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + char *t165; + unsigned int t167; + unsigned int t168; + unsigned int t169; + char *t170; + char *t171; + char *t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + char *t180; + char *t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + char *t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + char *t201; + unsigned int t203; + unsigned int t204; + unsigned int t205; + char *t206; + char *t207; + char *t208; + unsigned int t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + char *t216; + char *t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + int t226; + int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + char *t234; + unsigned int t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + char *t240; + char *t241; + +LAB0: t1 = (t0 + 11264U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(56, ng0); + t2 = (t0 + 12768); + *((int *)t2) = 1; + t3 = (t0 + 11296); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(56, ng0); + +LAB5: xsi_set_current_line(57, ng0); + t4 = (t0 + 7368); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng2))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: t24 = (t8 + 4); + t25 = *((unsigned int *)t24); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB10; + +LAB11: xsi_set_current_line(71, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng1))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB33; + +LAB30: if (t20 != 0) + goto LAB32; + +LAB31: *((unsigned int *)t8) = 1; + +LAB33: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB34; + +LAB35: xsi_set_current_line(76, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng6))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB41; + +LAB38: if (t20 != 0) + goto LAB40; + +LAB39: *((unsigned int *)t8) = 1; + +LAB41: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB42; + +LAB43: xsi_set_current_line(80, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng7))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB49; + +LAB46: if (t20 != 0) + goto LAB48; + +LAB47: *((unsigned int *)t8) = 1; + +LAB49: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB50; + +LAB51: xsi_set_current_line(84, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng8))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB57; + +LAB54: if (t20 != 0) + goto LAB56; + +LAB55: *((unsigned int *)t8) = 1; + +LAB57: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB58; + +LAB59: xsi_set_current_line(88, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng9))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB65; + +LAB62: if (t20 != 0) + goto LAB64; + +LAB63: *((unsigned int *)t8) = 1; + +LAB65: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB66; + +LAB67: xsi_set_current_line(98, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng10))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB140; + +LAB137: if (t20 != 0) + goto LAB139; + +LAB138: *((unsigned int *)t8) = 1; + +LAB140: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB141; + +LAB142: xsi_set_current_line(102, ng0); + t2 = (t0 + 7368); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng5))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB148; + +LAB145: if (t20 != 0) + goto LAB147; + +LAB146: *((unsigned int *)t8) = 1; + +LAB148: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB149; + +LAB150: +LAB151: +LAB143: +LAB68: +LAB60: +LAB52: +LAB44: +LAB36: +LAB12: goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: xsi_set_current_line(57, ng0); + +LAB13: xsi_set_current_line(58, ng0); + t30 = (t0 + 5288); + t31 = (t30 + 56U); + t32 = *((char **)t31); + t33 = (t32 + 4); + t34 = *((unsigned int *)t33); + t35 = (~(t34)); + t36 = *((unsigned int *)t32); + t37 = (t36 & t35); + t38 = (t37 != 0); + if (t38 > 0) + goto LAB14; + +LAB15: xsi_set_current_line(66, ng0); + +LAB29: xsi_set_current_line(67, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(68, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(69, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB16: goto LAB12; + +LAB14: xsi_set_current_line(58, ng0); + +LAB17: xsi_set_current_line(59, ng0); + t40 = (t0 + 1208U); + t41 = *((char **)t40); + memset(t39, 0, 8); + t40 = (t41 + 4); + t42 = *((unsigned int *)t40); + t43 = (~(t42)); + t44 = *((unsigned int *)t41); + t45 = (t44 & t43); + t46 = (t45 & 1U); + if (t46 != 0) + goto LAB21; + +LAB19: if (*((unsigned int *)t40) == 0) + goto LAB18; + +LAB20: t47 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t47) = 1; + +LAB21: t48 = (t39 + 4); + t49 = (t41 + 4); + t50 = *((unsigned int *)t41); + t51 = (~(t50)); + *((unsigned int *)t39) = t51; + *((unsigned int *)t48) = 0; + if (*((unsigned int *)t49) != 0) + goto LAB23; + +LAB22: t56 = *((unsigned int *)t39); + *((unsigned int *)t39) = (t56 & 1U); + t57 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t57 & 1U); + t58 = (t39 + 4); + t59 = *((unsigned int *)t58); + t60 = (~(t59)); + t61 = *((unsigned int *)t39); + t62 = (t61 & t60); + t63 = (t62 != 0); + if (t63 > 0) + goto LAB24; + +LAB25: xsi_set_current_line(61, ng0); + +LAB28: xsi_set_current_line(62, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + +LAB26: xsi_set_current_line(64, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(65, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB16; + +LAB18: *((unsigned int *)t39) = 1; + goto LAB21; + +LAB23: t52 = *((unsigned int *)t39); + t53 = *((unsigned int *)t49); + *((unsigned int *)t39) = (t52 | t53); + t54 = *((unsigned int *)t48); + t55 = *((unsigned int *)t49); + *((unsigned int *)t48) = (t54 | t55); + goto LAB22; + +LAB24: xsi_set_current_line(59, ng0); + +LAB27: xsi_set_current_line(60, ng0); + t64 = ((char*)((ng1))); + t65 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t65, t64, 0, 0, 3, 0LL); + goto LAB26; + +LAB32: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB33; + +LAB34: xsi_set_current_line(71, ng0); + +LAB37: xsi_set_current_line(72, ng0); + t23 = ((char*)((ng6))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(73, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(74, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(75, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB36; + +LAB40: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB41; + +LAB42: xsi_set_current_line(76, ng0); + +LAB45: xsi_set_current_line(77, ng0); + t23 = ((char*)((ng7))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB44; + +LAB48: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB49; + +LAB50: xsi_set_current_line(80, ng0); + +LAB53: xsi_set_current_line(81, ng0); + t23 = ((char*)((ng8))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB52; + +LAB56: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB57; + +LAB58: xsi_set_current_line(84, ng0); + +LAB61: xsi_set_current_line(85, ng0); + t23 = ((char*)((ng9))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB60; + +LAB64: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB65; + +LAB66: xsi_set_current_line(88, ng0); + +LAB69: xsi_set_current_line(89, ng0); + t23 = (t0 + 1208U); + t24 = *((char **)t23); + memset(t39, 0, 8); + t23 = (t24 + 4); + t34 = *((unsigned int *)t23); + t35 = (~(t34)); + t36 = *((unsigned int *)t24); + t37 = (t36 & t35); + t38 = (t37 & 1U); + if (t38 != 0) + goto LAB70; + +LAB71: if (*((unsigned int *)t23) != 0) + goto LAB72; + +LAB73: t31 = (t39 + 4); + t42 = *((unsigned int *)t39); + t43 = *((unsigned int *)t31); + t44 = (t42 || t43); + if (t44 > 0) + goto LAB74; + +LAB75: memcpy(t202, t39, 8); + +LAB76: t234 = (t202 + 4); + t235 = *((unsigned int *)t234); + t236 = (~(t235)); + t237 = *((unsigned int *)t202); + t238 = (t237 & t236); + t239 = (t238 != 0); + if (t239 > 0) + goto LAB126; + +LAB127: xsi_set_current_line(93, ng0); + +LAB136: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng9))); + t3 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB128: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB68; + +LAB70: *((unsigned int *)t39) = 1; + goto LAB73; + +LAB72: t30 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t30) = 1; + goto LAB73; + +LAB74: t32 = (t0 + 2968U); + t33 = *((char **)t32); + memset(t66, 0, 8); + t32 = (t33 + 4); + t45 = *((unsigned int *)t32); + t46 = (~(t45)); + t50 = *((unsigned int *)t33); + t51 = (t50 & t46); + t52 = (t51 & 1U); + if (t52 != 0) + goto LAB77; + +LAB78: if (*((unsigned int *)t32) != 0) + goto LAB79; + +LAB80: t41 = (t66 + 4); + t53 = *((unsigned int *)t66); + t54 = (!(t53)); + t55 = *((unsigned int *)t41); + t56 = (t54 || t55); + if (t56 > 0) + goto LAB81; + +LAB82: memcpy(t68, t66, 8); + +LAB83: memset(t94, 0, 8); + t95 = (t68 + 4); + t96 = *((unsigned int *)t95); + t97 = (~(t96)); + t98 = *((unsigned int *)t68); + t99 = (t98 & t97); + t100 = (t99 & 1U); + if (t100 != 0) + goto LAB91; + +LAB92: if (*((unsigned int *)t95) != 0) + goto LAB93; + +LAB94: t102 = (t94 + 4); + t103 = *((unsigned int *)t94); + t104 = (!(t103)); + t105 = *((unsigned int *)t102); + t106 = (t104 || t105); + if (t106 > 0) + goto LAB95; + +LAB96: memcpy(t116, t94, 8); + +LAB97: memset(t144, 0, 8); + t145 = (t116 + 4); + t146 = *((unsigned int *)t145); + t147 = (~(t146)); + t148 = *((unsigned int *)t116); + t149 = (t148 & t147); + t150 = (t149 & 1U); + if (t150 != 0) + goto LAB105; + +LAB106: if (*((unsigned int *)t145) != 0) + goto LAB107; + +LAB108: t152 = (t144 + 4); + t153 = *((unsigned int *)t144); + t154 = (!(t153)); + t155 = *((unsigned int *)t152); + t156 = (t154 || t155); + if (t156 > 0) + goto LAB109; + +LAB110: memcpy(t166, t144, 8); + +LAB111: memset(t194, 0, 8); + t195 = (t166 + 4); + t196 = *((unsigned int *)t195); + t197 = (~(t196)); + t198 = *((unsigned int *)t166); + t199 = (t198 & t197); + t200 = (t199 & 1U); + if (t200 != 0) + goto LAB119; + +LAB120: if (*((unsigned int *)t195) != 0) + goto LAB121; + +LAB122: t203 = *((unsigned int *)t39); + t204 = *((unsigned int *)t194); + t205 = (t203 & t204); + *((unsigned int *)t202) = t205; + t206 = (t39 + 4); + t207 = (t194 + 4); + t208 = (t202 + 4); + t209 = *((unsigned int *)t206); + t210 = *((unsigned int *)t207); + t211 = (t209 | t210); + *((unsigned int *)t208) = t211; + t212 = *((unsigned int *)t208); + t213 = (t212 != 0); + if (t213 == 1) + goto LAB123; + +LAB124: +LAB125: goto LAB76; + +LAB77: *((unsigned int *)t66) = 1; + goto LAB80; + +LAB79: t40 = (t66 + 4); + *((unsigned int *)t66) = 1; + *((unsigned int *)t40) = 1; + goto LAB80; + +LAB81: t47 = (t0 + 7208); + t48 = (t47 + 56U); + t49 = *((char **)t48); + memset(t67, 0, 8); + t58 = (t49 + 4); + t57 = *((unsigned int *)t58); + t59 = (~(t57)); + t60 = *((unsigned int *)t49); + t61 = (t60 & t59); + t62 = (t61 & 1U); + if (t62 != 0) + goto LAB84; + +LAB85: if (*((unsigned int *)t58) != 0) + goto LAB86; + +LAB87: t63 = *((unsigned int *)t66); + t69 = *((unsigned int *)t67); + t70 = (t63 | t69); + *((unsigned int *)t68) = t70; + t65 = (t66 + 4); + t71 = (t67 + 4); + t72 = (t68 + 4); + t73 = *((unsigned int *)t65); + t74 = *((unsigned int *)t71); + t75 = (t73 | t74); + *((unsigned int *)t72) = t75; + t76 = *((unsigned int *)t72); + t77 = (t76 != 0); + if (t77 == 1) + goto LAB88; + +LAB89: +LAB90: goto LAB83; + +LAB84: *((unsigned int *)t67) = 1; + goto LAB87; + +LAB86: t64 = (t67 + 4); + *((unsigned int *)t67) = 1; + *((unsigned int *)t64) = 1; + goto LAB87; + +LAB88: t78 = *((unsigned int *)t68); + t79 = *((unsigned int *)t72); + *((unsigned int *)t68) = (t78 | t79); + t80 = (t66 + 4); + t81 = (t67 + 4); + t82 = *((unsigned int *)t80); + t83 = (~(t82)); + t84 = *((unsigned int *)t66); + t85 = (t84 & t83); + t86 = *((unsigned int *)t81); + t87 = (~(t86)); + t88 = *((unsigned int *)t67); + t89 = (t88 & t87); + t90 = (~(t85)); + t91 = (~(t89)); + t92 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t92 & t90); + t93 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t93 & t91); + goto LAB90; + +LAB91: *((unsigned int *)t94) = 1; + goto LAB94; + +LAB93: t101 = (t94 + 4); + *((unsigned int *)t94) = 1; + *((unsigned int *)t101) = 1; + goto LAB94; + +LAB95: t107 = (t0 + 3128U); + t108 = *((char **)t107); + memset(t109, 0, 8); + t107 = (t108 + 4); + t110 = *((unsigned int *)t107); + t111 = (~(t110)); + t112 = *((unsigned int *)t108); + t113 = (t112 & t111); + t114 = (t113 & 1U); + if (t114 != 0) + goto LAB98; + +LAB99: if (*((unsigned int *)t107) != 0) + goto LAB100; + +LAB101: t117 = *((unsigned int *)t94); + t118 = *((unsigned int *)t109); + t119 = (t117 | t118); + *((unsigned int *)t116) = t119; + t120 = (t94 + 4); + t121 = (t109 + 4); + t122 = (t116 + 4); + t123 = *((unsigned int *)t120); + t124 = *((unsigned int *)t121); + t125 = (t123 | t124); + *((unsigned int *)t122) = t125; + t126 = *((unsigned int *)t122); + t127 = (t126 != 0); + if (t127 == 1) + goto LAB102; + +LAB103: +LAB104: goto LAB97; + +LAB98: *((unsigned int *)t109) = 1; + goto LAB101; + +LAB100: t115 = (t109 + 4); + *((unsigned int *)t109) = 1; + *((unsigned int *)t115) = 1; + goto LAB101; + +LAB102: t128 = *((unsigned int *)t116); + t129 = *((unsigned int *)t122); + *((unsigned int *)t116) = (t128 | t129); + t130 = (t94 + 4); + t131 = (t109 + 4); + t132 = *((unsigned int *)t130); + t133 = (~(t132)); + t134 = *((unsigned int *)t94); + t135 = (t134 & t133); + t136 = *((unsigned int *)t131); + t137 = (~(t136)); + t138 = *((unsigned int *)t109); + t139 = (t138 & t137); + t140 = (~(t135)); + t141 = (~(t139)); + t142 = *((unsigned int *)t122); + *((unsigned int *)t122) = (t142 & t140); + t143 = *((unsigned int *)t122); + *((unsigned int *)t122) = (t143 & t141); + goto LAB104; + +LAB105: *((unsigned int *)t144) = 1; + goto LAB108; + +LAB107: t151 = (t144 + 4); + *((unsigned int *)t144) = 1; + *((unsigned int *)t151) = 1; + goto LAB108; + +LAB109: t157 = (t0 + 3448U); + t158 = *((char **)t157); + memset(t159, 0, 8); + t157 = (t158 + 4); + t160 = *((unsigned int *)t157); + t161 = (~(t160)); + t162 = *((unsigned int *)t158); + t163 = (t162 & t161); + t164 = (t163 & 1U); + if (t164 != 0) + goto LAB112; + +LAB113: if (*((unsigned int *)t157) != 0) + goto LAB114; + +LAB115: t167 = *((unsigned int *)t144); + t168 = *((unsigned int *)t159); + t169 = (t167 | t168); + *((unsigned int *)t166) = t169; + t170 = (t144 + 4); + t171 = (t159 + 4); + t172 = (t166 + 4); + t173 = *((unsigned int *)t170); + t174 = *((unsigned int *)t171); + t175 = (t173 | t174); + *((unsigned int *)t172) = t175; + t176 = *((unsigned int *)t172); + t177 = (t176 != 0); + if (t177 == 1) + goto LAB116; + +LAB117: +LAB118: goto LAB111; + +LAB112: *((unsigned int *)t159) = 1; + goto LAB115; + +LAB114: t165 = (t159 + 4); + *((unsigned int *)t159) = 1; + *((unsigned int *)t165) = 1; + goto LAB115; + +LAB116: t178 = *((unsigned int *)t166); + t179 = *((unsigned int *)t172); + *((unsigned int *)t166) = (t178 | t179); + t180 = (t144 + 4); + t181 = (t159 + 4); + t182 = *((unsigned int *)t180); + t183 = (~(t182)); + t184 = *((unsigned int *)t144); + t185 = (t184 & t183); + t186 = *((unsigned int *)t181); + t187 = (~(t186)); + t188 = *((unsigned int *)t159); + t189 = (t188 & t187); + t190 = (~(t185)); + t191 = (~(t189)); + t192 = *((unsigned int *)t172); + *((unsigned int *)t172) = (t192 & t190); + t193 = *((unsigned int *)t172); + *((unsigned int *)t172) = (t193 & t191); + goto LAB118; + +LAB119: *((unsigned int *)t194) = 1; + goto LAB122; + +LAB121: t201 = (t194 + 4); + *((unsigned int *)t194) = 1; + *((unsigned int *)t201) = 1; + goto LAB122; + +LAB123: t214 = *((unsigned int *)t202); + t215 = *((unsigned int *)t208); + *((unsigned int *)t202) = (t214 | t215); + t216 = (t39 + 4); + t217 = (t194 + 4); + t218 = *((unsigned int *)t39); + t219 = (~(t218)); + t220 = *((unsigned int *)t216); + t221 = (~(t220)); + t222 = *((unsigned int *)t194); + t223 = (~(t222)); + t224 = *((unsigned int *)t217); + t225 = (~(t224)); + t226 = (t219 & t221); + t227 = (t223 & t225); + t228 = (~(t226)); + t229 = (~(t227)); + t230 = *((unsigned int *)t208); + *((unsigned int *)t208) = (t230 & t228); + t231 = *((unsigned int *)t208); + *((unsigned int *)t208) = (t231 & t229); + t232 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t232 & t228); + t233 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t233 & t229); + goto LAB125; + +LAB126: xsi_set_current_line(89, ng0); + +LAB129: xsi_set_current_line(90, ng0); + t240 = ((char*)((ng10))); + t241 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t241, t240, 0, 0, 3, 0LL); + xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(92, ng0); + t2 = (t0 + 1848U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB133; + +LAB131: if (*((unsigned int *)t2) == 0) + goto LAB130; + +LAB132: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + +LAB133: t5 = (t8 + 4); + t6 = (t3 + 4); + t16 = *((unsigned int *)t3); + t17 = (~(t16)); + *((unsigned int *)t8) = t17; + *((unsigned int *)t5) = 0; + if (*((unsigned int *)t6) != 0) + goto LAB135; + +LAB134: t22 = *((unsigned int *)t8); + *((unsigned int *)t8) = (t22 & 1U); + t25 = *((unsigned int *)t5); + *((unsigned int *)t5) = (t25 & 1U); + t7 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t7, t8, 0, 0, 1, 0LL); + goto LAB128; + +LAB130: *((unsigned int *)t8) = 1; + goto LAB133; + +LAB135: t18 = *((unsigned int *)t8); + t19 = *((unsigned int *)t6); + *((unsigned int *)t8) = (t18 | t19); + t20 = *((unsigned int *)t5); + t21 = *((unsigned int *)t6); + *((unsigned int *)t5) = (t20 | t21); + goto LAB134; + +LAB139: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB140; + +LAB141: xsi_set_current_line(98, ng0); + +LAB144: xsi_set_current_line(99, ng0); + t23 = ((char*)((ng5))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB143; + +LAB147: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB148; + +LAB149: xsi_set_current_line(102, ng0); + +LAB152: xsi_set_current_line(103, ng0); + t23 = ((char*)((ng2))); + t24 = (t0 + 7368); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4648); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB151; + +} + +static void Cont_110_13(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + +LAB0: t1 = (t0 + 11512U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 13152); + t4 = (t3 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t2 + 4); + t11 = *((unsigned int *)t2); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t3, 0, 0); + +LAB1: return; +} + +static void Always_111_14(char *t0) +{ + char t8[8]; + char t24[8]; + char t41[8]; + char t57[8]; + char t65[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + char *t31; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + char *t37; + char *t38; + char *t39; + char *t40; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + char *t70; + char *t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + char *t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t93; + +LAB0: t1 = (t0 + 11760U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(111, ng0); + t2 = (t0 + 12784); + *((int *)t2) = 1; + t3 = (t0 + 11792); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(111, ng0); + +LAB5: xsi_set_current_line(111, ng0); + t4 = (t0 + 7368); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng8))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: memset(t24, 0, 8); + t25 = (t8 + 4); + t26 = *((unsigned int *)t25); + t27 = (~(t26)); + t28 = *((unsigned int *)t8); + t29 = (t28 & t27); + t30 = (t29 & 1U); + if (t30 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t25) != 0) + goto LAB12; + +LAB13: t32 = (t24 + 4); + t33 = *((unsigned int *)t24); + t34 = (!(t33)); + t35 = *((unsigned int *)t32); + t36 = (t34 || t35); + if (t36 > 0) + goto LAB14; + +LAB15: memcpy(t65, t24, 8); + +LAB16: t93 = (t0 + 4808); + xsi_vlogvar_wait_assign_value(t93, t65, 0, 0, 1, 0LL); + goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t24) = 1; + goto LAB13; + +LAB12: t31 = (t24 + 4); + *((unsigned int *)t24) = 1; + *((unsigned int *)t31) = 1; + goto LAB13; + +LAB14: t37 = (t0 + 7368); + t38 = (t37 + 56U); + t39 = *((char **)t38); + t40 = ((char*)((ng9))); + memset(t41, 0, 8); + t42 = (t39 + 4); + t43 = (t40 + 4); + t44 = *((unsigned int *)t39); + t45 = *((unsigned int *)t40); + t46 = (t44 ^ t45); + t47 = *((unsigned int *)t42); + t48 = *((unsigned int *)t43); + t49 = (t47 ^ t48); + t50 = (t46 | t49); + t51 = *((unsigned int *)t42); + t52 = *((unsigned int *)t43); + t53 = (t51 | t52); + t54 = (~(t53)); + t55 = (t50 & t54); + if (t55 != 0) + goto LAB20; + +LAB17: if (t53 != 0) + goto LAB19; + +LAB18: *((unsigned int *)t41) = 1; + +LAB20: memset(t57, 0, 8); + t58 = (t41 + 4); + t59 = *((unsigned int *)t58); + t60 = (~(t59)); + t61 = *((unsigned int *)t41); + t62 = (t61 & t60); + t63 = (t62 & 1U); + if (t63 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t58) != 0) + goto LAB23; + +LAB24: t66 = *((unsigned int *)t24); + t67 = *((unsigned int *)t57); + t68 = (t66 | t67); + *((unsigned int *)t65) = t68; + t69 = (t24 + 4); + t70 = (t57 + 4); + t71 = (t65 + 4); + t72 = *((unsigned int *)t69); + t73 = *((unsigned int *)t70); + t74 = (t72 | t73); + *((unsigned int *)t71) = t74; + t75 = *((unsigned int *)t71); + t76 = (t75 != 0); + if (t76 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB16; + +LAB19: t56 = (t41 + 4); + *((unsigned int *)t41) = 1; + *((unsigned int *)t56) = 1; + goto LAB20; + +LAB21: *((unsigned int *)t57) = 1; + goto LAB24; + +LAB23: t64 = (t57 + 4); + *((unsigned int *)t57) = 1; + *((unsigned int *)t64) = 1; + goto LAB24; + +LAB25: t77 = *((unsigned int *)t65); + t78 = *((unsigned int *)t71); + *((unsigned int *)t65) = (t77 | t78); + t79 = (t24 + 4); + t80 = (t57 + 4); + t81 = *((unsigned int *)t79); + t82 = (~(t81)); + t83 = *((unsigned int *)t24); + t84 = (t83 & t82); + t85 = *((unsigned int *)t80); + t86 = (~(t85)); + t87 = *((unsigned int *)t57); + t88 = (t87 & t86); + t89 = (~(t84)); + t90 = (~(t88)); + t91 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t91 & t89); + t92 = *((unsigned int *)t71); + *((unsigned int *)t71) = (t92 & t90); + goto LAB27; + +} + +static void Always_112_15(char *t0) +{ + char t4[8]; + char t7[8]; + char t22[8]; + char t38[8]; + char t55[8]; + char t71[8]; + char t79[8]; + char t107[8]; + char t124[8]; + char t140[8]; + char t148[8]; + char t176[8]; + char t193[8]; + char t209[8]; + char t217[8]; + char t245[8]; + char t262[8]; + char t278[8]; + char t286[8]; + char t314[8]; + char t331[8]; + char t347[8]; + char t355[8]; + char t383[8]; + char t391[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t18; + char *t19; + char *t20; + char *t21; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + char *t37; + char *t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + char *t45; + char *t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + char *t51; + char *t52; + char *t53; + char *t54; + char *t56; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + char *t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + char *t78; + unsigned int t80; + unsigned int t81; + unsigned int t82; + char *t83; + char *t84; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t93; + char *t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + int t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t120; + char *t121; + char *t122; + char *t123; + char *t125; + char *t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + char *t139; + char *t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + char *t147; + unsigned int t149; + unsigned int t150; + unsigned int t151; + char *t152; + char *t153; + char *t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + char *t162; + char *t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + int t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + char *t183; + char *t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + char *t189; + char *t190; + char *t191; + char *t192; + char *t194; + char *t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + char *t216; + unsigned int t218; + unsigned int t219; + unsigned int t220; + char *t221; + char *t222; + char *t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + char *t231; + char *t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + int t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + int t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + unsigned int t244; + char *t246; + unsigned int t247; + unsigned int t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + char *t252; + char *t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + char *t258; + char *t259; + char *t260; + char *t261; + char *t263; + char *t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + unsigned int t272; + unsigned int t273; + unsigned int t274; + unsigned int t275; + unsigned int t276; + char *t277; + char *t279; + unsigned int t280; + unsigned int t281; + unsigned int t282; + unsigned int t283; + unsigned int t284; + char *t285; + unsigned int t287; + unsigned int t288; + unsigned int t289; + char *t290; + char *t291; + char *t292; + unsigned int t293; + unsigned int t294; + unsigned int t295; + unsigned int t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + char *t300; + char *t301; + unsigned int t302; + unsigned int t303; + unsigned int t304; + int t305; + unsigned int t306; + unsigned int t307; + unsigned int t308; + int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + char *t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + unsigned int t319; + unsigned int t320; + char *t321; + char *t322; + unsigned int t323; + unsigned int t324; + unsigned int t325; + unsigned int t326; + char *t327; + char *t328; + char *t329; + char *t330; + char *t332; + char *t333; + unsigned int t334; + unsigned int t335; + unsigned int t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + unsigned int t340; + unsigned int t341; + unsigned int t342; + unsigned int t343; + unsigned int t344; + unsigned int t345; + char *t346; + char *t348; + unsigned int t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + char *t354; + unsigned int t356; + unsigned int t357; + unsigned int t358; + char *t359; + char *t360; + char *t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + char *t369; + char *t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + int t374; + unsigned int t375; + unsigned int t376; + unsigned int t377; + int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + char *t384; + unsigned int t385; + unsigned int t386; + unsigned int t387; + unsigned int t388; + unsigned int t389; + char *t390; + unsigned int t392; + unsigned int t393; + unsigned int t394; + char *t395; + char *t396; + char *t397; + unsigned int t398; + unsigned int t399; + unsigned int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + unsigned int t404; + char *t405; + char *t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + int t415; + int t416; + unsigned int t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + char *t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + char *t429; + char *t430; + char *t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + unsigned int t436; + unsigned int t437; + unsigned int t438; + unsigned int t439; + char *t440; + +LAB0: t1 = (t0 + 12008U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(112, ng0); + t2 = (t0 + 12800); + *((int *)t2) = 1; + t3 = (t0 + 12040); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(112, ng0); + +LAB5: xsi_set_current_line(113, ng0); + t5 = (t0 + 2808U); + t6 = *((char **)t5); + memset(t7, 0, 8); + t5 = (t6 + 4); + t8 = *((unsigned int *)t5); + t9 = (~(t8)); + t10 = *((unsigned int *)t6); + t11 = (t10 & t9); + t12 = (t11 & 1U); + if (t12 != 0) + goto LAB6; + +LAB7: if (*((unsigned int *)t5) != 0) + goto LAB8; + +LAB9: t14 = (t7 + 4); + t15 = *((unsigned int *)t7); + t16 = *((unsigned int *)t14); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB10; + +LAB11: memcpy(t391, t7, 8); + +LAB12: memset(t4, 0, 8); + t423 = (t391 + 4); + t424 = *((unsigned int *)t423); + t425 = (~(t424)); + t426 = *((unsigned int *)t391); + t427 = (t426 & t425); + t428 = (t427 & 1U); + if (t428 != 0) + goto LAB117; + +LAB115: if (*((unsigned int *)t423) == 0) + goto LAB114; + +LAB116: t429 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t429) = 1; + +LAB117: t430 = (t4 + 4); + t431 = (t391 + 4); + t432 = *((unsigned int *)t391); + t433 = (~(t432)); + *((unsigned int *)t4) = t433; + *((unsigned int *)t430) = 0; + if (*((unsigned int *)t431) != 0) + goto LAB119; + +LAB118: t438 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t438 & 1U); + t439 = *((unsigned int *)t430); + *((unsigned int *)t430) = (t439 & 1U); + t440 = (t0 + 4488); + xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t7) = 1; + goto LAB9; + +LAB8: t13 = (t7 + 4); + *((unsigned int *)t7) = 1; + *((unsigned int *)t13) = 1; + goto LAB9; + +LAB10: t18 = (t0 + 7368); + t19 = (t18 + 56U); + t20 = *((char **)t19); + t21 = ((char*)((ng1))); + memset(t22, 0, 8); + t23 = (t20 + 4); + t24 = (t21 + 4); + t25 = *((unsigned int *)t20); + t26 = *((unsigned int *)t21); + t27 = (t25 ^ t26); + t28 = *((unsigned int *)t23); + t29 = *((unsigned int *)t24); + t30 = (t28 ^ t29); + t31 = (t27 | t30); + t32 = *((unsigned int *)t23); + t33 = *((unsigned int *)t24); + t34 = (t32 | t33); + t35 = (~(t34)); + t36 = (t31 & t35); + if (t36 != 0) + goto LAB16; + +LAB13: if (t34 != 0) + goto LAB15; + +LAB14: *((unsigned int *)t22) = 1; + +LAB16: memset(t38, 0, 8); + t39 = (t22 + 4); + t40 = *((unsigned int *)t39); + t41 = (~(t40)); + t42 = *((unsigned int *)t22); + t43 = (t42 & t41); + t44 = (t43 & 1U); + if (t44 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t39) != 0) + goto LAB19; + +LAB20: t46 = (t38 + 4); + t47 = *((unsigned int *)t38); + t48 = (!(t47)); + t49 = *((unsigned int *)t46); + t50 = (t48 || t49); + if (t50 > 0) + goto LAB21; + +LAB22: memcpy(t79, t38, 8); + +LAB23: memset(t107, 0, 8); + t108 = (t79 + 4); + t109 = *((unsigned int *)t108); + t110 = (~(t109)); + t111 = *((unsigned int *)t79); + t112 = (t111 & t110); + t113 = (t112 & 1U); + if (t113 != 0) + goto LAB35; + +LAB36: if (*((unsigned int *)t108) != 0) + goto LAB37; + +LAB38: t115 = (t107 + 4); + t116 = *((unsigned int *)t107); + t117 = (!(t116)); + t118 = *((unsigned int *)t115); + t119 = (t117 || t118); + if (t119 > 0) + goto LAB39; + +LAB40: memcpy(t148, t107, 8); + +LAB41: memset(t176, 0, 8); + t177 = (t148 + 4); + t178 = *((unsigned int *)t177); + t179 = (~(t178)); + t180 = *((unsigned int *)t148); + t181 = (t180 & t179); + t182 = (t181 & 1U); + if (t182 != 0) + goto LAB53; + +LAB54: if (*((unsigned int *)t177) != 0) + goto LAB55; + +LAB56: t184 = (t176 + 4); + t185 = *((unsigned int *)t176); + t186 = (!(t185)); + t187 = *((unsigned int *)t184); + t188 = (t186 || t187); + if (t188 > 0) + goto LAB57; + +LAB58: memcpy(t217, t176, 8); + +LAB59: memset(t245, 0, 8); + t246 = (t217 + 4); + t247 = *((unsigned int *)t246); + t248 = (~(t247)); + t249 = *((unsigned int *)t217); + t250 = (t249 & t248); + t251 = (t250 & 1U); + if (t251 != 0) + goto LAB71; + +LAB72: if (*((unsigned int *)t246) != 0) + goto LAB73; + +LAB74: t253 = (t245 + 4); + t254 = *((unsigned int *)t245); + t255 = (!(t254)); + t256 = *((unsigned int *)t253); + t257 = (t255 || t256); + if (t257 > 0) + goto LAB75; + +LAB76: memcpy(t286, t245, 8); + +LAB77: memset(t314, 0, 8); + t315 = (t286 + 4); + t316 = *((unsigned int *)t315); + t317 = (~(t316)); + t318 = *((unsigned int *)t286); + t319 = (t318 & t317); + t320 = (t319 & 1U); + if (t320 != 0) + goto LAB89; + +LAB90: if (*((unsigned int *)t315) != 0) + goto LAB91; + +LAB92: t322 = (t314 + 4); + t323 = *((unsigned int *)t314); + t324 = (!(t323)); + t325 = *((unsigned int *)t322); + t326 = (t324 || t325); + if (t326 > 0) + goto LAB93; + +LAB94: memcpy(t355, t314, 8); + +LAB95: memset(t383, 0, 8); + t384 = (t355 + 4); + t385 = *((unsigned int *)t384); + t386 = (~(t385)); + t387 = *((unsigned int *)t355); + t388 = (t387 & t386); + t389 = (t388 & 1U); + if (t389 != 0) + goto LAB107; + +LAB108: if (*((unsigned int *)t384) != 0) + goto LAB109; + +LAB110: t392 = *((unsigned int *)t7); + t393 = *((unsigned int *)t383); + t394 = (t392 & t393); + *((unsigned int *)t391) = t394; + t395 = (t7 + 4); + t396 = (t383 + 4); + t397 = (t391 + 4); + t398 = *((unsigned int *)t395); + t399 = *((unsigned int *)t396); + t400 = (t398 | t399); + *((unsigned int *)t397) = t400; + t401 = *((unsigned int *)t397); + t402 = (t401 != 0); + if (t402 == 1) + goto LAB111; + +LAB112: +LAB113: goto LAB12; + +LAB15: t37 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t37) = 1; + goto LAB16; + +LAB17: *((unsigned int *)t38) = 1; + goto LAB20; + +LAB19: t45 = (t38 + 4); + *((unsigned int *)t38) = 1; + *((unsigned int *)t45) = 1; + goto LAB20; + +LAB21: t51 = (t0 + 7368); + t52 = (t51 + 56U); + t53 = *((char **)t52); + t54 = ((char*)((ng6))); + memset(t55, 0, 8); + t56 = (t53 + 4); + t57 = (t54 + 4); + t58 = *((unsigned int *)t53); + t59 = *((unsigned int *)t54); + t60 = (t58 ^ t59); + t61 = *((unsigned int *)t56); + t62 = *((unsigned int *)t57); + t63 = (t61 ^ t62); + t64 = (t60 | t63); + t65 = *((unsigned int *)t56); + t66 = *((unsigned int *)t57); + t67 = (t65 | t66); + t68 = (~(t67)); + t69 = (t64 & t68); + if (t69 != 0) + goto LAB27; + +LAB24: if (t67 != 0) + goto LAB26; + +LAB25: *((unsigned int *)t55) = 1; + +LAB27: memset(t71, 0, 8); + t72 = (t55 + 4); + t73 = *((unsigned int *)t72); + t74 = (~(t73)); + t75 = *((unsigned int *)t55); + t76 = (t75 & t74); + t77 = (t76 & 1U); + if (t77 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t72) != 0) + goto LAB30; + +LAB31: t80 = *((unsigned int *)t38); + t81 = *((unsigned int *)t71); + t82 = (t80 | t81); + *((unsigned int *)t79) = t82; + t83 = (t38 + 4); + t84 = (t71 + 4); + t85 = (t79 + 4); + t86 = *((unsigned int *)t83); + t87 = *((unsigned int *)t84); + t88 = (t86 | t87); + *((unsigned int *)t85) = t88; + t89 = *((unsigned int *)t85); + t90 = (t89 != 0); + if (t90 == 1) + goto LAB32; + +LAB33: +LAB34: goto LAB23; + +LAB26: t70 = (t55 + 4); + *((unsigned int *)t55) = 1; + *((unsigned int *)t70) = 1; + goto LAB27; + +LAB28: *((unsigned int *)t71) = 1; + goto LAB31; + +LAB30: t78 = (t71 + 4); + *((unsigned int *)t71) = 1; + *((unsigned int *)t78) = 1; + goto LAB31; + +LAB32: t91 = *((unsigned int *)t79); + t92 = *((unsigned int *)t85); + *((unsigned int *)t79) = (t91 | t92); + t93 = (t38 + 4); + t94 = (t71 + 4); + t95 = *((unsigned int *)t93); + t96 = (~(t95)); + t97 = *((unsigned int *)t38); + t98 = (t97 & t96); + t99 = *((unsigned int *)t94); + t100 = (~(t99)); + t101 = *((unsigned int *)t71); + t102 = (t101 & t100); + t103 = (~(t98)); + t104 = (~(t102)); + t105 = *((unsigned int *)t85); + *((unsigned int *)t85) = (t105 & t103); + t106 = *((unsigned int *)t85); + *((unsigned int *)t85) = (t106 & t104); + goto LAB34; + +LAB35: *((unsigned int *)t107) = 1; + goto LAB38; + +LAB37: t114 = (t107 + 4); + *((unsigned int *)t107) = 1; + *((unsigned int *)t114) = 1; + goto LAB38; + +LAB39: t120 = (t0 + 7368); + t121 = (t120 + 56U); + t122 = *((char **)t121); + t123 = ((char*)((ng7))); + memset(t124, 0, 8); + t125 = (t122 + 4); + t126 = (t123 + 4); + t127 = *((unsigned int *)t122); + t128 = *((unsigned int *)t123); + t129 = (t127 ^ t128); + t130 = *((unsigned int *)t125); + t131 = *((unsigned int *)t126); + t132 = (t130 ^ t131); + t133 = (t129 | t132); + t134 = *((unsigned int *)t125); + t135 = *((unsigned int *)t126); + t136 = (t134 | t135); + t137 = (~(t136)); + t138 = (t133 & t137); + if (t138 != 0) + goto LAB45; + +LAB42: if (t136 != 0) + goto LAB44; + +LAB43: *((unsigned int *)t124) = 1; + +LAB45: memset(t140, 0, 8); + t141 = (t124 + 4); + t142 = *((unsigned int *)t141); + t143 = (~(t142)); + t144 = *((unsigned int *)t124); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t141) != 0) + goto LAB48; + +LAB49: t149 = *((unsigned int *)t107); + t150 = *((unsigned int *)t140); + t151 = (t149 | t150); + *((unsigned int *)t148) = t151; + t152 = (t107 + 4); + t153 = (t140 + 4); + t154 = (t148 + 4); + t155 = *((unsigned int *)t152); + t156 = *((unsigned int *)t153); + t157 = (t155 | t156); + *((unsigned int *)t154) = t157; + t158 = *((unsigned int *)t154); + t159 = (t158 != 0); + if (t159 == 1) + goto LAB50; + +LAB51: +LAB52: goto LAB41; + +LAB44: t139 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t139) = 1; + goto LAB45; + +LAB46: *((unsigned int *)t140) = 1; + goto LAB49; + +LAB48: t147 = (t140 + 4); + *((unsigned int *)t140) = 1; + *((unsigned int *)t147) = 1; + goto LAB49; + +LAB50: t160 = *((unsigned int *)t148); + t161 = *((unsigned int *)t154); + *((unsigned int *)t148) = (t160 | t161); + t162 = (t107 + 4); + t163 = (t140 + 4); + t164 = *((unsigned int *)t162); + t165 = (~(t164)); + t166 = *((unsigned int *)t107); + t167 = (t166 & t165); + t168 = *((unsigned int *)t163); + t169 = (~(t168)); + t170 = *((unsigned int *)t140); + t171 = (t170 & t169); + t172 = (~(t167)); + t173 = (~(t171)); + t174 = *((unsigned int *)t154); + *((unsigned int *)t154) = (t174 & t172); + t175 = *((unsigned int *)t154); + *((unsigned int *)t154) = (t175 & t173); + goto LAB52; + +LAB53: *((unsigned int *)t176) = 1; + goto LAB56; + +LAB55: t183 = (t176 + 4); + *((unsigned int *)t176) = 1; + *((unsigned int *)t183) = 1; + goto LAB56; + +LAB57: t189 = (t0 + 7368); + t190 = (t189 + 56U); + t191 = *((char **)t190); + t192 = ((char*)((ng8))); + memset(t193, 0, 8); + t194 = (t191 + 4); + t195 = (t192 + 4); + t196 = *((unsigned int *)t191); + t197 = *((unsigned int *)t192); + t198 = (t196 ^ t197); + t199 = *((unsigned int *)t194); + t200 = *((unsigned int *)t195); + t201 = (t199 ^ t200); + t202 = (t198 | t201); + t203 = *((unsigned int *)t194); + t204 = *((unsigned int *)t195); + t205 = (t203 | t204); + t206 = (~(t205)); + t207 = (t202 & t206); + if (t207 != 0) + goto LAB63; + +LAB60: if (t205 != 0) + goto LAB62; + +LAB61: *((unsigned int *)t193) = 1; + +LAB63: memset(t209, 0, 8); + t210 = (t193 + 4); + t211 = *((unsigned int *)t210); + t212 = (~(t211)); + t213 = *((unsigned int *)t193); + t214 = (t213 & t212); + t215 = (t214 & 1U); + if (t215 != 0) + goto LAB64; + +LAB65: if (*((unsigned int *)t210) != 0) + goto LAB66; + +LAB67: t218 = *((unsigned int *)t176); + t219 = *((unsigned int *)t209); + t220 = (t218 | t219); + *((unsigned int *)t217) = t220; + t221 = (t176 + 4); + t222 = (t209 + 4); + t223 = (t217 + 4); + t224 = *((unsigned int *)t221); + t225 = *((unsigned int *)t222); + t226 = (t224 | t225); + *((unsigned int *)t223) = t226; + t227 = *((unsigned int *)t223); + t228 = (t227 != 0); + if (t228 == 1) + goto LAB68; + +LAB69: +LAB70: goto LAB59; + +LAB62: t208 = (t193 + 4); + *((unsigned int *)t193) = 1; + *((unsigned int *)t208) = 1; + goto LAB63; + +LAB64: *((unsigned int *)t209) = 1; + goto LAB67; + +LAB66: t216 = (t209 + 4); + *((unsigned int *)t209) = 1; + *((unsigned int *)t216) = 1; + goto LAB67; + +LAB68: t229 = *((unsigned int *)t217); + t230 = *((unsigned int *)t223); + *((unsigned int *)t217) = (t229 | t230); + t231 = (t176 + 4); + t232 = (t209 + 4); + t233 = *((unsigned int *)t231); + t234 = (~(t233)); + t235 = *((unsigned int *)t176); + t236 = (t235 & t234); + t237 = *((unsigned int *)t232); + t238 = (~(t237)); + t239 = *((unsigned int *)t209); + t240 = (t239 & t238); + t241 = (~(t236)); + t242 = (~(t240)); + t243 = *((unsigned int *)t223); + *((unsigned int *)t223) = (t243 & t241); + t244 = *((unsigned int *)t223); + *((unsigned int *)t223) = (t244 & t242); + goto LAB70; + +LAB71: *((unsigned int *)t245) = 1; + goto LAB74; + +LAB73: t252 = (t245 + 4); + *((unsigned int *)t245) = 1; + *((unsigned int *)t252) = 1; + goto LAB74; + +LAB75: t258 = (t0 + 7368); + t259 = (t258 + 56U); + t260 = *((char **)t259); + t261 = ((char*)((ng9))); + memset(t262, 0, 8); + t263 = (t260 + 4); + t264 = (t261 + 4); + t265 = *((unsigned int *)t260); + t266 = *((unsigned int *)t261); + t267 = (t265 ^ t266); + t268 = *((unsigned int *)t263); + t269 = *((unsigned int *)t264); + t270 = (t268 ^ t269); + t271 = (t267 | t270); + t272 = *((unsigned int *)t263); + t273 = *((unsigned int *)t264); + t274 = (t272 | t273); + t275 = (~(t274)); + t276 = (t271 & t275); + if (t276 != 0) + goto LAB81; + +LAB78: if (t274 != 0) + goto LAB80; + +LAB79: *((unsigned int *)t262) = 1; + +LAB81: memset(t278, 0, 8); + t279 = (t262 + 4); + t280 = *((unsigned int *)t279); + t281 = (~(t280)); + t282 = *((unsigned int *)t262); + t283 = (t282 & t281); + t284 = (t283 & 1U); + if (t284 != 0) + goto LAB82; + +LAB83: if (*((unsigned int *)t279) != 0) + goto LAB84; + +LAB85: t287 = *((unsigned int *)t245); + t288 = *((unsigned int *)t278); + t289 = (t287 | t288); + *((unsigned int *)t286) = t289; + t290 = (t245 + 4); + t291 = (t278 + 4); + t292 = (t286 + 4); + t293 = *((unsigned int *)t290); + t294 = *((unsigned int *)t291); + t295 = (t293 | t294); + *((unsigned int *)t292) = t295; + t296 = *((unsigned int *)t292); + t297 = (t296 != 0); + if (t297 == 1) + goto LAB86; + +LAB87: +LAB88: goto LAB77; + +LAB80: t277 = (t262 + 4); + *((unsigned int *)t262) = 1; + *((unsigned int *)t277) = 1; + goto LAB81; + +LAB82: *((unsigned int *)t278) = 1; + goto LAB85; + +LAB84: t285 = (t278 + 4); + *((unsigned int *)t278) = 1; + *((unsigned int *)t285) = 1; + goto LAB85; + +LAB86: t298 = *((unsigned int *)t286); + t299 = *((unsigned int *)t292); + *((unsigned int *)t286) = (t298 | t299); + t300 = (t245 + 4); + t301 = (t278 + 4); + t302 = *((unsigned int *)t300); + t303 = (~(t302)); + t304 = *((unsigned int *)t245); + t305 = (t304 & t303); + t306 = *((unsigned int *)t301); + t307 = (~(t306)); + t308 = *((unsigned int *)t278); + t309 = (t308 & t307); + t310 = (~(t305)); + t311 = (~(t309)); + t312 = *((unsigned int *)t292); + *((unsigned int *)t292) = (t312 & t310); + t313 = *((unsigned int *)t292); + *((unsigned int *)t292) = (t313 & t311); + goto LAB88; + +LAB89: *((unsigned int *)t314) = 1; + goto LAB92; + +LAB91: t321 = (t314 + 4); + *((unsigned int *)t314) = 1; + *((unsigned int *)t321) = 1; + goto LAB92; + +LAB93: t327 = (t0 + 7368); + t328 = (t327 + 56U); + t329 = *((char **)t328); + t330 = ((char*)((ng10))); + memset(t331, 0, 8); + t332 = (t329 + 4); + t333 = (t330 + 4); + t334 = *((unsigned int *)t329); + t335 = *((unsigned int *)t330); + t336 = (t334 ^ t335); + t337 = *((unsigned int *)t332); + t338 = *((unsigned int *)t333); + t339 = (t337 ^ t338); + t340 = (t336 | t339); + t341 = *((unsigned int *)t332); + t342 = *((unsigned int *)t333); + t343 = (t341 | t342); + t344 = (~(t343)); + t345 = (t340 & t344); + if (t345 != 0) + goto LAB99; + +LAB96: if (t343 != 0) + goto LAB98; + +LAB97: *((unsigned int *)t331) = 1; + +LAB99: memset(t347, 0, 8); + t348 = (t331 + 4); + t349 = *((unsigned int *)t348); + t350 = (~(t349)); + t351 = *((unsigned int *)t331); + t352 = (t351 & t350); + t353 = (t352 & 1U); + if (t353 != 0) + goto LAB100; + +LAB101: if (*((unsigned int *)t348) != 0) + goto LAB102; + +LAB103: t356 = *((unsigned int *)t314); + t357 = *((unsigned int *)t347); + t358 = (t356 | t357); + *((unsigned int *)t355) = t358; + t359 = (t314 + 4); + t360 = (t347 + 4); + t361 = (t355 + 4); + t362 = *((unsigned int *)t359); + t363 = *((unsigned int *)t360); + t364 = (t362 | t363); + *((unsigned int *)t361) = t364; + t365 = *((unsigned int *)t361); + t366 = (t365 != 0); + if (t366 == 1) + goto LAB104; + +LAB105: +LAB106: goto LAB95; + +LAB98: t346 = (t331 + 4); + *((unsigned int *)t331) = 1; + *((unsigned int *)t346) = 1; + goto LAB99; + +LAB100: *((unsigned int *)t347) = 1; + goto LAB103; + +LAB102: t354 = (t347 + 4); + *((unsigned int *)t347) = 1; + *((unsigned int *)t354) = 1; + goto LAB103; + +LAB104: t367 = *((unsigned int *)t355); + t368 = *((unsigned int *)t361); + *((unsigned int *)t355) = (t367 | t368); + t369 = (t314 + 4); + t370 = (t347 + 4); + t371 = *((unsigned int *)t369); + t372 = (~(t371)); + t373 = *((unsigned int *)t314); + t374 = (t373 & t372); + t375 = *((unsigned int *)t370); + t376 = (~(t375)); + t377 = *((unsigned int *)t347); + t378 = (t377 & t376); + t379 = (~(t374)); + t380 = (~(t378)); + t381 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t381 & t379); + t382 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t382 & t380); + goto LAB106; + +LAB107: *((unsigned int *)t383) = 1; + goto LAB110; + +LAB109: t390 = (t383 + 4); + *((unsigned int *)t383) = 1; + *((unsigned int *)t390) = 1; + goto LAB110; + +LAB111: t403 = *((unsigned int *)t391); + t404 = *((unsigned int *)t397); + *((unsigned int *)t391) = (t403 | t404); + t405 = (t7 + 4); + t406 = (t383 + 4); + t407 = *((unsigned int *)t7); + t408 = (~(t407)); + t409 = *((unsigned int *)t405); + t410 = (~(t409)); + t411 = *((unsigned int *)t383); + t412 = (~(t411)); + t413 = *((unsigned int *)t406); + t414 = (~(t413)); + t415 = (t408 & t410); + t416 = (t412 & t414); + t417 = (~(t415)); + t418 = (~(t416)); + t419 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t419 & t417); + t420 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t420 & t418); + t421 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t421 & t417); + t422 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t422 & t418); + goto LAB113; + +LAB114: *((unsigned int *)t4) = 1; + goto LAB117; + +LAB119: t434 = *((unsigned int *)t4); + t435 = *((unsigned int *)t431); + *((unsigned int *)t4) = (t434 | t435); + t436 = *((unsigned int *)t430); + t437 = *((unsigned int *)t431); + *((unsigned int *)t430) = (t436 | t437); + goto LAB118; + +} + +static void Always_118_16(char *t0) +{ + char t4[8]; + char t9[8]; + char t25[8]; + char t42[8]; + char t58[8]; + char t66[8]; + char t94[8]; + char t111[8]; + char t127[8]; + char t135[8]; + char t163[8]; + char t180[8]; + char t196[8]; + char t204[8]; + char t232[8]; + char t249[8]; + char t265[8]; + char t273[8]; + char t319[8]; + char t320[8]; + char t331[8]; + char t347[8]; + char t355[8]; + char t383[8]; + char t391[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + char *t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + char *t38; + char *t39; + char *t40; + char *t41; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + unsigned int t67; + unsigned int t68; + unsigned int t69; + char *t70; + char *t71; + char *t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t107; + char *t108; + char *t109; + char *t110; + char *t112; + char *t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + char *t126; + char *t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + char *t134; + unsigned int t136; + unsigned int t137; + unsigned int t138; + char *t139; + char *t140; + char *t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + char *t149; + char *t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + char *t164; + unsigned int t165; + unsigned int t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + char *t170; + char *t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t176; + char *t177; + char *t178; + char *t179; + char *t181; + char *t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + char *t195; + char *t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + char *t203; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t209; + char *t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + char *t218; + char *t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + char *t233; + unsigned int t234; + unsigned int t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + char *t239; + char *t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + unsigned int t244; + char *t245; + char *t246; + char *t247; + char *t248; + char *t250; + char *t251; + unsigned int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + char *t264; + char *t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + char *t272; + unsigned int t274; + unsigned int t275; + unsigned int t276; + char *t277; + char *t278; + char *t279; + unsigned int t280; + unsigned int t281; + unsigned int t282; + unsigned int t283; + unsigned int t284; + unsigned int t285; + unsigned int t286; + char *t287; + char *t288; + unsigned int t289; + unsigned int t290; + unsigned int t291; + int t292; + unsigned int t293; + unsigned int t294; + unsigned int t295; + int t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + unsigned int t300; + char *t301; + unsigned int t302; + unsigned int t303; + unsigned int t304; + unsigned int t305; + unsigned int t306; + char *t307; + char *t308; + char *t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + unsigned int t314; + unsigned int t315; + unsigned int t316; + unsigned int t317; + char *t318; + unsigned int t321; + unsigned int t322; + unsigned int t323; + unsigned int t324; + unsigned int t325; + unsigned int t326; + unsigned int t327; + unsigned int t328; + char *t329; + char *t330; + char *t332; + char *t333; + unsigned int t334; + unsigned int t335; + unsigned int t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + unsigned int t340; + unsigned int t341; + unsigned int t342; + unsigned int t343; + unsigned int t344; + unsigned int t345; + char *t346; + char *t348; + unsigned int t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + char *t354; + unsigned int t356; + unsigned int t357; + unsigned int t358; + char *t359; + char *t360; + char *t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + char *t369; + char *t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + int t374; + unsigned int t375; + unsigned int t376; + unsigned int t377; + int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + char *t384; + unsigned int t385; + unsigned int t386; + unsigned int t387; + unsigned int t388; + unsigned int t389; + char *t390; + unsigned int t392; + unsigned int t393; + unsigned int t394; + char *t395; + char *t396; + char *t397; + unsigned int t398; + unsigned int t399; + unsigned int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + unsigned int t404; + char *t405; + char *t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + int t415; + int t416; + unsigned int t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + char *t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + char *t429; + char *t430; + char *t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + unsigned int t436; + unsigned int t437; + unsigned int t438; + unsigned int t439; + char *t440; + +LAB0: t1 = (t0 + 12256U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(118, ng0); + t2 = (t0 + 12816); + *((int *)t2) = 1; + t3 = (t0 + 12288); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(118, ng0); + +LAB5: xsi_set_current_line(119, ng0); + t5 = (t0 + 7368); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = ((char*)((ng1))); + memset(t9, 0, 8); + t10 = (t7 + 4); + t11 = (t8 + 4); + t12 = *((unsigned int *)t7); + t13 = *((unsigned int *)t8); + t14 = (t12 ^ t13); + t15 = *((unsigned int *)t10); + t16 = *((unsigned int *)t11); + t17 = (t15 ^ t16); + t18 = (t14 | t17); + t19 = *((unsigned int *)t10); + t20 = *((unsigned int *)t11); + t21 = (t19 | t20); + t22 = (~(t21)); + t23 = (t18 & t22); + if (t23 != 0) + goto LAB9; + +LAB6: if (t21 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t9) = 1; + +LAB9: memset(t25, 0, 8); + t26 = (t9 + 4); + t27 = *((unsigned int *)t26); + t28 = (~(t27)); + t29 = *((unsigned int *)t9); + t30 = (t29 & t28); + t31 = (t30 & 1U); + if (t31 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t26) != 0) + goto LAB12; + +LAB13: t33 = (t25 + 4); + t34 = *((unsigned int *)t25); + t35 = (!(t34)); + t36 = *((unsigned int *)t33); + t37 = (t35 || t36); + if (t37 > 0) + goto LAB14; + +LAB15: memcpy(t66, t25, 8); + +LAB16: memset(t94, 0, 8); + t95 = (t66 + 4); + t96 = *((unsigned int *)t95); + t97 = (~(t96)); + t98 = *((unsigned int *)t66); + t99 = (t98 & t97); + t100 = (t99 & 1U); + if (t100 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t95) != 0) + goto LAB30; + +LAB31: t102 = (t94 + 4); + t103 = *((unsigned int *)t94); + t104 = (!(t103)); + t105 = *((unsigned int *)t102); + t106 = (t104 || t105); + if (t106 > 0) + goto LAB32; + +LAB33: memcpy(t135, t94, 8); + +LAB34: memset(t163, 0, 8); + t164 = (t135 + 4); + t165 = *((unsigned int *)t164); + t166 = (~(t165)); + t167 = *((unsigned int *)t135); + t168 = (t167 & t166); + t169 = (t168 & 1U); + if (t169 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t164) != 0) + goto LAB48; + +LAB49: t171 = (t163 + 4); + t172 = *((unsigned int *)t163); + t173 = (!(t172)); + t174 = *((unsigned int *)t171); + t175 = (t173 || t174); + if (t175 > 0) + goto LAB50; + +LAB51: memcpy(t204, t163, 8); + +LAB52: memset(t232, 0, 8); + t233 = (t204 + 4); + t234 = *((unsigned int *)t233); + t235 = (~(t234)); + t236 = *((unsigned int *)t204); + t237 = (t236 & t235); + t238 = (t237 & 1U); + if (t238 != 0) + goto LAB64; + +LAB65: if (*((unsigned int *)t233) != 0) + goto LAB66; + +LAB67: t240 = (t232 + 4); + t241 = *((unsigned int *)t232); + t242 = (!(t241)); + t243 = *((unsigned int *)t240); + t244 = (t242 || t243); + if (t244 > 0) + goto LAB68; + +LAB69: memcpy(t273, t232, 8); + +LAB70: memset(t4, 0, 8); + t301 = (t273 + 4); + t302 = *((unsigned int *)t301); + t303 = (~(t302)); + t304 = *((unsigned int *)t273); + t305 = (t304 & t303); + t306 = (t305 & 1U); + if (t306 != 0) + goto LAB85; + +LAB83: if (*((unsigned int *)t301) == 0) + goto LAB82; + +LAB84: t307 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t307) = 1; + +LAB85: t308 = (t4 + 4); + t309 = (t273 + 4); + t310 = *((unsigned int *)t273); + t311 = (~(t310)); + *((unsigned int *)t4) = t311; + *((unsigned int *)t308) = 0; + if (*((unsigned int *)t309) != 0) + goto LAB87; + +LAB86: t316 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t316 & 1U); + t317 = *((unsigned int *)t308); + *((unsigned int *)t308) = (t317 & 1U); + t318 = (t0 + 3848); + xsi_vlogvar_wait_assign_value(t318, t4, 0, 0, 1, 0LL); + xsi_set_current_line(120, ng0); + t2 = (t0 + 2488U); + t3 = *((char **)t2); + memset(t9, 0, 8); + t2 = (t3 + 4); + t12 = *((unsigned int *)t2); + t13 = (~(t12)); + t14 = *((unsigned int *)t3); + t15 = (t14 & t13); + t16 = (t15 & 1U); + if (t16 != 0) + goto LAB88; + +LAB89: if (*((unsigned int *)t2) != 0) + goto LAB90; + +LAB91: t6 = (t9 + 4); + t17 = *((unsigned int *)t9); + t18 = *((unsigned int *)t6); + t19 = (t17 || t18); + if (t19 > 0) + goto LAB92; + +LAB93: memcpy(t391, t9, 8); + +LAB94: memset(t4, 0, 8); + t423 = (t391 + 4); + t424 = *((unsigned int *)t423); + t425 = (~(t424)); + t426 = *((unsigned int *)t391); + t427 = (t426 & t425); + t428 = (t427 & 1U); + if (t428 != 0) + goto LAB201; + +LAB199: if (*((unsigned int *)t423) == 0) + goto LAB198; + +LAB200: t429 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t429) = 1; + +LAB201: t430 = (t4 + 4); + t431 = (t391 + 4); + t432 = *((unsigned int *)t391); + t433 = (~(t432)); + *((unsigned int *)t4) = t433; + *((unsigned int *)t430) = 0; + if (*((unsigned int *)t431) != 0) + goto LAB203; + +LAB202: t438 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t438 & 1U); + t439 = *((unsigned int *)t430); + *((unsigned int *)t430) = (t439 & 1U); + t440 = (t0 + 4008); + xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); + xsi_set_current_line(121, ng0); + t2 = (t0 + 2648U); + t3 = *((char **)t2); + memset(t9, 0, 8); + t2 = (t3 + 4); + t12 = *((unsigned int *)t2); + t13 = (~(t12)); + t14 = *((unsigned int *)t3); + t15 = (t14 & t13); + t16 = (t15 & 1U); + if (t16 != 0) + goto LAB204; + +LAB205: if (*((unsigned int *)t2) != 0) + goto LAB206; + +LAB207: t6 = (t9 + 4); + t17 = *((unsigned int *)t9); + t18 = *((unsigned int *)t6); + t19 = (t17 || t18); + if (t19 > 0) + goto LAB208; + +LAB209: memcpy(t391, t9, 8); + +LAB210: memset(t4, 0, 8); + t423 = (t391 + 4); + t424 = *((unsigned int *)t423); + t425 = (~(t424)); + t426 = *((unsigned int *)t391); + t427 = (t426 & t425); + t428 = (t427 & 1U); + if (t428 != 0) + goto LAB317; + +LAB315: if (*((unsigned int *)t423) == 0) + goto LAB314; + +LAB316: t429 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t429) = 1; + +LAB317: t430 = (t4 + 4); + t431 = (t391 + 4); + t432 = *((unsigned int *)t391); + t433 = (~(t432)); + *((unsigned int *)t4) = t433; + *((unsigned int *)t430) = 0; + if (*((unsigned int *)t431) != 0) + goto LAB319; + +LAB318: t438 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t438 & 1U); + t439 = *((unsigned int *)t430); + *((unsigned int *)t430) = (t439 & 1U); + t440 = (t0 + 4168); + xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB8: t24 = (t9 + 4); + *((unsigned int *)t9) = 1; + *((unsigned int *)t24) = 1; + goto LAB9; + +LAB10: *((unsigned int *)t25) = 1; + goto LAB13; + +LAB12: t32 = (t25 + 4); + *((unsigned int *)t25) = 1; + *((unsigned int *)t32) = 1; + goto LAB13; + +LAB14: t38 = (t0 + 7368); + t39 = (t38 + 56U); + t40 = *((char **)t39); + t41 = ((char*)((ng6))); + memset(t42, 0, 8); + t43 = (t40 + 4); + t44 = (t41 + 4); + t45 = *((unsigned int *)t40); + t46 = *((unsigned int *)t41); + t47 = (t45 ^ t46); + t48 = *((unsigned int *)t43); + t49 = *((unsigned int *)t44); + t50 = (t48 ^ t49); + t51 = (t47 | t50); + t52 = *((unsigned int *)t43); + t53 = *((unsigned int *)t44); + t54 = (t52 | t53); + t55 = (~(t54)); + t56 = (t51 & t55); + if (t56 != 0) + goto LAB20; + +LAB17: if (t54 != 0) + goto LAB19; + +LAB18: *((unsigned int *)t42) = 1; + +LAB20: memset(t58, 0, 8); + t59 = (t42 + 4); + t60 = *((unsigned int *)t59); + t61 = (~(t60)); + t62 = *((unsigned int *)t42); + t63 = (t62 & t61); + t64 = (t63 & 1U); + if (t64 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t59) != 0) + goto LAB23; + +LAB24: t67 = *((unsigned int *)t25); + t68 = *((unsigned int *)t58); + t69 = (t67 | t68); + *((unsigned int *)t66) = t69; + t70 = (t25 + 4); + t71 = (t58 + 4); + t72 = (t66 + 4); + t73 = *((unsigned int *)t70); + t74 = *((unsigned int *)t71); + t75 = (t73 | t74); + *((unsigned int *)t72) = t75; + t76 = *((unsigned int *)t72); + t77 = (t76 != 0); + if (t77 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB16; + +LAB19: t57 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t57) = 1; + goto LAB20; + +LAB21: *((unsigned int *)t58) = 1; + goto LAB24; + +LAB23: t65 = (t58 + 4); + *((unsigned int *)t58) = 1; + *((unsigned int *)t65) = 1; + goto LAB24; + +LAB25: t78 = *((unsigned int *)t66); + t79 = *((unsigned int *)t72); + *((unsigned int *)t66) = (t78 | t79); + t80 = (t25 + 4); + t81 = (t58 + 4); + t82 = *((unsigned int *)t80); + t83 = (~(t82)); + t84 = *((unsigned int *)t25); + t85 = (t84 & t83); + t86 = *((unsigned int *)t81); + t87 = (~(t86)); + t88 = *((unsigned int *)t58); + t89 = (t88 & t87); + t90 = (~(t85)); + t91 = (~(t89)); + t92 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t92 & t90); + t93 = *((unsigned int *)t72); + *((unsigned int *)t72) = (t93 & t91); + goto LAB27; + +LAB28: *((unsigned int *)t94) = 1; + goto LAB31; + +LAB30: t101 = (t94 + 4); + *((unsigned int *)t94) = 1; + *((unsigned int *)t101) = 1; + goto LAB31; + +LAB32: t107 = (t0 + 7368); + t108 = (t107 + 56U); + t109 = *((char **)t108); + t110 = ((char*)((ng7))); + memset(t111, 0, 8); + t112 = (t109 + 4); + t113 = (t110 + 4); + t114 = *((unsigned int *)t109); + t115 = *((unsigned int *)t110); + t116 = (t114 ^ t115); + t117 = *((unsigned int *)t112); + t118 = *((unsigned int *)t113); + t119 = (t117 ^ t118); + t120 = (t116 | t119); + t121 = *((unsigned int *)t112); + t122 = *((unsigned int *)t113); + t123 = (t121 | t122); + t124 = (~(t123)); + t125 = (t120 & t124); + if (t125 != 0) + goto LAB38; + +LAB35: if (t123 != 0) + goto LAB37; + +LAB36: *((unsigned int *)t111) = 1; + +LAB38: memset(t127, 0, 8); + t128 = (t111 + 4); + t129 = *((unsigned int *)t128); + t130 = (~(t129)); + t131 = *((unsigned int *)t111); + t132 = (t131 & t130); + t133 = (t132 & 1U); + if (t133 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t128) != 0) + goto LAB41; + +LAB42: t136 = *((unsigned int *)t94); + t137 = *((unsigned int *)t127); + t138 = (t136 | t137); + *((unsigned int *)t135) = t138; + t139 = (t94 + 4); + t140 = (t127 + 4); + t141 = (t135 + 4); + t142 = *((unsigned int *)t139); + t143 = *((unsigned int *)t140); + t144 = (t142 | t143); + *((unsigned int *)t141) = t144; + t145 = *((unsigned int *)t141); + t146 = (t145 != 0); + if (t146 == 1) + goto LAB43; + +LAB44: +LAB45: goto LAB34; + +LAB37: t126 = (t111 + 4); + *((unsigned int *)t111) = 1; + *((unsigned int *)t126) = 1; + goto LAB38; + +LAB39: *((unsigned int *)t127) = 1; + goto LAB42; + +LAB41: t134 = (t127 + 4); + *((unsigned int *)t127) = 1; + *((unsigned int *)t134) = 1; + goto LAB42; + +LAB43: t147 = *((unsigned int *)t135); + t148 = *((unsigned int *)t141); + *((unsigned int *)t135) = (t147 | t148); + t149 = (t94 + 4); + t150 = (t127 + 4); + t151 = *((unsigned int *)t149); + t152 = (~(t151)); + t153 = *((unsigned int *)t94); + t154 = (t153 & t152); + t155 = *((unsigned int *)t150); + t156 = (~(t155)); + t157 = *((unsigned int *)t127); + t158 = (t157 & t156); + t159 = (~(t154)); + t160 = (~(t158)); + t161 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t161 & t159); + t162 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t162 & t160); + goto LAB45; + +LAB46: *((unsigned int *)t163) = 1; + goto LAB49; + +LAB48: t170 = (t163 + 4); + *((unsigned int *)t163) = 1; + *((unsigned int *)t170) = 1; + goto LAB49; + +LAB50: t176 = (t0 + 7368); + t177 = (t176 + 56U); + t178 = *((char **)t177); + t179 = ((char*)((ng8))); + memset(t180, 0, 8); + t181 = (t178 + 4); + t182 = (t179 + 4); + t183 = *((unsigned int *)t178); + t184 = *((unsigned int *)t179); + t185 = (t183 ^ t184); + t186 = *((unsigned int *)t181); + t187 = *((unsigned int *)t182); + t188 = (t186 ^ t187); + t189 = (t185 | t188); + t190 = *((unsigned int *)t181); + t191 = *((unsigned int *)t182); + t192 = (t190 | t191); + t193 = (~(t192)); + t194 = (t189 & t193); + if (t194 != 0) + goto LAB56; + +LAB53: if (t192 != 0) + goto LAB55; + +LAB54: *((unsigned int *)t180) = 1; + +LAB56: memset(t196, 0, 8); + t197 = (t180 + 4); + t198 = *((unsigned int *)t197); + t199 = (~(t198)); + t200 = *((unsigned int *)t180); + t201 = (t200 & t199); + t202 = (t201 & 1U); + if (t202 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t197) != 0) + goto LAB59; + +LAB60: t205 = *((unsigned int *)t163); + t206 = *((unsigned int *)t196); + t207 = (t205 | t206); + *((unsigned int *)t204) = t207; + t208 = (t163 + 4); + t209 = (t196 + 4); + t210 = (t204 + 4); + t211 = *((unsigned int *)t208); + t212 = *((unsigned int *)t209); + t213 = (t211 | t212); + *((unsigned int *)t210) = t213; + t214 = *((unsigned int *)t210); + t215 = (t214 != 0); + if (t215 == 1) + goto LAB61; + +LAB62: +LAB63: goto LAB52; + +LAB55: t195 = (t180 + 4); + *((unsigned int *)t180) = 1; + *((unsigned int *)t195) = 1; + goto LAB56; + +LAB57: *((unsigned int *)t196) = 1; + goto LAB60; + +LAB59: t203 = (t196 + 4); + *((unsigned int *)t196) = 1; + *((unsigned int *)t203) = 1; + goto LAB60; + +LAB61: t216 = *((unsigned int *)t204); + t217 = *((unsigned int *)t210); + *((unsigned int *)t204) = (t216 | t217); + t218 = (t163 + 4); + t219 = (t196 + 4); + t220 = *((unsigned int *)t218); + t221 = (~(t220)); + t222 = *((unsigned int *)t163); + t223 = (t222 & t221); + t224 = *((unsigned int *)t219); + t225 = (~(t224)); + t226 = *((unsigned int *)t196); + t227 = (t226 & t225); + t228 = (~(t223)); + t229 = (~(t227)); + t230 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t230 & t228); + t231 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t231 & t229); + goto LAB63; + +LAB64: *((unsigned int *)t232) = 1; + goto LAB67; + +LAB66: t239 = (t232 + 4); + *((unsigned int *)t232) = 1; + *((unsigned int *)t239) = 1; + goto LAB67; + +LAB68: t245 = (t0 + 7368); + t246 = (t245 + 56U); + t247 = *((char **)t246); + t248 = ((char*)((ng9))); + memset(t249, 0, 8); + t250 = (t247 + 4); + t251 = (t248 + 4); + t252 = *((unsigned int *)t247); + t253 = *((unsigned int *)t248); + t254 = (t252 ^ t253); + t255 = *((unsigned int *)t250); + t256 = *((unsigned int *)t251); + t257 = (t255 ^ t256); + t258 = (t254 | t257); + t259 = *((unsigned int *)t250); + t260 = *((unsigned int *)t251); + t261 = (t259 | t260); + t262 = (~(t261)); + t263 = (t258 & t262); + if (t263 != 0) + goto LAB74; + +LAB71: if (t261 != 0) + goto LAB73; + +LAB72: *((unsigned int *)t249) = 1; + +LAB74: memset(t265, 0, 8); + t266 = (t249 + 4); + t267 = *((unsigned int *)t266); + t268 = (~(t267)); + t269 = *((unsigned int *)t249); + t270 = (t269 & t268); + t271 = (t270 & 1U); + if (t271 != 0) + goto LAB75; + +LAB76: if (*((unsigned int *)t266) != 0) + goto LAB77; + +LAB78: t274 = *((unsigned int *)t232); + t275 = *((unsigned int *)t265); + t276 = (t274 | t275); + *((unsigned int *)t273) = t276; + t277 = (t232 + 4); + t278 = (t265 + 4); + t279 = (t273 + 4); + t280 = *((unsigned int *)t277); + t281 = *((unsigned int *)t278); + t282 = (t280 | t281); + *((unsigned int *)t279) = t282; + t283 = *((unsigned int *)t279); + t284 = (t283 != 0); + if (t284 == 1) + goto LAB79; + +LAB80: +LAB81: goto LAB70; + +LAB73: t264 = (t249 + 4); + *((unsigned int *)t249) = 1; + *((unsigned int *)t264) = 1; + goto LAB74; + +LAB75: *((unsigned int *)t265) = 1; + goto LAB78; + +LAB77: t272 = (t265 + 4); + *((unsigned int *)t265) = 1; + *((unsigned int *)t272) = 1; + goto LAB78; + +LAB79: t285 = *((unsigned int *)t273); + t286 = *((unsigned int *)t279); + *((unsigned int *)t273) = (t285 | t286); + t287 = (t232 + 4); + t288 = (t265 + 4); + t289 = *((unsigned int *)t287); + t290 = (~(t289)); + t291 = *((unsigned int *)t232); + t292 = (t291 & t290); + t293 = *((unsigned int *)t288); + t294 = (~(t293)); + t295 = *((unsigned int *)t265); + t296 = (t295 & t294); + t297 = (~(t292)); + t298 = (~(t296)); + t299 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t299 & t297); + t300 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t300 & t298); + goto LAB81; + +LAB82: *((unsigned int *)t4) = 1; + goto LAB85; + +LAB87: t312 = *((unsigned int *)t4); + t313 = *((unsigned int *)t309); + *((unsigned int *)t4) = (t312 | t313); + t314 = *((unsigned int *)t308); + t315 = *((unsigned int *)t309); + *((unsigned int *)t308) = (t314 | t315); + goto LAB86; + +LAB88: *((unsigned int *)t9) = 1; + goto LAB91; + +LAB90: t5 = (t9 + 4); + *((unsigned int *)t9) = 1; + *((unsigned int *)t5) = 1; + goto LAB91; + +LAB92: t7 = (t0 + 7368); + t8 = (t7 + 56U); + t10 = *((char **)t8); + t11 = ((char*)((ng1))); + memset(t25, 0, 8); + t24 = (t10 + 4); + t26 = (t11 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t11); + t22 = (t20 ^ t21); + t23 = *((unsigned int *)t24); + t27 = *((unsigned int *)t26); + t28 = (t23 ^ t27); + t29 = (t22 | t28); + t30 = *((unsigned int *)t24); + t31 = *((unsigned int *)t26); + t34 = (t30 | t31); + t35 = (~(t34)); + t36 = (t29 & t35); + if (t36 != 0) + goto LAB98; + +LAB95: if (t34 != 0) + goto LAB97; + +LAB96: *((unsigned int *)t25) = 1; + +LAB98: memset(t42, 0, 8); + t33 = (t25 + 4); + t37 = *((unsigned int *)t33); + t45 = (~(t37)); + t46 = *((unsigned int *)t25); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB99; + +LAB100: if (*((unsigned int *)t33) != 0) + goto LAB101; + +LAB102: t39 = (t42 + 4); + t49 = *((unsigned int *)t42); + t50 = (!(t49)); + t51 = *((unsigned int *)t39); + t52 = (t50 || t51); + if (t52 > 0) + goto LAB103; + +LAB104: memcpy(t94, t42, 8); + +LAB105: memset(t111, 0, 8); + t102 = (t94 + 4); + t106 = *((unsigned int *)t102); + t114 = (~(t106)); + t115 = *((unsigned int *)t94); + t116 = (t115 & t114); + t117 = (t116 & 1U); + if (t117 != 0) + goto LAB117; + +LAB118: if (*((unsigned int *)t102) != 0) + goto LAB119; + +LAB120: t108 = (t111 + 4); + t118 = *((unsigned int *)t111); + t119 = *((unsigned int *)t108); + t120 = (t118 || t119); + if (t120 > 0) + goto LAB121; + +LAB122: memcpy(t163, t111, 8); + +LAB123: memset(t180, 0, 8); + t164 = (t163 + 4); + t186 = *((unsigned int *)t164); + t187 = (~(t186)); + t188 = *((unsigned int *)t163); + t189 = (t188 & t187); + t190 = (t189 & 1U); + if (t190 != 0) + goto LAB137; + +LAB138: if (*((unsigned int *)t164) != 0) + goto LAB139; + +LAB140: t171 = (t180 + 4); + t191 = *((unsigned int *)t180); + t192 = (!(t191)); + t193 = *((unsigned int *)t171); + t194 = (t192 || t193); + if (t194 > 0) + goto LAB141; + +LAB142: memcpy(t232, t180, 8); + +LAB143: memset(t249, 0, 8); + t233 = (t232 + 4); + t255 = *((unsigned int *)t233); + t256 = (~(t255)); + t257 = *((unsigned int *)t232); + t258 = (t257 & t256); + t259 = (t258 & 1U); + if (t259 != 0) + goto LAB155; + +LAB156: if (*((unsigned int *)t233) != 0) + goto LAB157; + +LAB158: t240 = (t249 + 4); + t260 = *((unsigned int *)t249); + t261 = (!(t260)); + t262 = *((unsigned int *)t240); + t263 = (t261 || t262); + if (t263 > 0) + goto LAB159; + +LAB160: memcpy(t319, t249, 8); + +LAB161: memset(t320, 0, 8); + t301 = (t319 + 4); + t317 = *((unsigned int *)t301); + t321 = (~(t317)); + t322 = *((unsigned int *)t319); + t323 = (t322 & t321); + t324 = (t323 & 1U); + if (t324 != 0) + goto LAB173; + +LAB174: if (*((unsigned int *)t301) != 0) + goto LAB175; + +LAB176: t308 = (t320 + 4); + t325 = *((unsigned int *)t320); + t326 = (!(t325)); + t327 = *((unsigned int *)t308); + t328 = (t326 || t327); + if (t328 > 0) + goto LAB177; + +LAB178: memcpy(t355, t320, 8); + +LAB179: memset(t383, 0, 8); + t384 = (t355 + 4); + t385 = *((unsigned int *)t384); + t386 = (~(t385)); + t387 = *((unsigned int *)t355); + t388 = (t387 & t386); + t389 = (t388 & 1U); + if (t389 != 0) + goto LAB191; + +LAB192: if (*((unsigned int *)t384) != 0) + goto LAB193; + +LAB194: t392 = *((unsigned int *)t9); + t393 = *((unsigned int *)t383); + t394 = (t392 & t393); + *((unsigned int *)t391) = t394; + t395 = (t9 + 4); + t396 = (t383 + 4); + t397 = (t391 + 4); + t398 = *((unsigned int *)t395); + t399 = *((unsigned int *)t396); + t400 = (t398 | t399); + *((unsigned int *)t397) = t400; + t401 = *((unsigned int *)t397); + t402 = (t401 != 0); + if (t402 == 1) + goto LAB195; + +LAB196: +LAB197: goto LAB94; + +LAB97: t32 = (t25 + 4); + *((unsigned int *)t25) = 1; + *((unsigned int *)t32) = 1; + goto LAB98; + +LAB99: *((unsigned int *)t42) = 1; + goto LAB102; + +LAB101: t38 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t38) = 1; + goto LAB102; + +LAB103: t40 = (t0 + 7368); + t41 = (t40 + 56U); + t43 = *((char **)t41); + t44 = ((char*)((ng6))); + memset(t58, 0, 8); + t57 = (t43 + 4); + t59 = (t44 + 4); + t53 = *((unsigned int *)t43); + t54 = *((unsigned int *)t44); + t55 = (t53 ^ t54); + t56 = *((unsigned int *)t57); + t60 = *((unsigned int *)t59); + t61 = (t56 ^ t60); + t62 = (t55 | t61); + t63 = *((unsigned int *)t57); + t64 = *((unsigned int *)t59); + t67 = (t63 | t64); + t68 = (~(t67)); + t69 = (t62 & t68); + if (t69 != 0) + goto LAB109; + +LAB106: if (t67 != 0) + goto LAB108; + +LAB107: *((unsigned int *)t58) = 1; + +LAB109: memset(t66, 0, 8); + t70 = (t58 + 4); + t73 = *((unsigned int *)t70); + t74 = (~(t73)); + t75 = *((unsigned int *)t58); + t76 = (t75 & t74); + t77 = (t76 & 1U); + if (t77 != 0) + goto LAB110; + +LAB111: if (*((unsigned int *)t70) != 0) + goto LAB112; + +LAB113: t78 = *((unsigned int *)t42); + t79 = *((unsigned int *)t66); + t82 = (t78 | t79); + *((unsigned int *)t94) = t82; + t72 = (t42 + 4); + t80 = (t66 + 4); + t81 = (t94 + 4); + t83 = *((unsigned int *)t72); + t84 = *((unsigned int *)t80); + t86 = (t83 | t84); + *((unsigned int *)t81) = t86; + t87 = *((unsigned int *)t81); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB114; + +LAB115: +LAB116: goto LAB105; + +LAB108: t65 = (t58 + 4); + *((unsigned int *)t58) = 1; + *((unsigned int *)t65) = 1; + goto LAB109; + +LAB110: *((unsigned int *)t66) = 1; + goto LAB113; + +LAB112: t71 = (t66 + 4); + *((unsigned int *)t66) = 1; + *((unsigned int *)t71) = 1; + goto LAB113; + +LAB114: t90 = *((unsigned int *)t94); + t91 = *((unsigned int *)t81); + *((unsigned int *)t94) = (t90 | t91); + t95 = (t42 + 4); + t101 = (t66 + 4); + t92 = *((unsigned int *)t95); + t93 = (~(t92)); + t96 = *((unsigned int *)t42); + t85 = (t96 & t93); + t97 = *((unsigned int *)t101); + t98 = (~(t97)); + t99 = *((unsigned int *)t66); + t89 = (t99 & t98); + t100 = (~(t85)); + t103 = (~(t89)); + t104 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t104 & t100); + t105 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t105 & t103); + goto LAB116; + +LAB117: *((unsigned int *)t111) = 1; + goto LAB120; + +LAB119: t107 = (t111 + 4); + *((unsigned int *)t111) = 1; + *((unsigned int *)t107) = 1; + goto LAB120; + +LAB121: t109 = (t0 + 2808U); + t110 = *((char **)t109); + memset(t127, 0, 8); + t109 = (t110 + 4); + t121 = *((unsigned int *)t109); + t122 = (~(t121)); + t123 = *((unsigned int *)t110); + t124 = (t123 & t122); + t125 = (t124 & 1U); + if (t125 != 0) + goto LAB127; + +LAB125: if (*((unsigned int *)t109) == 0) + goto LAB124; + +LAB126: t112 = (t127 + 4); + *((unsigned int *)t127) = 1; + *((unsigned int *)t112) = 1; + +LAB127: t113 = (t127 + 4); + t126 = (t110 + 4); + t129 = *((unsigned int *)t110); + t130 = (~(t129)); + *((unsigned int *)t127) = t130; + *((unsigned int *)t113) = 0; + if (*((unsigned int *)t126) != 0) + goto LAB129; + +LAB128: t137 = *((unsigned int *)t127); + *((unsigned int *)t127) = (t137 & 1U); + t138 = *((unsigned int *)t113); + *((unsigned int *)t113) = (t138 & 1U); + memset(t135, 0, 8); + t128 = (t127 + 4); + t142 = *((unsigned int *)t128); + t143 = (~(t142)); + t144 = *((unsigned int *)t127); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB130; + +LAB131: if (*((unsigned int *)t128) != 0) + goto LAB132; + +LAB133: t147 = *((unsigned int *)t111); + t148 = *((unsigned int *)t135); + t151 = (t147 & t148); + *((unsigned int *)t163) = t151; + t139 = (t111 + 4); + t140 = (t135 + 4); + t141 = (t163 + 4); + t152 = *((unsigned int *)t139); + t153 = *((unsigned int *)t140); + t155 = (t152 | t153); + *((unsigned int *)t141) = t155; + t156 = *((unsigned int *)t141); + t157 = (t156 != 0); + if (t157 == 1) + goto LAB134; + +LAB135: +LAB136: goto LAB123; + +LAB124: *((unsigned int *)t127) = 1; + goto LAB127; + +LAB129: t131 = *((unsigned int *)t127); + t132 = *((unsigned int *)t126); + *((unsigned int *)t127) = (t131 | t132); + t133 = *((unsigned int *)t113); + t136 = *((unsigned int *)t126); + *((unsigned int *)t113) = (t133 | t136); + goto LAB128; + +LAB130: *((unsigned int *)t135) = 1; + goto LAB133; + +LAB132: t134 = (t135 + 4); + *((unsigned int *)t135) = 1; + *((unsigned int *)t134) = 1; + goto LAB133; + +LAB134: t159 = *((unsigned int *)t163); + t160 = *((unsigned int *)t141); + *((unsigned int *)t163) = (t159 | t160); + t149 = (t111 + 4); + t150 = (t135 + 4); + t161 = *((unsigned int *)t111); + t162 = (~(t161)); + t165 = *((unsigned int *)t149); + t166 = (~(t165)); + t167 = *((unsigned int *)t135); + t168 = (~(t167)); + t169 = *((unsigned int *)t150); + t172 = (~(t169)); + t154 = (t162 & t166); + t158 = (t168 & t172); + t173 = (~(t154)); + t174 = (~(t158)); + t175 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t175 & t173); + t183 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t183 & t174); + t184 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t184 & t173); + t185 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t185 & t174); + goto LAB136; + +LAB137: *((unsigned int *)t180) = 1; + goto LAB140; + +LAB139: t170 = (t180 + 4); + *((unsigned int *)t180) = 1; + *((unsigned int *)t170) = 1; + goto LAB140; + +LAB141: t176 = (t0 + 7368); + t177 = (t176 + 56U); + t178 = *((char **)t177); + t179 = ((char*)((ng7))); + memset(t196, 0, 8); + t181 = (t178 + 4); + t182 = (t179 + 4); + t198 = *((unsigned int *)t178); + t199 = *((unsigned int *)t179); + t200 = (t198 ^ t199); + t201 = *((unsigned int *)t181); + t202 = *((unsigned int *)t182); + t205 = (t201 ^ t202); + t206 = (t200 | t205); + t207 = *((unsigned int *)t181); + t211 = *((unsigned int *)t182); + t212 = (t207 | t211); + t213 = (~(t212)); + t214 = (t206 & t213); + if (t214 != 0) + goto LAB147; + +LAB144: if (t212 != 0) + goto LAB146; + +LAB145: *((unsigned int *)t196) = 1; + +LAB147: memset(t204, 0, 8); + t197 = (t196 + 4); + t215 = *((unsigned int *)t197); + t216 = (~(t215)); + t217 = *((unsigned int *)t196); + t220 = (t217 & t216); + t221 = (t220 & 1U); + if (t221 != 0) + goto LAB148; + +LAB149: if (*((unsigned int *)t197) != 0) + goto LAB150; + +LAB151: t222 = *((unsigned int *)t180); + t224 = *((unsigned int *)t204); + t225 = (t222 | t224); + *((unsigned int *)t232) = t225; + t208 = (t180 + 4); + t209 = (t204 + 4); + t210 = (t232 + 4); + t226 = *((unsigned int *)t208); + t228 = *((unsigned int *)t209); + t229 = (t226 | t228); + *((unsigned int *)t210) = t229; + t230 = *((unsigned int *)t210); + t231 = (t230 != 0); + if (t231 == 1) + goto LAB152; + +LAB153: +LAB154: goto LAB143; + +LAB146: t195 = (t196 + 4); + *((unsigned int *)t196) = 1; + *((unsigned int *)t195) = 1; + goto LAB147; + +LAB148: *((unsigned int *)t204) = 1; + goto LAB151; + +LAB150: t203 = (t204 + 4); + *((unsigned int *)t204) = 1; + *((unsigned int *)t203) = 1; + goto LAB151; + +LAB152: t234 = *((unsigned int *)t232); + t235 = *((unsigned int *)t210); + *((unsigned int *)t232) = (t234 | t235); + t218 = (t180 + 4); + t219 = (t204 + 4); + t236 = *((unsigned int *)t218); + t237 = (~(t236)); + t238 = *((unsigned int *)t180); + t223 = (t238 & t237); + t241 = *((unsigned int *)t219); + t242 = (~(t241)); + t243 = *((unsigned int *)t204); + t227 = (t243 & t242); + t244 = (~(t223)); + t252 = (~(t227)); + t253 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t253 & t244); + t254 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t254 & t252); + goto LAB154; + +LAB155: *((unsigned int *)t249) = 1; + goto LAB158; + +LAB157: t239 = (t249 + 4); + *((unsigned int *)t249) = 1; + *((unsigned int *)t239) = 1; + goto LAB158; + +LAB159: t245 = (t0 + 7368); + t246 = (t245 + 56U); + t247 = *((char **)t246); + t248 = ((char*)((ng8))); + memset(t265, 0, 8); + t250 = (t247 + 4); + t251 = (t248 + 4); + t267 = *((unsigned int *)t247); + t268 = *((unsigned int *)t248); + t269 = (t267 ^ t268); + t270 = *((unsigned int *)t250); + t271 = *((unsigned int *)t251); + t274 = (t270 ^ t271); + t275 = (t269 | t274); + t276 = *((unsigned int *)t250); + t280 = *((unsigned int *)t251); + t281 = (t276 | t280); + t282 = (~(t281)); + t283 = (t275 & t282); + if (t283 != 0) + goto LAB165; + +LAB162: if (t281 != 0) + goto LAB164; + +LAB163: *((unsigned int *)t265) = 1; + +LAB165: memset(t273, 0, 8); + t266 = (t265 + 4); + t284 = *((unsigned int *)t266); + t285 = (~(t284)); + t286 = *((unsigned int *)t265); + t289 = (t286 & t285); + t290 = (t289 & 1U); + if (t290 != 0) + goto LAB166; + +LAB167: if (*((unsigned int *)t266) != 0) + goto LAB168; + +LAB169: t291 = *((unsigned int *)t249); + t293 = *((unsigned int *)t273); + t294 = (t291 | t293); + *((unsigned int *)t319) = t294; + t277 = (t249 + 4); + t278 = (t273 + 4); + t279 = (t319 + 4); + t295 = *((unsigned int *)t277); + t297 = *((unsigned int *)t278); + t298 = (t295 | t297); + *((unsigned int *)t279) = t298; + t299 = *((unsigned int *)t279); + t300 = (t299 != 0); + if (t300 == 1) + goto LAB170; + +LAB171: +LAB172: goto LAB161; + +LAB164: t264 = (t265 + 4); + *((unsigned int *)t265) = 1; + *((unsigned int *)t264) = 1; + goto LAB165; + +LAB166: *((unsigned int *)t273) = 1; + goto LAB169; + +LAB168: t272 = (t273 + 4); + *((unsigned int *)t273) = 1; + *((unsigned int *)t272) = 1; + goto LAB169; + +LAB170: t302 = *((unsigned int *)t319); + t303 = *((unsigned int *)t279); + *((unsigned int *)t319) = (t302 | t303); + t287 = (t249 + 4); + t288 = (t273 + 4); + t304 = *((unsigned int *)t287); + t305 = (~(t304)); + t306 = *((unsigned int *)t249); + t292 = (t306 & t305); + t310 = *((unsigned int *)t288); + t311 = (~(t310)); + t312 = *((unsigned int *)t273); + t296 = (t312 & t311); + t313 = (~(t292)); + t314 = (~(t296)); + t315 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t315 & t313); + t316 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t316 & t314); + goto LAB172; + +LAB173: *((unsigned int *)t320) = 1; + goto LAB176; + +LAB175: t307 = (t320 + 4); + *((unsigned int *)t320) = 1; + *((unsigned int *)t307) = 1; + goto LAB176; + +LAB177: t309 = (t0 + 7368); + t318 = (t309 + 56U); + t329 = *((char **)t318); + t330 = ((char*)((ng9))); + memset(t331, 0, 8); + t332 = (t329 + 4); + t333 = (t330 + 4); + t334 = *((unsigned int *)t329); + t335 = *((unsigned int *)t330); + t336 = (t334 ^ t335); + t337 = *((unsigned int *)t332); + t338 = *((unsigned int *)t333); + t339 = (t337 ^ t338); + t340 = (t336 | t339); + t341 = *((unsigned int *)t332); + t342 = *((unsigned int *)t333); + t343 = (t341 | t342); + t344 = (~(t343)); + t345 = (t340 & t344); + if (t345 != 0) + goto LAB183; + +LAB180: if (t343 != 0) + goto LAB182; + +LAB181: *((unsigned int *)t331) = 1; + +LAB183: memset(t347, 0, 8); + t348 = (t331 + 4); + t349 = *((unsigned int *)t348); + t350 = (~(t349)); + t351 = *((unsigned int *)t331); + t352 = (t351 & t350); + t353 = (t352 & 1U); + if (t353 != 0) + goto LAB184; + +LAB185: if (*((unsigned int *)t348) != 0) + goto LAB186; + +LAB187: t356 = *((unsigned int *)t320); + t357 = *((unsigned int *)t347); + t358 = (t356 | t357); + *((unsigned int *)t355) = t358; + t359 = (t320 + 4); + t360 = (t347 + 4); + t361 = (t355 + 4); + t362 = *((unsigned int *)t359); + t363 = *((unsigned int *)t360); + t364 = (t362 | t363); + *((unsigned int *)t361) = t364; + t365 = *((unsigned int *)t361); + t366 = (t365 != 0); + if (t366 == 1) + goto LAB188; + +LAB189: +LAB190: goto LAB179; + +LAB182: t346 = (t331 + 4); + *((unsigned int *)t331) = 1; + *((unsigned int *)t346) = 1; + goto LAB183; + +LAB184: *((unsigned int *)t347) = 1; + goto LAB187; + +LAB186: t354 = (t347 + 4); + *((unsigned int *)t347) = 1; + *((unsigned int *)t354) = 1; + goto LAB187; + +LAB188: t367 = *((unsigned int *)t355); + t368 = *((unsigned int *)t361); + *((unsigned int *)t355) = (t367 | t368); + t369 = (t320 + 4); + t370 = (t347 + 4); + t371 = *((unsigned int *)t369); + t372 = (~(t371)); + t373 = *((unsigned int *)t320); + t374 = (t373 & t372); + t375 = *((unsigned int *)t370); + t376 = (~(t375)); + t377 = *((unsigned int *)t347); + t378 = (t377 & t376); + t379 = (~(t374)); + t380 = (~(t378)); + t381 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t381 & t379); + t382 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t382 & t380); + goto LAB190; + +LAB191: *((unsigned int *)t383) = 1; + goto LAB194; + +LAB193: t390 = (t383 + 4); + *((unsigned int *)t383) = 1; + *((unsigned int *)t390) = 1; + goto LAB194; + +LAB195: t403 = *((unsigned int *)t391); + t404 = *((unsigned int *)t397); + *((unsigned int *)t391) = (t403 | t404); + t405 = (t9 + 4); + t406 = (t383 + 4); + t407 = *((unsigned int *)t9); + t408 = (~(t407)); + t409 = *((unsigned int *)t405); + t410 = (~(t409)); + t411 = *((unsigned int *)t383); + t412 = (~(t411)); + t413 = *((unsigned int *)t406); + t414 = (~(t413)); + t415 = (t408 & t410); + t416 = (t412 & t414); + t417 = (~(t415)); + t418 = (~(t416)); + t419 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t419 & t417); + t420 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t420 & t418); + t421 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t421 & t417); + t422 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t422 & t418); + goto LAB197; + +LAB198: *((unsigned int *)t4) = 1; + goto LAB201; + +LAB203: t434 = *((unsigned int *)t4); + t435 = *((unsigned int *)t431); + *((unsigned int *)t4) = (t434 | t435); + t436 = *((unsigned int *)t430); + t437 = *((unsigned int *)t431); + *((unsigned int *)t430) = (t436 | t437); + goto LAB202; + +LAB204: *((unsigned int *)t9) = 1; + goto LAB207; + +LAB206: t5 = (t9 + 4); + *((unsigned int *)t9) = 1; + *((unsigned int *)t5) = 1; + goto LAB207; + +LAB208: t7 = (t0 + 7368); + t8 = (t7 + 56U); + t10 = *((char **)t8); + t11 = ((char*)((ng1))); + memset(t25, 0, 8); + t24 = (t10 + 4); + t26 = (t11 + 4); + t20 = *((unsigned int *)t10); + t21 = *((unsigned int *)t11); + t22 = (t20 ^ t21); + t23 = *((unsigned int *)t24); + t27 = *((unsigned int *)t26); + t28 = (t23 ^ t27); + t29 = (t22 | t28); + t30 = *((unsigned int *)t24); + t31 = *((unsigned int *)t26); + t34 = (t30 | t31); + t35 = (~(t34)); + t36 = (t29 & t35); + if (t36 != 0) + goto LAB214; + +LAB211: if (t34 != 0) + goto LAB213; + +LAB212: *((unsigned int *)t25) = 1; + +LAB214: memset(t42, 0, 8); + t33 = (t25 + 4); + t37 = *((unsigned int *)t33); + t45 = (~(t37)); + t46 = *((unsigned int *)t25); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB215; + +LAB216: if (*((unsigned int *)t33) != 0) + goto LAB217; + +LAB218: t39 = (t42 + 4); + t49 = *((unsigned int *)t42); + t50 = (!(t49)); + t51 = *((unsigned int *)t39); + t52 = (t50 || t51); + if (t52 > 0) + goto LAB219; + +LAB220: memcpy(t94, t42, 8); + +LAB221: memset(t111, 0, 8); + t102 = (t94 + 4); + t106 = *((unsigned int *)t102); + t114 = (~(t106)); + t115 = *((unsigned int *)t94); + t116 = (t115 & t114); + t117 = (t116 & 1U); + if (t117 != 0) + goto LAB233; + +LAB234: if (*((unsigned int *)t102) != 0) + goto LAB235; + +LAB236: t108 = (t111 + 4); + t118 = *((unsigned int *)t111); + t119 = *((unsigned int *)t108); + t120 = (t118 || t119); + if (t120 > 0) + goto LAB237; + +LAB238: memcpy(t163, t111, 8); + +LAB239: memset(t180, 0, 8); + t164 = (t163 + 4); + t186 = *((unsigned int *)t164); + t187 = (~(t186)); + t188 = *((unsigned int *)t163); + t189 = (t188 & t187); + t190 = (t189 & 1U); + if (t190 != 0) + goto LAB253; + +LAB254: if (*((unsigned int *)t164) != 0) + goto LAB255; + +LAB256: t171 = (t180 + 4); + t191 = *((unsigned int *)t180); + t192 = (!(t191)); + t193 = *((unsigned int *)t171); + t194 = (t192 || t193); + if (t194 > 0) + goto LAB257; + +LAB258: memcpy(t232, t180, 8); + +LAB259: memset(t249, 0, 8); + t233 = (t232 + 4); + t255 = *((unsigned int *)t233); + t256 = (~(t255)); + t257 = *((unsigned int *)t232); + t258 = (t257 & t256); + t259 = (t258 & 1U); + if (t259 != 0) + goto LAB271; + +LAB272: if (*((unsigned int *)t233) != 0) + goto LAB273; + +LAB274: t240 = (t249 + 4); + t260 = *((unsigned int *)t249); + t261 = (!(t260)); + t262 = *((unsigned int *)t240); + t263 = (t261 || t262); + if (t263 > 0) + goto LAB275; + +LAB276: memcpy(t319, t249, 8); + +LAB277: memset(t320, 0, 8); + t301 = (t319 + 4); + t317 = *((unsigned int *)t301); + t321 = (~(t317)); + t322 = *((unsigned int *)t319); + t323 = (t322 & t321); + t324 = (t323 & 1U); + if (t324 != 0) + goto LAB289; + +LAB290: if (*((unsigned int *)t301) != 0) + goto LAB291; + +LAB292: t308 = (t320 + 4); + t325 = *((unsigned int *)t320); + t326 = (!(t325)); + t327 = *((unsigned int *)t308); + t328 = (t326 || t327); + if (t328 > 0) + goto LAB293; + +LAB294: memcpy(t355, t320, 8); + +LAB295: memset(t383, 0, 8); + t384 = (t355 + 4); + t385 = *((unsigned int *)t384); + t386 = (~(t385)); + t387 = *((unsigned int *)t355); + t388 = (t387 & t386); + t389 = (t388 & 1U); + if (t389 != 0) + goto LAB307; + +LAB308: if (*((unsigned int *)t384) != 0) + goto LAB309; + +LAB310: t392 = *((unsigned int *)t9); + t393 = *((unsigned int *)t383); + t394 = (t392 & t393); + *((unsigned int *)t391) = t394; + t395 = (t9 + 4); + t396 = (t383 + 4); + t397 = (t391 + 4); + t398 = *((unsigned int *)t395); + t399 = *((unsigned int *)t396); + t400 = (t398 | t399); + *((unsigned int *)t397) = t400; + t401 = *((unsigned int *)t397); + t402 = (t401 != 0); + if (t402 == 1) + goto LAB311; + +LAB312: +LAB313: goto LAB210; + +LAB213: t32 = (t25 + 4); + *((unsigned int *)t25) = 1; + *((unsigned int *)t32) = 1; + goto LAB214; + +LAB215: *((unsigned int *)t42) = 1; + goto LAB218; + +LAB217: t38 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t38) = 1; + goto LAB218; + +LAB219: t40 = (t0 + 7368); + t41 = (t40 + 56U); + t43 = *((char **)t41); + t44 = ((char*)((ng6))); + memset(t58, 0, 8); + t57 = (t43 + 4); + t59 = (t44 + 4); + t53 = *((unsigned int *)t43); + t54 = *((unsigned int *)t44); + t55 = (t53 ^ t54); + t56 = *((unsigned int *)t57); + t60 = *((unsigned int *)t59); + t61 = (t56 ^ t60); + t62 = (t55 | t61); + t63 = *((unsigned int *)t57); + t64 = *((unsigned int *)t59); + t67 = (t63 | t64); + t68 = (~(t67)); + t69 = (t62 & t68); + if (t69 != 0) + goto LAB225; + +LAB222: if (t67 != 0) + goto LAB224; + +LAB223: *((unsigned int *)t58) = 1; + +LAB225: memset(t66, 0, 8); + t70 = (t58 + 4); + t73 = *((unsigned int *)t70); + t74 = (~(t73)); + t75 = *((unsigned int *)t58); + t76 = (t75 & t74); + t77 = (t76 & 1U); + if (t77 != 0) + goto LAB226; + +LAB227: if (*((unsigned int *)t70) != 0) + goto LAB228; + +LAB229: t78 = *((unsigned int *)t42); + t79 = *((unsigned int *)t66); + t82 = (t78 | t79); + *((unsigned int *)t94) = t82; + t72 = (t42 + 4); + t80 = (t66 + 4); + t81 = (t94 + 4); + t83 = *((unsigned int *)t72); + t84 = *((unsigned int *)t80); + t86 = (t83 | t84); + *((unsigned int *)t81) = t86; + t87 = *((unsigned int *)t81); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB230; + +LAB231: +LAB232: goto LAB221; + +LAB224: t65 = (t58 + 4); + *((unsigned int *)t58) = 1; + *((unsigned int *)t65) = 1; + goto LAB225; + +LAB226: *((unsigned int *)t66) = 1; + goto LAB229; + +LAB228: t71 = (t66 + 4); + *((unsigned int *)t66) = 1; + *((unsigned int *)t71) = 1; + goto LAB229; + +LAB230: t90 = *((unsigned int *)t94); + t91 = *((unsigned int *)t81); + *((unsigned int *)t94) = (t90 | t91); + t95 = (t42 + 4); + t101 = (t66 + 4); + t92 = *((unsigned int *)t95); + t93 = (~(t92)); + t96 = *((unsigned int *)t42); + t85 = (t96 & t93); + t97 = *((unsigned int *)t101); + t98 = (~(t97)); + t99 = *((unsigned int *)t66); + t89 = (t99 & t98); + t100 = (~(t85)); + t103 = (~(t89)); + t104 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t104 & t100); + t105 = *((unsigned int *)t81); + *((unsigned int *)t81) = (t105 & t103); + goto LAB232; + +LAB233: *((unsigned int *)t111) = 1; + goto LAB236; + +LAB235: t107 = (t111 + 4); + *((unsigned int *)t111) = 1; + *((unsigned int *)t107) = 1; + goto LAB236; + +LAB237: t109 = (t0 + 2808U); + t110 = *((char **)t109); + memset(t127, 0, 8); + t109 = (t110 + 4); + t121 = *((unsigned int *)t109); + t122 = (~(t121)); + t123 = *((unsigned int *)t110); + t124 = (t123 & t122); + t125 = (t124 & 1U); + if (t125 != 0) + goto LAB243; + +LAB241: if (*((unsigned int *)t109) == 0) + goto LAB240; + +LAB242: t112 = (t127 + 4); + *((unsigned int *)t127) = 1; + *((unsigned int *)t112) = 1; + +LAB243: t113 = (t127 + 4); + t126 = (t110 + 4); + t129 = *((unsigned int *)t110); + t130 = (~(t129)); + *((unsigned int *)t127) = t130; + *((unsigned int *)t113) = 0; + if (*((unsigned int *)t126) != 0) + goto LAB245; + +LAB244: t137 = *((unsigned int *)t127); + *((unsigned int *)t127) = (t137 & 1U); + t138 = *((unsigned int *)t113); + *((unsigned int *)t113) = (t138 & 1U); + memset(t135, 0, 8); + t128 = (t127 + 4); + t142 = *((unsigned int *)t128); + t143 = (~(t142)); + t144 = *((unsigned int *)t127); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB246; + +LAB247: if (*((unsigned int *)t128) != 0) + goto LAB248; + +LAB249: t147 = *((unsigned int *)t111); + t148 = *((unsigned int *)t135); + t151 = (t147 & t148); + *((unsigned int *)t163) = t151; + t139 = (t111 + 4); + t140 = (t135 + 4); + t141 = (t163 + 4); + t152 = *((unsigned int *)t139); + t153 = *((unsigned int *)t140); + t155 = (t152 | t153); + *((unsigned int *)t141) = t155; + t156 = *((unsigned int *)t141); + t157 = (t156 != 0); + if (t157 == 1) + goto LAB250; + +LAB251: +LAB252: goto LAB239; + +LAB240: *((unsigned int *)t127) = 1; + goto LAB243; + +LAB245: t131 = *((unsigned int *)t127); + t132 = *((unsigned int *)t126); + *((unsigned int *)t127) = (t131 | t132); + t133 = *((unsigned int *)t113); + t136 = *((unsigned int *)t126); + *((unsigned int *)t113) = (t133 | t136); + goto LAB244; + +LAB246: *((unsigned int *)t135) = 1; + goto LAB249; + +LAB248: t134 = (t135 + 4); + *((unsigned int *)t135) = 1; + *((unsigned int *)t134) = 1; + goto LAB249; + +LAB250: t159 = *((unsigned int *)t163); + t160 = *((unsigned int *)t141); + *((unsigned int *)t163) = (t159 | t160); + t149 = (t111 + 4); + t150 = (t135 + 4); + t161 = *((unsigned int *)t111); + t162 = (~(t161)); + t165 = *((unsigned int *)t149); + t166 = (~(t165)); + t167 = *((unsigned int *)t135); + t168 = (~(t167)); + t169 = *((unsigned int *)t150); + t172 = (~(t169)); + t154 = (t162 & t166); + t158 = (t168 & t172); + t173 = (~(t154)); + t174 = (~(t158)); + t175 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t175 & t173); + t183 = *((unsigned int *)t141); + *((unsigned int *)t141) = (t183 & t174); + t184 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t184 & t173); + t185 = *((unsigned int *)t163); + *((unsigned int *)t163) = (t185 & t174); + goto LAB252; + +LAB253: *((unsigned int *)t180) = 1; + goto LAB256; + +LAB255: t170 = (t180 + 4); + *((unsigned int *)t180) = 1; + *((unsigned int *)t170) = 1; + goto LAB256; + +LAB257: t176 = (t0 + 7368); + t177 = (t176 + 56U); + t178 = *((char **)t177); + t179 = ((char*)((ng7))); + memset(t196, 0, 8); + t181 = (t178 + 4); + t182 = (t179 + 4); + t198 = *((unsigned int *)t178); + t199 = *((unsigned int *)t179); + t200 = (t198 ^ t199); + t201 = *((unsigned int *)t181); + t202 = *((unsigned int *)t182); + t205 = (t201 ^ t202); + t206 = (t200 | t205); + t207 = *((unsigned int *)t181); + t211 = *((unsigned int *)t182); + t212 = (t207 | t211); + t213 = (~(t212)); + t214 = (t206 & t213); + if (t214 != 0) + goto LAB263; + +LAB260: if (t212 != 0) + goto LAB262; + +LAB261: *((unsigned int *)t196) = 1; + +LAB263: memset(t204, 0, 8); + t197 = (t196 + 4); + t215 = *((unsigned int *)t197); + t216 = (~(t215)); + t217 = *((unsigned int *)t196); + t220 = (t217 & t216); + t221 = (t220 & 1U); + if (t221 != 0) + goto LAB264; + +LAB265: if (*((unsigned int *)t197) != 0) + goto LAB266; + +LAB267: t222 = *((unsigned int *)t180); + t224 = *((unsigned int *)t204); + t225 = (t222 | t224); + *((unsigned int *)t232) = t225; + t208 = (t180 + 4); + t209 = (t204 + 4); + t210 = (t232 + 4); + t226 = *((unsigned int *)t208); + t228 = *((unsigned int *)t209); + t229 = (t226 | t228); + *((unsigned int *)t210) = t229; + t230 = *((unsigned int *)t210); + t231 = (t230 != 0); + if (t231 == 1) + goto LAB268; + +LAB269: +LAB270: goto LAB259; + +LAB262: t195 = (t196 + 4); + *((unsigned int *)t196) = 1; + *((unsigned int *)t195) = 1; + goto LAB263; + +LAB264: *((unsigned int *)t204) = 1; + goto LAB267; + +LAB266: t203 = (t204 + 4); + *((unsigned int *)t204) = 1; + *((unsigned int *)t203) = 1; + goto LAB267; + +LAB268: t234 = *((unsigned int *)t232); + t235 = *((unsigned int *)t210); + *((unsigned int *)t232) = (t234 | t235); + t218 = (t180 + 4); + t219 = (t204 + 4); + t236 = *((unsigned int *)t218); + t237 = (~(t236)); + t238 = *((unsigned int *)t180); + t223 = (t238 & t237); + t241 = *((unsigned int *)t219); + t242 = (~(t241)); + t243 = *((unsigned int *)t204); + t227 = (t243 & t242); + t244 = (~(t223)); + t252 = (~(t227)); + t253 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t253 & t244); + t254 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t254 & t252); + goto LAB270; + +LAB271: *((unsigned int *)t249) = 1; + goto LAB274; + +LAB273: t239 = (t249 + 4); + *((unsigned int *)t249) = 1; + *((unsigned int *)t239) = 1; + goto LAB274; + +LAB275: t245 = (t0 + 7368); + t246 = (t245 + 56U); + t247 = *((char **)t246); + t248 = ((char*)((ng8))); + memset(t265, 0, 8); + t250 = (t247 + 4); + t251 = (t248 + 4); + t267 = *((unsigned int *)t247); + t268 = *((unsigned int *)t248); + t269 = (t267 ^ t268); + t270 = *((unsigned int *)t250); + t271 = *((unsigned int *)t251); + t274 = (t270 ^ t271); + t275 = (t269 | t274); + t276 = *((unsigned int *)t250); + t280 = *((unsigned int *)t251); + t281 = (t276 | t280); + t282 = (~(t281)); + t283 = (t275 & t282); + if (t283 != 0) + goto LAB281; + +LAB278: if (t281 != 0) + goto LAB280; + +LAB279: *((unsigned int *)t265) = 1; + +LAB281: memset(t273, 0, 8); + t266 = (t265 + 4); + t284 = *((unsigned int *)t266); + t285 = (~(t284)); + t286 = *((unsigned int *)t265); + t289 = (t286 & t285); + t290 = (t289 & 1U); + if (t290 != 0) + goto LAB282; + +LAB283: if (*((unsigned int *)t266) != 0) + goto LAB284; + +LAB285: t291 = *((unsigned int *)t249); + t293 = *((unsigned int *)t273); + t294 = (t291 | t293); + *((unsigned int *)t319) = t294; + t277 = (t249 + 4); + t278 = (t273 + 4); + t279 = (t319 + 4); + t295 = *((unsigned int *)t277); + t297 = *((unsigned int *)t278); + t298 = (t295 | t297); + *((unsigned int *)t279) = t298; + t299 = *((unsigned int *)t279); + t300 = (t299 != 0); + if (t300 == 1) + goto LAB286; + +LAB287: +LAB288: goto LAB277; + +LAB280: t264 = (t265 + 4); + *((unsigned int *)t265) = 1; + *((unsigned int *)t264) = 1; + goto LAB281; + +LAB282: *((unsigned int *)t273) = 1; + goto LAB285; + +LAB284: t272 = (t273 + 4); + *((unsigned int *)t273) = 1; + *((unsigned int *)t272) = 1; + goto LAB285; + +LAB286: t302 = *((unsigned int *)t319); + t303 = *((unsigned int *)t279); + *((unsigned int *)t319) = (t302 | t303); + t287 = (t249 + 4); + t288 = (t273 + 4); + t304 = *((unsigned int *)t287); + t305 = (~(t304)); + t306 = *((unsigned int *)t249); + t292 = (t306 & t305); + t310 = *((unsigned int *)t288); + t311 = (~(t310)); + t312 = *((unsigned int *)t273); + t296 = (t312 & t311); + t313 = (~(t292)); + t314 = (~(t296)); + t315 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t315 & t313); + t316 = *((unsigned int *)t279); + *((unsigned int *)t279) = (t316 & t314); + goto LAB288; + +LAB289: *((unsigned int *)t320) = 1; + goto LAB292; + +LAB291: t307 = (t320 + 4); + *((unsigned int *)t320) = 1; + *((unsigned int *)t307) = 1; + goto LAB292; + +LAB293: t309 = (t0 + 7368); + t318 = (t309 + 56U); + t329 = *((char **)t318); + t330 = ((char*)((ng9))); + memset(t331, 0, 8); + t332 = (t329 + 4); + t333 = (t330 + 4); + t334 = *((unsigned int *)t329); + t335 = *((unsigned int *)t330); + t336 = (t334 ^ t335); + t337 = *((unsigned int *)t332); + t338 = *((unsigned int *)t333); + t339 = (t337 ^ t338); + t340 = (t336 | t339); + t341 = *((unsigned int *)t332); + t342 = *((unsigned int *)t333); + t343 = (t341 | t342); + t344 = (~(t343)); + t345 = (t340 & t344); + if (t345 != 0) + goto LAB299; + +LAB296: if (t343 != 0) + goto LAB298; + +LAB297: *((unsigned int *)t331) = 1; + +LAB299: memset(t347, 0, 8); + t348 = (t331 + 4); + t349 = *((unsigned int *)t348); + t350 = (~(t349)); + t351 = *((unsigned int *)t331); + t352 = (t351 & t350); + t353 = (t352 & 1U); + if (t353 != 0) + goto LAB300; + +LAB301: if (*((unsigned int *)t348) != 0) + goto LAB302; + +LAB303: t356 = *((unsigned int *)t320); + t357 = *((unsigned int *)t347); + t358 = (t356 | t357); + *((unsigned int *)t355) = t358; + t359 = (t320 + 4); + t360 = (t347 + 4); + t361 = (t355 + 4); + t362 = *((unsigned int *)t359); + t363 = *((unsigned int *)t360); + t364 = (t362 | t363); + *((unsigned int *)t361) = t364; + t365 = *((unsigned int *)t361); + t366 = (t365 != 0); + if (t366 == 1) + goto LAB304; + +LAB305: +LAB306: goto LAB295; + +LAB298: t346 = (t331 + 4); + *((unsigned int *)t331) = 1; + *((unsigned int *)t346) = 1; + goto LAB299; + +LAB300: *((unsigned int *)t347) = 1; + goto LAB303; + +LAB302: t354 = (t347 + 4); + *((unsigned int *)t347) = 1; + *((unsigned int *)t354) = 1; + goto LAB303; + +LAB304: t367 = *((unsigned int *)t355); + t368 = *((unsigned int *)t361); + *((unsigned int *)t355) = (t367 | t368); + t369 = (t320 + 4); + t370 = (t347 + 4); + t371 = *((unsigned int *)t369); + t372 = (~(t371)); + t373 = *((unsigned int *)t320); + t374 = (t373 & t372); + t375 = *((unsigned int *)t370); + t376 = (~(t375)); + t377 = *((unsigned int *)t347); + t378 = (t377 & t376); + t379 = (~(t374)); + t380 = (~(t378)); + t381 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t381 & t379); + t382 = *((unsigned int *)t361); + *((unsigned int *)t361) = (t382 & t380); + goto LAB306; + +LAB307: *((unsigned int *)t383) = 1; + goto LAB310; + +LAB309: t390 = (t383 + 4); + *((unsigned int *)t383) = 1; + *((unsigned int *)t390) = 1; + goto LAB310; + +LAB311: t403 = *((unsigned int *)t391); + t404 = *((unsigned int *)t397); + *((unsigned int *)t391) = (t403 | t404); + t405 = (t9 + 4); + t406 = (t383 + 4); + t407 = *((unsigned int *)t9); + t408 = (~(t407)); + t409 = *((unsigned int *)t405); + t410 = (~(t409)); + t411 = *((unsigned int *)t383); + t412 = (~(t411)); + t413 = *((unsigned int *)t406); + t414 = (~(t413)); + t415 = (t408 & t410); + t416 = (t412 & t414); + t417 = (~(t415)); + t418 = (~(t416)); + t419 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t419 & t417); + t420 = *((unsigned int *)t397); + *((unsigned int *)t397) = (t420 & t418); + t421 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t421 & t417); + t422 = *((unsigned int *)t391); + *((unsigned int *)t391) = (t422 & t418); + goto LAB313; + +LAB314: *((unsigned int *)t4) = 1; + goto LAB317; + +LAB319: t434 = *((unsigned int *)t4); + t435 = *((unsigned int *)t431); + *((unsigned int *)t4) = (t434 | t435); + t436 = *((unsigned int *)t430); + t437 = *((unsigned int *)t431); + *((unsigned int *)t430) = (t436 | t437); + goto LAB318; + +} + + +extern void work_m_00000000000808859884_3633994423_init() +{ + static char *pe[] = {(void *)Always_13_0,(void *)Always_17_1,(void *)Always_23_2,(void *)NetDecl_29_3,(void *)NetDecl_30_4,(void *)NetDecl_31_5,(void *)NetDecl_32_6,(void *)Always_38_7,(void *)Always_39_8,(void *)Always_40_9,(void *)Always_48_10,(void *)Always_49_11,(void *)Always_56_12,(void *)Cont_110_13,(void *)Always_111_14,(void *)Always_112_15,(void *)Always_118_16}; + xsi_register_didat("work_m_00000000000808859884_3633994423", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat new file mode 100644 index 0000000..557c2e9 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj new file mode 100644 index 0000000..864a0e2 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c new file mode 100644 index 0000000..3ce1b39 --- /dev/null +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c @@ -0,0 +1,3059 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; + + + +static void Initial_77_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5408U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(77, ng0); + +LAB4: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB65; + goto LAB1; + +LAB65: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB66; + goto LAB1; + +LAB66: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB67; + goto LAB1; + +LAB67: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB68; + goto LAB1; + +LAB68: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB69; + goto LAB1; + +LAB69: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB70; + goto LAB1; + +LAB70: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB71; + goto LAB1; + +LAB71: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB72; + goto LAB1; + +LAB72: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB73; + goto LAB1; + +LAB73: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB74; + goto LAB1; + +LAB74: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB75; + goto LAB1; + +LAB75: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB76; + goto LAB1; + +LAB76: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB77; + goto LAB1; + +LAB77: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB78; + goto LAB1; + +LAB78: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB79; + goto LAB1; + +LAB79: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB80; + goto LAB1; + +LAB80: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB81; + goto LAB1; + +LAB81: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB82; + goto LAB1; + +LAB82: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB83; + goto LAB1; + +LAB83: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB84; + goto LAB1; + +LAB84: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB85; + goto LAB1; + +LAB85: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB86; + goto LAB1; + +LAB86: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB87; + goto LAB1; + +LAB87: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB88; + goto LAB1; + +LAB88: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB89; + goto LAB1; + +LAB89: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB90; + goto LAB1; + +LAB90: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB91; + goto LAB1; + +LAB91: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB92; + goto LAB1; + +LAB92: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB93; + goto LAB1; + +LAB93: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB94; + goto LAB1; + +LAB94: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB95; + goto LAB1; + +LAB95: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB96; + goto LAB1; + +LAB96: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB97; + goto LAB1; + +LAB97: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB98; + goto LAB1; + +LAB98: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB99; + goto LAB1; + +LAB99: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB100; + goto LAB1; + +LAB100: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB101; + goto LAB1; + +LAB101: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB102; + goto LAB1; + +LAB102: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB103; + goto LAB1; + +LAB103: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB104; + goto LAB1; + +LAB104: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB105; + goto LAB1; + +LAB105: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB106; + goto LAB1; + +LAB106: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB107; + goto LAB1; + +LAB107: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB108; + goto LAB1; + +LAB108: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB109; + goto LAB1; + +LAB109: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB110; + goto LAB1; + +LAB110: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB111; + goto LAB1; + +LAB111: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB112; + goto LAB1; + +LAB112: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB113; + goto LAB1; + +LAB113: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB114; + goto LAB1; + +LAB114: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB115; + goto LAB1; + +LAB115: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB116; + goto LAB1; + +LAB116: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB117; + goto LAB1; + +LAB117: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB118; + goto LAB1; + +LAB118: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB119; + goto LAB1; + +LAB119: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB120; + goto LAB1; + +LAB120: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB121; + goto LAB1; + +LAB121: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB122; + goto LAB1; + +LAB122: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB123; + goto LAB1; + +LAB123: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB124; + goto LAB1; + +LAB124: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB125; + goto LAB1; + +LAB125: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB126; + goto LAB1; + +LAB126: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB127; + goto LAB1; + +LAB127: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB128; + goto LAB1; + +LAB128: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB129; + goto LAB1; + +LAB129: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB130; + goto LAB1; + +LAB130: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB131; + goto LAB1; + +LAB131: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB132; + goto LAB1; + +LAB132: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB133; + goto LAB1; + +LAB133: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB134; + goto LAB1; + +LAB134: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB135; + goto LAB1; + +LAB135: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB136; + goto LAB1; + +LAB136: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB137; + goto LAB1; + +LAB137: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB138; + goto LAB1; + +LAB138: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB139; + goto LAB1; + +LAB139: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB140; + goto LAB1; + +LAB140: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB141; + goto LAB1; + +LAB141: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB142; + goto LAB1; + +LAB142: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB143; + goto LAB1; + +LAB143: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB144; + goto LAB1; + +LAB144: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB145; + goto LAB1; + +LAB145: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB146; + goto LAB1; + +LAB146: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB147; + goto LAB1; + +LAB147: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB148; + goto LAB1; + +LAB148: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB149; + goto LAB1; + +LAB149: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB150; + goto LAB1; + +LAB150: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB151; + goto LAB1; + +LAB151: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB152; + goto LAB1; + +LAB152: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB153; + goto LAB1; + +LAB153: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB154; + goto LAB1; + +LAB154: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB155; + goto LAB1; + +LAB155: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB156; + goto LAB1; + +LAB156: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB157; + goto LAB1; + +LAB157: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB158; + goto LAB1; + +LAB158: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB159; + goto LAB1; + +LAB159: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB160; + goto LAB1; + +LAB160: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB161; + goto LAB1; + +LAB161: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB162; + goto LAB1; + +LAB162: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB163; + goto LAB1; + +LAB163: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB164; + goto LAB1; + +LAB164: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB165; + goto LAB1; + +LAB165: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB166; + goto LAB1; + +LAB166: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB167; + goto LAB1; + +LAB167: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB168; + goto LAB1; + +LAB168: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB169; + goto LAB1; + +LAB169: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB170; + goto LAB1; + +LAB170: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB171; + goto LAB1; + +LAB171: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB172; + goto LAB1; + +LAB172: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB173; + goto LAB1; + +LAB173: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB174; + goto LAB1; + +LAB174: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB175; + goto LAB1; + +LAB175: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB176; + goto LAB1; + +LAB176: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB177; + goto LAB1; + +LAB177: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB178; + goto LAB1; + +LAB178: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB179; + goto LAB1; + +LAB179: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB180; + goto LAB1; + +LAB180: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB181; + goto LAB1; + +LAB181: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB182; + goto LAB1; + +LAB182: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB183; + goto LAB1; + +LAB183: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB184; + goto LAB1; + +LAB184: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB185; + goto LAB1; + +LAB185: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB186; + goto LAB1; + +LAB186: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB187; + goto LAB1; + +LAB187: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB188; + goto LAB1; + +LAB188: goto LAB1; + +} + +static void Initial_126_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5656U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(126, ng0); + +LAB4: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 60000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: goto LAB1; + +} + +static void Initial_144_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5904U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(144, ng0); + +LAB4: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 130000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 720000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 480000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: goto LAB1; + +} + +static void Initial_151_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 6152U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(151, ng0); + +LAB4: xsi_set_current_line(152, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(155, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 320000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(158, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(159, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(160, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(162, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 180000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(163, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(164, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(165, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(167, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 180000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(168, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(169, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(170, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(172, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 180000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(173, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(174, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 1200000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(175, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(177, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 220000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(178, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(179, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 300000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(180, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_183_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 6400U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(183, ng0); + +LAB4: xsi_set_current_line(184, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(185, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(186, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(187, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(188, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 195000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(190, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(191, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(192, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(193, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(194, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(195, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(196, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(197, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(199, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(200, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(201, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(202, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(203, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(204, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(205, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(206, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(208, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(209, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(210, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(211, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(212, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(213, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(214, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(215, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(217, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(218, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(219, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(220, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(221, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(222, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(223, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(224, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(227, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(228, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(229, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(230, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(231, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(232, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 1300000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(233, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(234, ng0); + t2 = (t0 + 6208); + xsi_process_wait(t2, 240000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: goto LAB1; + +} + + +extern void work_m_00000000001759270804_2646922372_init() +{ + static char *pe[] = {(void *)Initial_77_0,(void *)Initial_126_1,(void *)Initial_144_2,(void *)Initial_151_3,(void *)Initial_183_4}; + xsi_register_didat("work_m_00000000001759270804_2646922372", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat new file mode 100644 index 0000000..ad3417b Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj new file mode 100644 index 0000000..7b60d6d Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c new file mode 100644 index 0000000..1ffd132 --- /dev/null +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c @@ -0,0 +1,337 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; +static unsigned int ng1[] = {1U, 0U}; +static unsigned int ng2[] = {0U, 0U}; + + + +static void NetDecl_16_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + char *t16; + +LAB0: t1 = (t0 + 6960U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1960U); + t3 = *((char **)t2); + t2 = (t0 + 8648); + t4 = (t2 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t3 + 4); + t11 = *((unsigned int *)t3); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t2, 0, 0U); + t16 = (t0 + 8520); + *((int *)t16) = 1; + +LAB1: return; +} + +static void Cont_48_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7208U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 3640); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8712); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8536); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_49_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7456U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8776); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8552); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_50_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7704U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(50, ng0); + t2 = (t0 + 3960); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8840); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8568); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Initial_52_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 7952U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(52, ng0); + +LAB4: xsi_set_current_line(53, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3640); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(55, ng0); + t2 = (t0 + 7760); + xsi_process_wait(t2, 100000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(56, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3640); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_60_5(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 8200U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(60, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3800); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = (t0 + 8008); + xsi_process_wait(t2, 0LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(63, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3800); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB1; + +} + + +extern void work_m_00000000004134447467_2073120511_init() +{ + static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; + xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat new file mode 100644 index 0000000..b3df101 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat differ diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj new file mode 100644 index 0000000..1ad0c1c Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c similarity index 87% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.c rename to cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c index c3988ab..badf9ec 100644 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.c +++ b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c @@ -22,12 +22,12 @@ int main(int argc, char **argv) xsi_register_info(&xsi_info); xsi_register_min_prec_unit(-12); - work_m_00000000003586053589_0453017098_init(); - work_m_00000000002201886890_2332283379_init(); + work_m_00000000000808859884_3633994423_init(); + work_m_00000000001759270804_2646922372_init(); work_m_00000000004134447467_2073120511_init(); - xsi_register_tops("work_m_00000000002201886890_2332283379"); + xsi_register_tops("work_m_00000000001759270804_2646922372"); xsi_register_tops("work_m_00000000004134447467_2073120511"); diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj new file mode 100644 index 0000000..bb14cf0 Binary files /dev/null and b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000..12efc53 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..ca7f480 --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + t_ram_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 65106 + +Sat Dec 11 08:29:09 2021 + + + Elaboration Time: 0.046875 sec + + Current Memory Usage: 5140.57 Meg + + Total Signals : 45 + Total Nets : 67 + Total Signal Drivers : 30 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 40 + Total Traceable Variables : 38 + Total Scalar Nets and Variables : 189 + + Total Simulation Time: 0.078125 sec + + Current Memory Usage: 4668.71 Meg + +Sun Dec 12 07:05:22 2021 + diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll new file mode 100644 index 0000000..3df1061 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000..473bf32 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe new file mode 100644 index 0000000..742c306 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000..603f077 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c new file mode 100644 index 0000000..2546973 --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c @@ -0,0 +1,9104 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/RAM.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; +static int ng3[] = {7, 0}; +static int ng4[] = {2, 0}; +static int ng5[] = {5, 0}; +static int ng6[] = {3, 0}; +static int ng7[] = {4, 0}; +static int ng8[] = {6, 0}; + + + +static void NetDecl_23_0(char *t0) +{ + char t5[8]; + char t21[8]; + char t29[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t18; + char *t19; + char *t20; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned int t30; + unsigned int t31; + unsigned int t32; + char *t33; + char *t34; + char *t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + char *t59; + char *t60; + char *t61; + unsigned int t62; + unsigned int t63; + char *t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t68; + unsigned int t69; + char *t70; + +LAB0: t1 = (t0 + 7008U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(23, ng0); + t2 = (t0 + 5608); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t4 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t4); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t6) != 0) + goto LAB6; + +LAB7: t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (!(t14)); + t16 = *((unsigned int *)t13); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB8; + +LAB9: memcpy(t29, t5, 8); + +LAB10: t57 = (t0 + 11896); + t58 = (t57 + 56U); + t59 = *((char **)t58); + t60 = (t59 + 56U); + t61 = *((char **)t60); + memset(t61, 0, 8); + t62 = 1U; + t63 = t62; + t64 = (t29 + 4); + t65 = *((unsigned int *)t29); + t62 = (t62 & t65); + t66 = *((unsigned int *)t64); + t63 = (t63 & t66); + t67 = (t61 + 4); + t68 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t68 | t62); + t69 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t69 | t63); + xsi_driver_vfirst_trans(t57, 0, 0U); + t70 = (t0 + 11544); + *((int *)t70) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t5) = 1; + goto LAB7; + +LAB6: t12 = (t5 + 4); + *((unsigned int *)t5) = 1; + *((unsigned int *)t12) = 1; + goto LAB7; + +LAB8: t18 = (t0 + 5768); + t19 = (t18 + 56U); + t20 = *((char **)t19); + memset(t21, 0, 8); + t22 = (t20 + 4); + t23 = *((unsigned int *)t22); + t24 = (~(t23)); + t25 = *((unsigned int *)t20); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB11; + +LAB12: if (*((unsigned int *)t22) != 0) + goto LAB13; + +LAB14: t30 = *((unsigned int *)t5); + t31 = *((unsigned int *)t21); + t32 = (t30 | t31); + *((unsigned int *)t29) = t32; + t33 = (t5 + 4); + t34 = (t21 + 4); + t35 = (t29 + 4); + t36 = *((unsigned int *)t33); + t37 = *((unsigned int *)t34); + t38 = (t36 | t37); + *((unsigned int *)t35) = t38; + t39 = *((unsigned int *)t35); + t40 = (t39 != 0); + if (t40 == 1) + goto LAB15; + +LAB16: +LAB17: goto LAB10; + +LAB11: *((unsigned int *)t21) = 1; + goto LAB14; + +LAB13: t28 = (t21 + 4); + *((unsigned int *)t21) = 1; + *((unsigned int *)t28) = 1; + goto LAB14; + +LAB15: t41 = *((unsigned int *)t29); + t42 = *((unsigned int *)t35); + *((unsigned int *)t29) = (t41 | t42); + t43 = (t5 + 4); + t44 = (t21 + 4); + t45 = *((unsigned int *)t43); + t46 = (~(t45)); + t47 = *((unsigned int *)t5); + t48 = (t47 & t46); + t49 = *((unsigned int *)t44); + t50 = (~(t49)); + t51 = *((unsigned int *)t21); + t52 = (t51 & t50); + t53 = (~(t48)); + t54 = (~(t52)); + t55 = *((unsigned int *)t35); + *((unsigned int *)t35) = (t55 & t53); + t56 = *((unsigned int *)t35); + *((unsigned int *)t35) = (t56 & t54); + goto LAB17; + +} + +static void NetDecl_24_1(char *t0) +{ + char t3[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t21; + char *t22; + char *t23; + char *t24; + char *t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned int t29; + unsigned int t30; + char *t31; + unsigned int t32; + unsigned int t33; + char *t34; + +LAB0: t1 = (t0 + 7256U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(24, ng0); + t2 = (t0 + 4248U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + t21 = (t0 + 11960); + t22 = (t21 + 56U); + t23 = *((char **)t22); + t24 = (t23 + 56U); + t25 = *((char **)t24); + memset(t25, 0, 8); + t26 = 1U; + t27 = t26; + t28 = (t3 + 4); + t29 = *((unsigned int *)t3); + t26 = (t26 & t29); + t30 = *((unsigned int *)t28); + t27 = (t27 & t30); + t31 = (t25 + 4); + t32 = *((unsigned int *)t25); + *((unsigned int *)t25) = (t32 | t26); + t33 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t33 | t27); + xsi_driver_vfirst_trans(t21, 0, 0U); + t34 = (t0 + 11560); + *((int *)t34) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +} + +static void Cont_27_2(char *t0) +{ + char t3[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t21; + char *t22; + char *t23; + char *t24; + char *t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned int t29; + unsigned int t30; + char *t31; + unsigned int t32; + unsigned int t33; + char *t34; + +LAB0: t1 = (t0 + 7504U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(27, ng0); + t2 = (t0 + 2328U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + t21 = (t0 + 12024); + t22 = (t21 + 56U); + t23 = *((char **)t22); + t24 = (t23 + 56U); + t25 = *((char **)t24); + memset(t25, 0, 8); + t26 = 1U; + t27 = t26; + t28 = (t3 + 4); + t29 = *((unsigned int *)t3); + t26 = (t26 & t29); + t30 = *((unsigned int *)t28); + t27 = (t27 & t30); + t31 = (t25 + 4); + t32 = *((unsigned int *)t25); + *((unsigned int *)t25) = (t32 | t26); + t33 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t33 | t27); + xsi_driver_vfirst_trans(t21, 0, 0); + t34 = (t0 + 11576); + *((int *)t34) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +} + +static void Cont_28_3(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t36[8]; + char t43[8]; + char t75[8]; + char t89[8]; + char t96[8]; + char t128[8]; + char t140[8]; + char t161[8]; + char t169[8]; + char t201[8]; + char t217[8]; + char t225[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + char *t95; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + int t120; + int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + char *t135; + char *t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + char *t141; + char *t142; + char *t143; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + char *t150; + char *t151; + char *t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + char *t162; + unsigned int t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + unsigned int t167; + char *t168; + unsigned int t170; + unsigned int t171; + unsigned int t172; + char *t173; + char *t174; + char *t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + char *t183; + char *t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + int t193; + int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + char *t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + char *t214; + char *t215; + char *t216; + char *t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + char *t224; + unsigned int t226; + unsigned int t227; + unsigned int t228; + char *t229; + char *t230; + char *t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + char *t239; + char *t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + int t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + int t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + unsigned int t252; + char *t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + char *t259; + char *t260; + char *t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + char *t270; + char *t271; + char *t272; + char *t273; + char *t274; + unsigned int t275; + unsigned int t276; + char *t277; + unsigned int t278; + unsigned int t279; + char *t280; + unsigned int t281; + unsigned int t282; + char *t283; + +LAB0: t1 = (t0 + 7752U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(28, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t43, t22, 8); + +LAB16: memset(t75, 0, 8); + t76 = (t43 + 4); + t77 = *((unsigned int *)t76); + t78 = (~(t77)); + t79 = *((unsigned int *)t43); + t80 = (t79 & t78); + t81 = (t80 & 1U); + if (t81 != 0) + goto LAB24; + +LAB25: if (*((unsigned int *)t76) != 0) + goto LAB26; + +LAB27: t83 = (t75 + 4); + t84 = *((unsigned int *)t75); + t85 = *((unsigned int *)t83); + t86 = (t84 || t85); + if (t86 > 0) + goto LAB28; + +LAB29: memcpy(t96, t75, 8); + +LAB30: memset(t128, 0, 8); + t129 = (t96 + 4); + t130 = *((unsigned int *)t129); + t131 = (~(t130)); + t132 = *((unsigned int *)t96); + t133 = (t132 & t131); + t134 = (t133 & 1U); + if (t134 != 0) + goto LAB38; + +LAB39: if (*((unsigned int *)t129) != 0) + goto LAB40; + +LAB41: t136 = (t128 + 4); + t137 = *((unsigned int *)t128); + t138 = *((unsigned int *)t136); + t139 = (t137 || t138); + if (t139 > 0) + goto LAB42; + +LAB43: memcpy(t169, t128, 8); + +LAB44: memset(t201, 0, 8); + t202 = (t169 + 4); + t203 = *((unsigned int *)t202); + t204 = (~(t203)); + t205 = *((unsigned int *)t169); + t206 = (t205 & t204); + t207 = (t206 & 1U); + if (t207 != 0) + goto LAB58; + +LAB59: if (*((unsigned int *)t202) != 0) + goto LAB60; + +LAB61: t209 = (t201 + 4); + t210 = *((unsigned int *)t201); + t211 = (!(t210)); + t212 = *((unsigned int *)t209); + t213 = (t211 || t212); + if (t213 > 0) + goto LAB62; + +LAB63: memcpy(t225, t201, 8); + +LAB64: memset(t3, 0, 8); + t253 = (t225 + 4); + t254 = *((unsigned int *)t253); + t255 = (~(t254)); + t256 = *((unsigned int *)t225); + t257 = (t256 & t255); + t258 = (t257 & 1U); + if (t258 != 0) + goto LAB75; + +LAB73: if (*((unsigned int *)t253) == 0) + goto LAB72; + +LAB74: t259 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t259) = 1; + +LAB75: t260 = (t3 + 4); + t261 = (t225 + 4); + t262 = *((unsigned int *)t225); + t263 = (~(t262)); + *((unsigned int *)t3) = t263; + *((unsigned int *)t260) = 0; + if (*((unsigned int *)t261) != 0) + goto LAB77; + +LAB76: t268 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t268 & 1U); + t269 = *((unsigned int *)t260); + *((unsigned int *)t260) = (t269 & 1U); + t270 = (t0 + 12088); + t271 = (t270 + 56U); + t272 = *((char **)t271); + t273 = (t272 + 56U); + t274 = *((char **)t273); + memset(t274, 0, 8); + t275 = 1U; + t276 = t275; + t277 = (t3 + 4); + t278 = *((unsigned int *)t3); + t275 = (t275 & t278); + t279 = *((unsigned int *)t277); + t276 = (t276 & t279); + t280 = (t274 + 4); + t281 = *((unsigned int *)t274); + *((unsigned int *)t274) = (t281 | t275); + t282 = *((unsigned int *)t280); + *((unsigned int *)t280) = (t282 | t276); + xsi_driver_vfirst_trans(t270, 0, 0); + t283 = (t0 + 11592); + *((int *)t283) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 2168U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t34) != 0) + goto LAB19; + +LAB20: t44 = *((unsigned int *)t22); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t22 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB19: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB20; + +LAB21: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t22 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t22); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB23; + +LAB24: *((unsigned int *)t75) = 1; + goto LAB27; + +LAB26: t82 = (t75 + 4); + *((unsigned int *)t75) = 1; + *((unsigned int *)t82) = 1; + goto LAB27; + +LAB28: t87 = (t0 + 4408U); + t88 = *((char **)t87); + memset(t89, 0, 8); + t87 = (t88 + 4); + t90 = *((unsigned int *)t87); + t91 = (~(t90)); + t92 = *((unsigned int *)t88); + t93 = (t92 & t91); + t94 = (t93 & 1U); + if (t94 != 0) + goto LAB31; + +LAB32: if (*((unsigned int *)t87) != 0) + goto LAB33; + +LAB34: t97 = *((unsigned int *)t75); + t98 = *((unsigned int *)t89); + t99 = (t97 & t98); + *((unsigned int *)t96) = t99; + t100 = (t75 + 4); + t101 = (t89 + 4); + t102 = (t96 + 4); + t103 = *((unsigned int *)t100); + t104 = *((unsigned int *)t101); + t105 = (t103 | t104); + *((unsigned int *)t102) = t105; + t106 = *((unsigned int *)t102); + t107 = (t106 != 0); + if (t107 == 1) + goto LAB35; + +LAB36: +LAB37: goto LAB30; + +LAB31: *((unsigned int *)t89) = 1; + goto LAB34; + +LAB33: t95 = (t89 + 4); + *((unsigned int *)t89) = 1; + *((unsigned int *)t95) = 1; + goto LAB34; + +LAB35: t108 = *((unsigned int *)t96); + t109 = *((unsigned int *)t102); + *((unsigned int *)t96) = (t108 | t109); + t110 = (t75 + 4); + t111 = (t89 + 4); + t112 = *((unsigned int *)t75); + t113 = (~(t112)); + t114 = *((unsigned int *)t110); + t115 = (~(t114)); + t116 = *((unsigned int *)t89); + t117 = (~(t116)); + t118 = *((unsigned int *)t111); + t119 = (~(t118)); + t120 = (t113 & t115); + t121 = (t117 & t119); + t122 = (~(t120)); + t123 = (~(t121)); + t124 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t124 & t122); + t125 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t125 & t123); + t126 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t126 & t122); + t127 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t127 & t123); + goto LAB37; + +LAB38: *((unsigned int *)t128) = 1; + goto LAB41; + +LAB40: t135 = (t128 + 4); + *((unsigned int *)t128) = 1; + *((unsigned int *)t135) = 1; + goto LAB41; + +LAB42: t141 = (t0 + 5928); + t142 = (t141 + 56U); + t143 = *((char **)t142); + memset(t140, 0, 8); + t144 = (t143 + 4); + t145 = *((unsigned int *)t144); + t146 = (~(t145)); + t147 = *((unsigned int *)t143); + t148 = (t147 & t146); + t149 = (t148 & 1U); + if (t149 != 0) + goto LAB48; + +LAB46: if (*((unsigned int *)t144) == 0) + goto LAB45; + +LAB47: t150 = (t140 + 4); + *((unsigned int *)t140) = 1; + *((unsigned int *)t150) = 1; + +LAB48: t151 = (t140 + 4); + t152 = (t143 + 4); + t153 = *((unsigned int *)t143); + t154 = (~(t153)); + *((unsigned int *)t140) = t154; + *((unsigned int *)t151) = 0; + if (*((unsigned int *)t152) != 0) + goto LAB50; + +LAB49: t159 = *((unsigned int *)t140); + *((unsigned int *)t140) = (t159 & 1U); + t160 = *((unsigned int *)t151); + *((unsigned int *)t151) = (t160 & 1U); + memset(t161, 0, 8); + t162 = (t140 + 4); + t163 = *((unsigned int *)t162); + t164 = (~(t163)); + t165 = *((unsigned int *)t140); + t166 = (t165 & t164); + t167 = (t166 & 1U); + if (t167 != 0) + goto LAB51; + +LAB52: if (*((unsigned int *)t162) != 0) + goto LAB53; + +LAB54: t170 = *((unsigned int *)t128); + t171 = *((unsigned int *)t161); + t172 = (t170 & t171); + *((unsigned int *)t169) = t172; + t173 = (t128 + 4); + t174 = (t161 + 4); + t175 = (t169 + 4); + t176 = *((unsigned int *)t173); + t177 = *((unsigned int *)t174); + t178 = (t176 | t177); + *((unsigned int *)t175) = t178; + t179 = *((unsigned int *)t175); + t180 = (t179 != 0); + if (t180 == 1) + goto LAB55; + +LAB56: +LAB57: goto LAB44; + +LAB45: *((unsigned int *)t140) = 1; + goto LAB48; + +LAB50: t155 = *((unsigned int *)t140); + t156 = *((unsigned int *)t152); + *((unsigned int *)t140) = (t155 | t156); + t157 = *((unsigned int *)t151); + t158 = *((unsigned int *)t152); + *((unsigned int *)t151) = (t157 | t158); + goto LAB49; + +LAB51: *((unsigned int *)t161) = 1; + goto LAB54; + +LAB53: t168 = (t161 + 4); + *((unsigned int *)t161) = 1; + *((unsigned int *)t168) = 1; + goto LAB54; + +LAB55: t181 = *((unsigned int *)t169); + t182 = *((unsigned int *)t175); + *((unsigned int *)t169) = (t181 | t182); + t183 = (t128 + 4); + t184 = (t161 + 4); + t185 = *((unsigned int *)t128); + t186 = (~(t185)); + t187 = *((unsigned int *)t183); + t188 = (~(t187)); + t189 = *((unsigned int *)t161); + t190 = (~(t189)); + t191 = *((unsigned int *)t184); + t192 = (~(t191)); + t193 = (t186 & t188); + t194 = (t190 & t192); + t195 = (~(t193)); + t196 = (~(t194)); + t197 = *((unsigned int *)t175); + *((unsigned int *)t175) = (t197 & t195); + t198 = *((unsigned int *)t175); + *((unsigned int *)t175) = (t198 & t196); + t199 = *((unsigned int *)t169); + *((unsigned int *)t169) = (t199 & t195); + t200 = *((unsigned int *)t169); + *((unsigned int *)t169) = (t200 & t196); + goto LAB57; + +LAB58: *((unsigned int *)t201) = 1; + goto LAB61; + +LAB60: t208 = (t201 + 4); + *((unsigned int *)t201) = 1; + *((unsigned int *)t208) = 1; + goto LAB61; + +LAB62: t214 = (t0 + 5928); + t215 = (t214 + 56U); + t216 = *((char **)t215); + memset(t217, 0, 8); + t218 = (t216 + 4); + t219 = *((unsigned int *)t218); + t220 = (~(t219)); + t221 = *((unsigned int *)t216); + t222 = (t221 & t220); + t223 = (t222 & 1U); + if (t223 != 0) + goto LAB65; + +LAB66: if (*((unsigned int *)t218) != 0) + goto LAB67; + +LAB68: t226 = *((unsigned int *)t201); + t227 = *((unsigned int *)t217); + t228 = (t226 | t227); + *((unsigned int *)t225) = t228; + t229 = (t201 + 4); + t230 = (t217 + 4); + t231 = (t225 + 4); + t232 = *((unsigned int *)t229); + t233 = *((unsigned int *)t230); + t234 = (t232 | t233); + *((unsigned int *)t231) = t234; + t235 = *((unsigned int *)t231); + t236 = (t235 != 0); + if (t236 == 1) + goto LAB69; + +LAB70: +LAB71: goto LAB64; + +LAB65: *((unsigned int *)t217) = 1; + goto LAB68; + +LAB67: t224 = (t217 + 4); + *((unsigned int *)t217) = 1; + *((unsigned int *)t224) = 1; + goto LAB68; + +LAB69: t237 = *((unsigned int *)t225); + t238 = *((unsigned int *)t231); + *((unsigned int *)t225) = (t237 | t238); + t239 = (t201 + 4); + t240 = (t217 + 4); + t241 = *((unsigned int *)t239); + t242 = (~(t241)); + t243 = *((unsigned int *)t201); + t244 = (t243 & t242); + t245 = *((unsigned int *)t240); + t246 = (~(t245)); + t247 = *((unsigned int *)t217); + t248 = (t247 & t246); + t249 = (~(t244)); + t250 = (~(t248)); + t251 = *((unsigned int *)t231); + *((unsigned int *)t231) = (t251 & t249); + t252 = *((unsigned int *)t231); + *((unsigned int *)t231) = (t252 & t250); + goto LAB71; + +LAB72: *((unsigned int *)t3) = 1; + goto LAB75; + +LAB77: t264 = *((unsigned int *)t3); + t265 = *((unsigned int *)t261); + *((unsigned int *)t3) = (t264 | t265); + t266 = *((unsigned int *)t260); + t267 = *((unsigned int *)t261); + *((unsigned int *)t260) = (t266 | t267); + goto LAB76; + +} + +static void Cont_29_4(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t36[8]; + char t43[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + char *t92; + char *t93; + char *t94; + char *t95; + char *t96; + unsigned int t97; + unsigned int t98; + char *t99; + unsigned int t100; + unsigned int t101; + char *t102; + unsigned int t103; + unsigned int t104; + char *t105; + +LAB0: t1 = (t0 + 8000U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(29, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t43, t22, 8); + +LAB16: memset(t3, 0, 8); + t75 = (t43 + 4); + t76 = *((unsigned int *)t75); + t77 = (~(t76)); + t78 = *((unsigned int *)t43); + t79 = (t78 & t77); + t80 = (t79 & 1U); + if (t80 != 0) + goto LAB27; + +LAB25: if (*((unsigned int *)t75) == 0) + goto LAB24; + +LAB26: t81 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t81) = 1; + +LAB27: t82 = (t3 + 4); + t83 = (t43 + 4); + t84 = *((unsigned int *)t43); + t85 = (~(t84)); + *((unsigned int *)t3) = t85; + *((unsigned int *)t82) = 0; + if (*((unsigned int *)t83) != 0) + goto LAB29; + +LAB28: t90 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t90 & 1U); + t91 = *((unsigned int *)t82); + *((unsigned int *)t82) = (t91 & 1U); + t92 = (t0 + 12152); + t93 = (t92 + 56U); + t94 = *((char **)t93); + t95 = (t94 + 56U); + t96 = *((char **)t95); + memset(t96, 0, 8); + t97 = 1U; + t98 = t97; + t99 = (t3 + 4); + t100 = *((unsigned int *)t3); + t97 = (t97 & t100); + t101 = *((unsigned int *)t99); + t98 = (t98 & t101); + t102 = (t96 + 4); + t103 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t103 | t97); + t104 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t104 | t98); + xsi_driver_vfirst_trans(t92, 0, 0); + t105 = (t0 + 11608); + *((int *)t105) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 1368U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t34) != 0) + goto LAB19; + +LAB20: t44 = *((unsigned int *)t22); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t22 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t36) = 1; + goto LAB20; + +LAB19: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB20; + +LAB21: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t22 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t22); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB23; + +LAB24: *((unsigned int *)t3) = 1; + goto LAB27; + +LAB29: t86 = *((unsigned int *)t3); + t87 = *((unsigned int *)t83); + *((unsigned int *)t3) = (t86 | t87); + t88 = *((unsigned int *)t82); + t89 = *((unsigned int *)t83); + *((unsigned int *)t82) = (t88 | t89); + goto LAB28; + +} + +static void Cont_30_5(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t34[8]; + char t53[8]; + char t61[8]; + char t93[8]; + char t105[8]; + char t124[8]; + char t132[8]; + char t164[8]; + char t178[8]; + char t185[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t35; + char *t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + char *t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + char *t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + char *t131; + unsigned int t133; + unsigned int t134; + unsigned int t135; + char *t136; + char *t137; + char *t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + char *t146; + char *t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + int t156; + int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + char *t165; + unsigned int t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + char *t171; + char *t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t176; + char *t177; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + char *t184; + unsigned int t186; + unsigned int t187; + unsigned int t188; + char *t189; + char *t190; + char *t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + char *t199; + char *t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + int t209; + int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + char *t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + char *t223; + char *t224; + char *t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + char *t234; + char *t235; + char *t236; + char *t237; + char *t238; + unsigned int t239; + unsigned int t240; + char *t241; + unsigned int t242; + unsigned int t243; + char *t244; + unsigned int t245; + unsigned int t246; + char *t247; + +LAB0: t1 = (t0 + 8248U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(30, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t61, t22, 8); + +LAB16: memset(t93, 0, 8); + t94 = (t61 + 4); + t95 = *((unsigned int *)t94); + t96 = (~(t95)); + t97 = *((unsigned int *)t61); + t98 = (t97 & t96); + t99 = (t98 & 1U); + if (t99 != 0) + goto LAB30; + +LAB31: if (*((unsigned int *)t94) != 0) + goto LAB32; + +LAB33: t101 = (t93 + 4); + t102 = *((unsigned int *)t93); + t103 = *((unsigned int *)t101); + t104 = (t102 || t103); + if (t104 > 0) + goto LAB34; + +LAB35: memcpy(t132, t93, 8); + +LAB36: memset(t164, 0, 8); + t165 = (t132 + 4); + t166 = *((unsigned int *)t165); + t167 = (~(t166)); + t168 = *((unsigned int *)t132); + t169 = (t168 & t167); + t170 = (t169 & 1U); + if (t170 != 0) + goto LAB50; + +LAB51: if (*((unsigned int *)t165) != 0) + goto LAB52; + +LAB53: t172 = (t164 + 4); + t173 = *((unsigned int *)t164); + t174 = *((unsigned int *)t172); + t175 = (t173 || t174); + if (t175 > 0) + goto LAB54; + +LAB55: memcpy(t185, t164, 8); + +LAB56: memset(t3, 0, 8); + t217 = (t185 + 4); + t218 = *((unsigned int *)t217); + t219 = (~(t218)); + t220 = *((unsigned int *)t185); + t221 = (t220 & t219); + t222 = (t221 & 1U); + if (t222 != 0) + goto LAB67; + +LAB65: if (*((unsigned int *)t217) == 0) + goto LAB64; + +LAB66: t223 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t223) = 1; + +LAB67: t224 = (t3 + 4); + t225 = (t185 + 4); + t226 = *((unsigned int *)t185); + t227 = (~(t226)); + *((unsigned int *)t3) = t227; + *((unsigned int *)t224) = 0; + if (*((unsigned int *)t225) != 0) + goto LAB69; + +LAB68: t232 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t232 & 1U); + t233 = *((unsigned int *)t224); + *((unsigned int *)t224) = (t233 & 1U); + t234 = (t0 + 12216); + t235 = (t234 + 56U); + t236 = *((char **)t235); + t237 = (t236 + 56U); + t238 = *((char **)t237); + memset(t238, 0, 8); + t239 = 1U; + t240 = t239; + t241 = (t3 + 4); + t242 = *((unsigned int *)t3); + t239 = (t239 & t242); + t243 = *((unsigned int *)t241); + t240 = (t240 & t243); + t244 = (t238 + 4); + t245 = *((unsigned int *)t238); + *((unsigned int *)t238) = (t245 | t239); + t246 = *((unsigned int *)t244); + *((unsigned int *)t244) = (t246 | t240); + xsi_driver_vfirst_trans(t234, 0, 0); + t247 = (t0 + 11624); + *((int *)t247) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t35 = (t0 + 1368U); + t36 = *((char **)t35); + memset(t34, 0, 8); + t35 = (t36 + 4); + t37 = *((unsigned int *)t35); + t38 = (~(t37)); + t39 = *((unsigned int *)t36); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t35) == 0) + goto LAB17; + +LAB19: t42 = (t34 + 4); + *((unsigned int *)t34) = 1; + *((unsigned int *)t42) = 1; + +LAB20: t43 = (t34 + 4); + t44 = (t36 + 4); + t45 = *((unsigned int *)t36); + t46 = (~(t45)); + *((unsigned int *)t34) = t46; + *((unsigned int *)t43) = 0; + if (*((unsigned int *)t44) != 0) + goto LAB22; + +LAB21: t51 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t51 & 1U); + t52 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t52 & 1U); + memset(t53, 0, 8); + t54 = (t34 + 4); + t55 = *((unsigned int *)t54); + t56 = (~(t55)); + t57 = *((unsigned int *)t34); + t58 = (t57 & t56); + t59 = (t58 & 1U); + if (t59 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t54) != 0) + goto LAB25; + +LAB26: t62 = *((unsigned int *)t22); + t63 = *((unsigned int *)t53); + t64 = (t62 & t63); + *((unsigned int *)t61) = t64; + t65 = (t22 + 4); + t66 = (t53 + 4); + t67 = (t61 + 4); + t68 = *((unsigned int *)t65); + t69 = *((unsigned int *)t66); + t70 = (t68 | t69); + *((unsigned int *)t67) = t70; + t71 = *((unsigned int *)t67); + t72 = (t71 != 0); + if (t72 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t34) = 1; + goto LAB20; + +LAB22: t47 = *((unsigned int *)t34); + t48 = *((unsigned int *)t44); + *((unsigned int *)t34) = (t47 | t48); + t49 = *((unsigned int *)t43); + t50 = *((unsigned int *)t44); + *((unsigned int *)t43) = (t49 | t50); + goto LAB21; + +LAB23: *((unsigned int *)t53) = 1; + goto LAB26; + +LAB25: t60 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t60) = 1; + goto LAB26; + +LAB27: t73 = *((unsigned int *)t61); + t74 = *((unsigned int *)t67); + *((unsigned int *)t61) = (t73 | t74); + t75 = (t22 + 4); + t76 = (t53 + 4); + t77 = *((unsigned int *)t22); + t78 = (~(t77)); + t79 = *((unsigned int *)t75); + t80 = (~(t79)); + t81 = *((unsigned int *)t53); + t82 = (~(t81)); + t83 = *((unsigned int *)t76); + t84 = (~(t83)); + t85 = (t78 & t80); + t86 = (t82 & t84); + t87 = (~(t85)); + t88 = (~(t86)); + t89 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t89 & t87); + t90 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t90 & t88); + t91 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t91 & t87); + t92 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t92 & t88); + goto LAB29; + +LAB30: *((unsigned int *)t93) = 1; + goto LAB33; + +LAB32: t100 = (t93 + 4); + *((unsigned int *)t93) = 1; + *((unsigned int *)t100) = 1; + goto LAB33; + +LAB34: t106 = (t0 + 1688U); + t107 = *((char **)t106); + memset(t105, 0, 8); + t106 = (t107 + 4); + t108 = *((unsigned int *)t106); + t109 = (~(t108)); + t110 = *((unsigned int *)t107); + t111 = (t110 & t109); + t112 = (t111 & 1U); + if (t112 != 0) + goto LAB40; + +LAB38: if (*((unsigned int *)t106) == 0) + goto LAB37; + +LAB39: t113 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t113) = 1; + +LAB40: t114 = (t105 + 4); + t115 = (t107 + 4); + t116 = *((unsigned int *)t107); + t117 = (~(t116)); + *((unsigned int *)t105) = t117; + *((unsigned int *)t114) = 0; + if (*((unsigned int *)t115) != 0) + goto LAB42; + +LAB41: t122 = *((unsigned int *)t105); + *((unsigned int *)t105) = (t122 & 1U); + t123 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t123 & 1U); + memset(t124, 0, 8); + t125 = (t105 + 4); + t126 = *((unsigned int *)t125); + t127 = (~(t126)); + t128 = *((unsigned int *)t105); + t129 = (t128 & t127); + t130 = (t129 & 1U); + if (t130 != 0) + goto LAB43; + +LAB44: if (*((unsigned int *)t125) != 0) + goto LAB45; + +LAB46: t133 = *((unsigned int *)t93); + t134 = *((unsigned int *)t124); + t135 = (t133 & t134); + *((unsigned int *)t132) = t135; + t136 = (t93 + 4); + t137 = (t124 + 4); + t138 = (t132 + 4); + t139 = *((unsigned int *)t136); + t140 = *((unsigned int *)t137); + t141 = (t139 | t140); + *((unsigned int *)t138) = t141; + t142 = *((unsigned int *)t138); + t143 = (t142 != 0); + if (t143 == 1) + goto LAB47; + +LAB48: +LAB49: goto LAB36; + +LAB37: *((unsigned int *)t105) = 1; + goto LAB40; + +LAB42: t118 = *((unsigned int *)t105); + t119 = *((unsigned int *)t115); + *((unsigned int *)t105) = (t118 | t119); + t120 = *((unsigned int *)t114); + t121 = *((unsigned int *)t115); + *((unsigned int *)t114) = (t120 | t121); + goto LAB41; + +LAB43: *((unsigned int *)t124) = 1; + goto LAB46; + +LAB45: t131 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t131) = 1; + goto LAB46; + +LAB47: t144 = *((unsigned int *)t132); + t145 = *((unsigned int *)t138); + *((unsigned int *)t132) = (t144 | t145); + t146 = (t93 + 4); + t147 = (t124 + 4); + t148 = *((unsigned int *)t93); + t149 = (~(t148)); + t150 = *((unsigned int *)t146); + t151 = (~(t150)); + t152 = *((unsigned int *)t124); + t153 = (~(t152)); + t154 = *((unsigned int *)t147); + t155 = (~(t154)); + t156 = (t149 & t151); + t157 = (t153 & t155); + t158 = (~(t156)); + t159 = (~(t157)); + t160 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t160 & t158); + t161 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t161 & t159); + t162 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t162 & t158); + t163 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t163 & t159); + goto LAB49; + +LAB50: *((unsigned int *)t164) = 1; + goto LAB53; + +LAB52: t171 = (t164 + 4); + *((unsigned int *)t164) = 1; + *((unsigned int *)t171) = 1; + goto LAB53; + +LAB54: t176 = (t0 + 4408U); + t177 = *((char **)t176); + memset(t178, 0, 8); + t176 = (t177 + 4); + t179 = *((unsigned int *)t176); + t180 = (~(t179)); + t181 = *((unsigned int *)t177); + t182 = (t181 & t180); + t183 = (t182 & 1U); + if (t183 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t176) != 0) + goto LAB59; + +LAB60: t186 = *((unsigned int *)t164); + t187 = *((unsigned int *)t178); + t188 = (t186 & t187); + *((unsigned int *)t185) = t188; + t189 = (t164 + 4); + t190 = (t178 + 4); + t191 = (t185 + 4); + t192 = *((unsigned int *)t189); + t193 = *((unsigned int *)t190); + t194 = (t192 | t193); + *((unsigned int *)t191) = t194; + t195 = *((unsigned int *)t191); + t196 = (t195 != 0); + if (t196 == 1) + goto LAB61; + +LAB62: +LAB63: goto LAB56; + +LAB57: *((unsigned int *)t178) = 1; + goto LAB60; + +LAB59: t184 = (t178 + 4); + *((unsigned int *)t178) = 1; + *((unsigned int *)t184) = 1; + goto LAB60; + +LAB61: t197 = *((unsigned int *)t185); + t198 = *((unsigned int *)t191); + *((unsigned int *)t185) = (t197 | t198); + t199 = (t164 + 4); + t200 = (t178 + 4); + t201 = *((unsigned int *)t164); + t202 = (~(t201)); + t203 = *((unsigned int *)t199); + t204 = (~(t203)); + t205 = *((unsigned int *)t178); + t206 = (~(t205)); + t207 = *((unsigned int *)t200); + t208 = (~(t207)); + t209 = (t202 & t204); + t210 = (t206 & t208); + t211 = (~(t209)); + t212 = (~(t210)); + t213 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t213 & t211); + t214 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t214 & t212); + t215 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t215 & t211); + t216 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t216 & t212); + goto LAB63; + +LAB64: *((unsigned int *)t3) = 1; + goto LAB67; + +LAB69: t228 = *((unsigned int *)t3); + t229 = *((unsigned int *)t225); + *((unsigned int *)t3) = (t228 | t229); + t230 = *((unsigned int *)t224); + t231 = *((unsigned int *)t225); + *((unsigned int *)t224) = (t230 | t231); + goto LAB68; + +} + +static void Cont_31_6(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t34[8]; + char t53[8]; + char t61[8]; + char t93[8]; + char t105[8]; + char t124[8]; + char t132[8]; + char t164[8]; + char t178[8]; + char t185[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t35; + char *t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + char *t113; + char *t114; + char *t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + unsigned int t123; + char *t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + char *t131; + unsigned int t133; + unsigned int t134; + unsigned int t135; + char *t136; + char *t137; + char *t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + char *t146; + char *t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + int t156; + int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + char *t165; + unsigned int t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + char *t171; + char *t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + char *t176; + char *t177; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + char *t184; + unsigned int t186; + unsigned int t187; + unsigned int t188; + char *t189; + char *t190; + char *t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + char *t199; + char *t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + int t209; + int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + char *t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + char *t223; + char *t224; + char *t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + char *t234; + char *t235; + char *t236; + char *t237; + char *t238; + unsigned int t239; + unsigned int t240; + char *t241; + unsigned int t242; + unsigned int t243; + char *t244; + unsigned int t245; + unsigned int t246; + char *t247; + +LAB0: t1 = (t0 + 8496U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(31, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t61, t22, 8); + +LAB16: memset(t93, 0, 8); + t94 = (t61 + 4); + t95 = *((unsigned int *)t94); + t96 = (~(t95)); + t97 = *((unsigned int *)t61); + t98 = (t97 & t96); + t99 = (t98 & 1U); + if (t99 != 0) + goto LAB30; + +LAB31: if (*((unsigned int *)t94) != 0) + goto LAB32; + +LAB33: t101 = (t93 + 4); + t102 = *((unsigned int *)t93); + t103 = *((unsigned int *)t101); + t104 = (t102 || t103); + if (t104 > 0) + goto LAB34; + +LAB35: memcpy(t132, t93, 8); + +LAB36: memset(t164, 0, 8); + t165 = (t132 + 4); + t166 = *((unsigned int *)t165); + t167 = (~(t166)); + t168 = *((unsigned int *)t132); + t169 = (t168 & t167); + t170 = (t169 & 1U); + if (t170 != 0) + goto LAB50; + +LAB51: if (*((unsigned int *)t165) != 0) + goto LAB52; + +LAB53: t172 = (t164 + 4); + t173 = *((unsigned int *)t164); + t174 = *((unsigned int *)t172); + t175 = (t173 || t174); + if (t175 > 0) + goto LAB54; + +LAB55: memcpy(t185, t164, 8); + +LAB56: memset(t3, 0, 8); + t217 = (t185 + 4); + t218 = *((unsigned int *)t217); + t219 = (~(t218)); + t220 = *((unsigned int *)t185); + t221 = (t220 & t219); + t222 = (t221 & 1U); + if (t222 != 0) + goto LAB67; + +LAB65: if (*((unsigned int *)t217) == 0) + goto LAB64; + +LAB66: t223 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t223) = 1; + +LAB67: t224 = (t3 + 4); + t225 = (t185 + 4); + t226 = *((unsigned int *)t185); + t227 = (~(t226)); + *((unsigned int *)t3) = t227; + *((unsigned int *)t224) = 0; + if (*((unsigned int *)t225) != 0) + goto LAB69; + +LAB68: t232 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t232 & 1U); + t233 = *((unsigned int *)t224); + *((unsigned int *)t224) = (t233 & 1U); + t234 = (t0 + 12280); + t235 = (t234 + 56U); + t236 = *((char **)t235); + t237 = (t236 + 56U); + t238 = *((char **)t237); + memset(t238, 0, 8); + t239 = 1U; + t240 = t239; + t241 = (t3 + 4); + t242 = *((unsigned int *)t3); + t239 = (t239 & t242); + t243 = *((unsigned int *)t241); + t240 = (t240 & t243); + t244 = (t238 + 4); + t245 = *((unsigned int *)t238); + *((unsigned int *)t238) = (t245 | t239); + t246 = *((unsigned int *)t244); + *((unsigned int *)t244) = (t246 | t240); + xsi_driver_vfirst_trans(t234, 0, 0); + t247 = (t0 + 11640); + *((int *)t247) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t35 = (t0 + 1368U); + t36 = *((char **)t35); + memset(t34, 0, 8); + t35 = (t36 + 4); + t37 = *((unsigned int *)t35); + t38 = (~(t37)); + t39 = *((unsigned int *)t36); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t35) == 0) + goto LAB17; + +LAB19: t42 = (t34 + 4); + *((unsigned int *)t34) = 1; + *((unsigned int *)t42) = 1; + +LAB20: t43 = (t34 + 4); + t44 = (t36 + 4); + t45 = *((unsigned int *)t36); + t46 = (~(t45)); + *((unsigned int *)t34) = t46; + *((unsigned int *)t43) = 0; + if (*((unsigned int *)t44) != 0) + goto LAB22; + +LAB21: t51 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t51 & 1U); + t52 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t52 & 1U); + memset(t53, 0, 8); + t54 = (t34 + 4); + t55 = *((unsigned int *)t54); + t56 = (~(t55)); + t57 = *((unsigned int *)t34); + t58 = (t57 & t56); + t59 = (t58 & 1U); + if (t59 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t54) != 0) + goto LAB25; + +LAB26: t62 = *((unsigned int *)t22); + t63 = *((unsigned int *)t53); + t64 = (t62 & t63); + *((unsigned int *)t61) = t64; + t65 = (t22 + 4); + t66 = (t53 + 4); + t67 = (t61 + 4); + t68 = *((unsigned int *)t65); + t69 = *((unsigned int *)t66); + t70 = (t68 | t69); + *((unsigned int *)t67) = t70; + t71 = *((unsigned int *)t67); + t72 = (t71 != 0); + if (t72 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t34) = 1; + goto LAB20; + +LAB22: t47 = *((unsigned int *)t34); + t48 = *((unsigned int *)t44); + *((unsigned int *)t34) = (t47 | t48); + t49 = *((unsigned int *)t43); + t50 = *((unsigned int *)t44); + *((unsigned int *)t43) = (t49 | t50); + goto LAB21; + +LAB23: *((unsigned int *)t53) = 1; + goto LAB26; + +LAB25: t60 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t60) = 1; + goto LAB26; + +LAB27: t73 = *((unsigned int *)t61); + t74 = *((unsigned int *)t67); + *((unsigned int *)t61) = (t73 | t74); + t75 = (t22 + 4); + t76 = (t53 + 4); + t77 = *((unsigned int *)t22); + t78 = (~(t77)); + t79 = *((unsigned int *)t75); + t80 = (~(t79)); + t81 = *((unsigned int *)t53); + t82 = (~(t81)); + t83 = *((unsigned int *)t76); + t84 = (~(t83)); + t85 = (t78 & t80); + t86 = (t82 & t84); + t87 = (~(t85)); + t88 = (~(t86)); + t89 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t89 & t87); + t90 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t90 & t88); + t91 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t91 & t87); + t92 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t92 & t88); + goto LAB29; + +LAB30: *((unsigned int *)t93) = 1; + goto LAB33; + +LAB32: t100 = (t93 + 4); + *((unsigned int *)t93) = 1; + *((unsigned int *)t100) = 1; + goto LAB33; + +LAB34: t106 = (t0 + 1848U); + t107 = *((char **)t106); + memset(t105, 0, 8); + t106 = (t107 + 4); + t108 = *((unsigned int *)t106); + t109 = (~(t108)); + t110 = *((unsigned int *)t107); + t111 = (t110 & t109); + t112 = (t111 & 1U); + if (t112 != 0) + goto LAB40; + +LAB38: if (*((unsigned int *)t106) == 0) + goto LAB37; + +LAB39: t113 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t113) = 1; + +LAB40: t114 = (t105 + 4); + t115 = (t107 + 4); + t116 = *((unsigned int *)t107); + t117 = (~(t116)); + *((unsigned int *)t105) = t117; + *((unsigned int *)t114) = 0; + if (*((unsigned int *)t115) != 0) + goto LAB42; + +LAB41: t122 = *((unsigned int *)t105); + *((unsigned int *)t105) = (t122 & 1U); + t123 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t123 & 1U); + memset(t124, 0, 8); + t125 = (t105 + 4); + t126 = *((unsigned int *)t125); + t127 = (~(t126)); + t128 = *((unsigned int *)t105); + t129 = (t128 & t127); + t130 = (t129 & 1U); + if (t130 != 0) + goto LAB43; + +LAB44: if (*((unsigned int *)t125) != 0) + goto LAB45; + +LAB46: t133 = *((unsigned int *)t93); + t134 = *((unsigned int *)t124); + t135 = (t133 & t134); + *((unsigned int *)t132) = t135; + t136 = (t93 + 4); + t137 = (t124 + 4); + t138 = (t132 + 4); + t139 = *((unsigned int *)t136); + t140 = *((unsigned int *)t137); + t141 = (t139 | t140); + *((unsigned int *)t138) = t141; + t142 = *((unsigned int *)t138); + t143 = (t142 != 0); + if (t143 == 1) + goto LAB47; + +LAB48: +LAB49: goto LAB36; + +LAB37: *((unsigned int *)t105) = 1; + goto LAB40; + +LAB42: t118 = *((unsigned int *)t105); + t119 = *((unsigned int *)t115); + *((unsigned int *)t105) = (t118 | t119); + t120 = *((unsigned int *)t114); + t121 = *((unsigned int *)t115); + *((unsigned int *)t114) = (t120 | t121); + goto LAB41; + +LAB43: *((unsigned int *)t124) = 1; + goto LAB46; + +LAB45: t131 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t131) = 1; + goto LAB46; + +LAB47: t144 = *((unsigned int *)t132); + t145 = *((unsigned int *)t138); + *((unsigned int *)t132) = (t144 | t145); + t146 = (t93 + 4); + t147 = (t124 + 4); + t148 = *((unsigned int *)t93); + t149 = (~(t148)); + t150 = *((unsigned int *)t146); + t151 = (~(t150)); + t152 = *((unsigned int *)t124); + t153 = (~(t152)); + t154 = *((unsigned int *)t147); + t155 = (~(t154)); + t156 = (t149 & t151); + t157 = (t153 & t155); + t158 = (~(t156)); + t159 = (~(t157)); + t160 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t160 & t158); + t161 = *((unsigned int *)t138); + *((unsigned int *)t138) = (t161 & t159); + t162 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t162 & t158); + t163 = *((unsigned int *)t132); + *((unsigned int *)t132) = (t163 & t159); + goto LAB49; + +LAB50: *((unsigned int *)t164) = 1; + goto LAB53; + +LAB52: t171 = (t164 + 4); + *((unsigned int *)t164) = 1; + *((unsigned int *)t171) = 1; + goto LAB53; + +LAB54: t176 = (t0 + 4408U); + t177 = *((char **)t176); + memset(t178, 0, 8); + t176 = (t177 + 4); + t179 = *((unsigned int *)t176); + t180 = (~(t179)); + t181 = *((unsigned int *)t177); + t182 = (t181 & t180); + t183 = (t182 & 1U); + if (t183 != 0) + goto LAB57; + +LAB58: if (*((unsigned int *)t176) != 0) + goto LAB59; + +LAB60: t186 = *((unsigned int *)t164); + t187 = *((unsigned int *)t178); + t188 = (t186 & t187); + *((unsigned int *)t185) = t188; + t189 = (t164 + 4); + t190 = (t178 + 4); + t191 = (t185 + 4); + t192 = *((unsigned int *)t189); + t193 = *((unsigned int *)t190); + t194 = (t192 | t193); + *((unsigned int *)t191) = t194; + t195 = *((unsigned int *)t191); + t196 = (t195 != 0); + if (t196 == 1) + goto LAB61; + +LAB62: +LAB63: goto LAB56; + +LAB57: *((unsigned int *)t178) = 1; + goto LAB60; + +LAB59: t184 = (t178 + 4); + *((unsigned int *)t178) = 1; + *((unsigned int *)t184) = 1; + goto LAB60; + +LAB61: t197 = *((unsigned int *)t185); + t198 = *((unsigned int *)t191); + *((unsigned int *)t185) = (t197 | t198); + t199 = (t164 + 4); + t200 = (t178 + 4); + t201 = *((unsigned int *)t164); + t202 = (~(t201)); + t203 = *((unsigned int *)t199); + t204 = (~(t203)); + t205 = *((unsigned int *)t178); + t206 = (~(t205)); + t207 = *((unsigned int *)t200); + t208 = (~(t207)); + t209 = (t202 & t204); + t210 = (t206 & t208); + t211 = (~(t209)); + t212 = (~(t210)); + t213 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t213 & t211); + t214 = *((unsigned int *)t191); + *((unsigned int *)t191) = (t214 & t212); + t215 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t215 & t211); + t216 = *((unsigned int *)t185); + *((unsigned int *)t185) = (t216 & t212); + goto LAB63; + +LAB64: *((unsigned int *)t3) = 1; + goto LAB67; + +LAB69: t228 = *((unsigned int *)t3); + t229 = *((unsigned int *)t225); + *((unsigned int *)t3) = (t228 | t229); + t230 = *((unsigned int *)t224); + t231 = *((unsigned int *)t225); + *((unsigned int *)t224) = (t230 | t231); + goto LAB68; + +} + +static void Cont_32_7(char *t0) +{ + char t3[8]; + char t4[8]; + char t22[8]; + char t34[8]; + char t53[8]; + char t61[8]; + char *t1; + char *t2; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t35; + char *t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + char *t43; + char *t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t60; + unsigned int t62; + unsigned int t63; + unsigned int t64; + char *t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t75; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + int t85; + int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + char *t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + char *t99; + char *t100; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + char *t112; + char *t113; + char *t114; + unsigned int t115; + unsigned int t116; + char *t117; + unsigned int t118; + unsigned int t119; + char *t120; + unsigned int t121; + unsigned int t122; + char *t123; + +LAB0: t1 = (t0 + 8744U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(32, ng0); + t2 = (t0 + 1528U); + t5 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t5 + 4); + t6 = *((unsigned int *)t2); + t7 = (~(t6)); + t8 = *((unsigned int *)t5); + t9 = (t8 & t7); + t10 = (t9 & 1U); + if (t10 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t11 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t11) = 1; + +LAB7: t12 = (t4 + 4); + t13 = (t5 + 4); + t14 = *((unsigned int *)t5); + t15 = (~(t14)); + *((unsigned int *)t4) = t15; + *((unsigned int *)t12) = 0; + if (*((unsigned int *)t13) != 0) + goto LAB9; + +LAB8: t20 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t20 & 1U); + t21 = *((unsigned int *)t12); + *((unsigned int *)t12) = (t21 & 1U); + memset(t22, 0, 8); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 & 1U); + if (t28 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t23) != 0) + goto LAB12; + +LAB13: t30 = (t22 + 4); + t31 = *((unsigned int *)t22); + t32 = *((unsigned int *)t30); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t61, t22, 8); + +LAB16: memset(t3, 0, 8); + t93 = (t61 + 4); + t94 = *((unsigned int *)t93); + t95 = (~(t94)); + t96 = *((unsigned int *)t61); + t97 = (t96 & t95); + t98 = (t97 & 1U); + if (t98 != 0) + goto LAB33; + +LAB31: if (*((unsigned int *)t93) == 0) + goto LAB30; + +LAB32: t99 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t99) = 1; + +LAB33: t100 = (t3 + 4); + t101 = (t61 + 4); + t102 = *((unsigned int *)t61); + t103 = (~(t102)); + *((unsigned int *)t3) = t103; + *((unsigned int *)t100) = 0; + if (*((unsigned int *)t101) != 0) + goto LAB35; + +LAB34: t108 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t108 & 1U); + t109 = *((unsigned int *)t100); + *((unsigned int *)t100) = (t109 & 1U); + t110 = (t0 + 12344); + t111 = (t110 + 56U); + t112 = *((char **)t111); + t113 = (t112 + 56U); + t114 = *((char **)t113); + memset(t114, 0, 8); + t115 = 1U; + t116 = t115; + t117 = (t3 + 4); + t118 = *((unsigned int *)t3); + t115 = (t115 & t118); + t119 = *((unsigned int *)t117); + t116 = (t116 & t119); + t120 = (t114 + 4); + t121 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t121 | t115); + t122 = *((unsigned int *)t120); + *((unsigned int *)t120) = (t122 | t116); + xsi_driver_vfirst_trans(t110, 0, 0); + t123 = (t0 + 11656); + *((int *)t123) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB9: t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + *((unsigned int *)t4) = (t16 | t17); + t18 = *((unsigned int *)t12); + t19 = *((unsigned int *)t13); + *((unsigned int *)t12) = (t18 | t19); + goto LAB8; + +LAB10: *((unsigned int *)t22) = 1; + goto LAB13; + +LAB12: t29 = (t22 + 4); + *((unsigned int *)t22) = 1; + *((unsigned int *)t29) = 1; + goto LAB13; + +LAB14: t35 = (t0 + 1368U); + t36 = *((char **)t35); + memset(t34, 0, 8); + t35 = (t36 + 4); + t37 = *((unsigned int *)t35); + t38 = (~(t37)); + t39 = *((unsigned int *)t36); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB20; + +LAB18: if (*((unsigned int *)t35) == 0) + goto LAB17; + +LAB19: t42 = (t34 + 4); + *((unsigned int *)t34) = 1; + *((unsigned int *)t42) = 1; + +LAB20: t43 = (t34 + 4); + t44 = (t36 + 4); + t45 = *((unsigned int *)t36); + t46 = (~(t45)); + *((unsigned int *)t34) = t46; + *((unsigned int *)t43) = 0; + if (*((unsigned int *)t44) != 0) + goto LAB22; + +LAB21: t51 = *((unsigned int *)t34); + *((unsigned int *)t34) = (t51 & 1U); + t52 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t52 & 1U); + memset(t53, 0, 8); + t54 = (t34 + 4); + t55 = *((unsigned int *)t54); + t56 = (~(t55)); + t57 = *((unsigned int *)t34); + t58 = (t57 & t56); + t59 = (t58 & 1U); + if (t59 != 0) + goto LAB23; + +LAB24: if (*((unsigned int *)t54) != 0) + goto LAB25; + +LAB26: t62 = *((unsigned int *)t22); + t63 = *((unsigned int *)t53); + t64 = (t62 & t63); + *((unsigned int *)t61) = t64; + t65 = (t22 + 4); + t66 = (t53 + 4); + t67 = (t61 + 4); + t68 = *((unsigned int *)t65); + t69 = *((unsigned int *)t66); + t70 = (t68 | t69); + *((unsigned int *)t67) = t70; + t71 = *((unsigned int *)t67); + t72 = (t71 != 0); + if (t72 == 1) + goto LAB27; + +LAB28: +LAB29: goto LAB16; + +LAB17: *((unsigned int *)t34) = 1; + goto LAB20; + +LAB22: t47 = *((unsigned int *)t34); + t48 = *((unsigned int *)t44); + *((unsigned int *)t34) = (t47 | t48); + t49 = *((unsigned int *)t43); + t50 = *((unsigned int *)t44); + *((unsigned int *)t43) = (t49 | t50); + goto LAB21; + +LAB23: *((unsigned int *)t53) = 1; + goto LAB26; + +LAB25: t60 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t60) = 1; + goto LAB26; + +LAB27: t73 = *((unsigned int *)t61); + t74 = *((unsigned int *)t67); + *((unsigned int *)t61) = (t73 | t74); + t75 = (t22 + 4); + t76 = (t53 + 4); + t77 = *((unsigned int *)t22); + t78 = (~(t77)); + t79 = *((unsigned int *)t75); + t80 = (~(t79)); + t81 = *((unsigned int *)t53); + t82 = (~(t81)); + t83 = *((unsigned int *)t76); + t84 = (~(t83)); + t85 = (t78 & t80); + t86 = (t82 & t84); + t87 = (~(t85)); + t88 = (~(t86)); + t89 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t89 & t87); + t90 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t90 & t88); + t91 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t91 & t87); + t92 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t92 & t88); + goto LAB29; + +LAB30: *((unsigned int *)t3) = 1; + goto LAB33; + +LAB35: t104 = *((unsigned int *)t3); + t105 = *((unsigned int *)t101); + *((unsigned int *)t3) = (t104 | t105); + t106 = *((unsigned int *)t100); + t107 = *((unsigned int *)t101); + *((unsigned int *)t100) = (t106 | t107); + goto LAB34; + +} + +static void Cont_34_8(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + unsigned int t17; + unsigned int t18; + char *t19; + unsigned int t20; + unsigned int t21; + char *t22; + unsigned int t23; + unsigned int t24; + char *t25; + +LAB0: t1 = (t0 + 8992U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(34, ng0); + t2 = (t0 + 1208U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t4 + 4); + t5 = (t3 + 4); + t6 = *((unsigned int *)t3); + t7 = (t6 >> 18); + t8 = (t7 & 1); + *((unsigned int *)t4) = t8; + t9 = *((unsigned int *)t5); + t10 = (t9 >> 18); + t11 = (t10 & 1); + *((unsigned int *)t2) = t11; + t12 = (t0 + 12408); + t13 = (t12 + 56U); + t14 = *((char **)t13); + t15 = (t14 + 56U); + t16 = *((char **)t15); + memset(t16, 0, 8); + t17 = 1U; + t18 = t17; + t19 = (t4 + 4); + t20 = *((unsigned int *)t4); + t17 = (t17 & t20); + t21 = *((unsigned int *)t19); + t18 = (t18 & t21); + t22 = (t16 + 4); + t23 = *((unsigned int *)t16); + *((unsigned int *)t16) = (t23 | t17); + t24 = *((unsigned int *)t22); + *((unsigned int *)t22) = (t24 | t18); + xsi_driver_vfirst_trans(t12, 11, 11); + t25 = (t0 + 11672); + *((int *)t25) = 1; + +LAB1: return; +} + +static void Cont_35_9(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + unsigned int t17; + unsigned int t18; + char *t19; + unsigned int t20; + unsigned int t21; + char *t22; + unsigned int t23; + unsigned int t24; + char *t25; + +LAB0: t1 = (t0 + 9240U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(35, ng0); + t2 = (t0 + 1208U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t4 + 4); + t5 = (t3 + 4); + t6 = *((unsigned int *)t3); + t7 = (t6 >> 20); + t8 = (t7 & 1); + *((unsigned int *)t4) = t8; + t9 = *((unsigned int *)t5); + t10 = (t9 >> 20); + t11 = (t10 & 1); + *((unsigned int *)t2) = t11; + t12 = (t0 + 12472); + t13 = (t12 + 56U); + t14 = *((char **)t13); + t15 = (t14 + 56U); + t16 = *((char **)t15); + memset(t16, 0, 8); + t17 = 1U; + t18 = t17; + t19 = (t4 + 4); + t20 = *((unsigned int *)t4); + t17 = (t17 & t20); + t21 = *((unsigned int *)t19); + t18 = (t18 & t21); + t22 = (t16 + 4); + t23 = *((unsigned int *)t16); + *((unsigned int *)t16) = (t23 | t17); + t24 = *((unsigned int *)t22); + *((unsigned int *)t22) = (t24 | t18); + xsi_driver_vfirst_trans(t12, 10, 10); + t25 = (t0 + 11688); + *((int *)t25) = 1; + +LAB1: return; +} + +static void Cont_36_10(char *t0) +{ + char t3[8]; + char t4[8]; + char t18[8]; + char t19[8]; + char t31[8]; + char t43[8]; + char t44[8]; + char t56[8]; + char *t1; + char *t2; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + char *t20; + char *t21; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + char *t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t45; + char *t46; + char *t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + char *t54; + char *t55; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + char *t65; + char *t66; + char *t67; + char *t68; + unsigned int t69; + unsigned int t70; + char *t71; + unsigned int t72; + unsigned int t73; + char *t74; + unsigned int t75; + unsigned int t76; + char *t77; + +LAB0: t1 = (t0 + 9488U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(36, ng0); + t2 = (t0 + 5448); + t5 = (t2 + 56U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t7 = (t6 + 4); + t8 = *((unsigned int *)t7); + t9 = (~(t8)); + t10 = *((unsigned int *)t6); + t11 = (t10 & t9); + t12 = (t11 & 1U); + if (t12 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t7) != 0) + goto LAB6; + +LAB7: t14 = (t4 + 4); + t15 = *((unsigned int *)t4); + t16 = *((unsigned int *)t14); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB8; + +LAB9: t39 = *((unsigned int *)t4); + t40 = (~(t39)); + t41 = *((unsigned int *)t14); + t42 = (t40 || t41); + if (t42 > 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t14) > 0) + goto LAB12; + +LAB13: if (*((unsigned int *)t4) > 0) + goto LAB14; + +LAB15: memcpy(t3, t43, 8); + +LAB16: t64 = (t0 + 12536); + t65 = (t64 + 56U); + t66 = *((char **)t65); + t67 = (t66 + 56U); + t68 = *((char **)t67); + memset(t68, 0, 8); + t69 = 1023U; + t70 = t69; + t71 = (t3 + 4); + t72 = *((unsigned int *)t3); + t69 = (t69 & t72); + t73 = *((unsigned int *)t71); + t70 = (t70 & t73); + t74 = (t68 + 4); + t75 = *((unsigned int *)t68); + *((unsigned int *)t68) = (t75 | t69); + t76 = *((unsigned int *)t74); + *((unsigned int *)t74) = (t76 | t70); + xsi_driver_vfirst_trans(t64, 0, 9); + t77 = (t0 + 11704); + *((int *)t77) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t13 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t13) = 1; + goto LAB7; + +LAB8: t20 = (t0 + 1208U); + t21 = *((char **)t20); + memset(t19, 0, 8); + t20 = (t19 + 4); + t22 = (t21 + 4); + t23 = *((unsigned int *)t21); + t24 = (t23 >> 0); + *((unsigned int *)t19) = t24; + t25 = *((unsigned int *)t22); + t26 = (t25 >> 0); + *((unsigned int *)t20) = t26; + t27 = *((unsigned int *)t19); + *((unsigned int *)t19) = (t27 & 511U); + t28 = *((unsigned int *)t20); + *((unsigned int *)t20) = (t28 & 511U); + t29 = (t0 + 1208U); + t30 = *((char **)t29); + memset(t31, 0, 8); + t29 = (t31 + 4); + t32 = (t30 + 4); + t33 = *((unsigned int *)t30); + t34 = (t33 >> 19); + t35 = (t34 & 1); + *((unsigned int *)t31) = t35; + t36 = *((unsigned int *)t32); + t37 = (t36 >> 19); + t38 = (t37 & 1); + *((unsigned int *)t29) = t38; + xsi_vlogtype_concat(t18, 10, 10, 2U, t31, 1, t19, 9); + goto LAB9; + +LAB10: t45 = (t0 + 1208U); + t46 = *((char **)t45); + memset(t44, 0, 8); + t45 = (t44 + 4); + t47 = (t46 + 4); + t48 = *((unsigned int *)t46); + t49 = (t48 >> 9); + *((unsigned int *)t44) = t49; + t50 = *((unsigned int *)t47); + t51 = (t50 >> 9); + *((unsigned int *)t45) = t51; + t52 = *((unsigned int *)t44); + *((unsigned int *)t44) = (t52 & 511U); + t53 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t53 & 511U); + t54 = (t0 + 1208U); + t55 = *((char **)t54); + memset(t56, 0, 8); + t54 = (t56 + 4); + t57 = (t55 + 4); + t58 = *((unsigned int *)t55); + t59 = (t58 >> 18); + t60 = (t59 & 1); + *((unsigned int *)t56) = t60; + t61 = *((unsigned int *)t57); + t62 = (t61 >> 18); + t63 = (t62 & 1); + *((unsigned int *)t54) = t63; + xsi_vlogtype_concat(t43, 10, 10, 2U, t56, 1, t44, 9); + goto LAB11; + +LAB12: xsi_vlog_unsigned_bit_combine(t3, 10, t18, 10, t43, 10); + goto LAB16; + +LAB14: memcpy(t3, t18, 8); + goto LAB16; + +} + +static void Always_38_11(char *t0) +{ + char t4[8]; + char t31[8]; + char t36[8]; + char t43[8]; + char t75[8]; + char t89[8]; + char t96[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + char *t95; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + int t120; + int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + char *t134; + char *t135; + +LAB0: t1 = (t0 + 9736U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(38, ng0); + t2 = (t0 + 11720); + *((int *)t2) = 1; + t3 = (t0 + 9768); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(38, ng0); + +LAB5: xsi_set_current_line(39, ng0); + t5 = (t0 + 2008U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(40, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t5 = *((char **)t3); + t6 = ((char*)((ng1))); + memset(t4, 0, 8); + t12 = (t5 + 4); + t13 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = *((unsigned int *)t6); + t9 = (t7 ^ t8); + t10 = *((unsigned int *)t12); + t11 = *((unsigned int *)t13); + t15 = (t10 ^ t11); + t16 = (t9 | t15); + t17 = *((unsigned int *)t12); + t18 = *((unsigned int *)t13); + t19 = (t17 | t18); + t20 = (~(t19)); + t21 = (t16 & t20); + if (t21 != 0) + goto LAB18; + +LAB15: if (t19 != 0) + goto LAB17; + +LAB16: *((unsigned int *)t4) = 1; + +LAB18: memset(t31, 0, 8); + t23 = (t4 + 4); + t22 = *((unsigned int *)t23); + t24 = (~(t22)); + t25 = *((unsigned int *)t4); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t23) != 0) + goto LAB21; + +LAB22: t30 = (t31 + 4); + t28 = *((unsigned int *)t31); + t32 = *((unsigned int *)t30); + t33 = (t28 || t32); + if (t33 > 0) + goto LAB23; + +LAB24: memcpy(t43, t31, 8); + +LAB25: memset(t75, 0, 8); + t76 = (t43 + 4); + t77 = *((unsigned int *)t76); + t78 = (~(t77)); + t79 = *((unsigned int *)t43); + t80 = (t79 & t78); + t81 = (t80 & 1U); + if (t81 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t76) != 0) + goto LAB35; + +LAB36: t83 = (t75 + 4); + t84 = *((unsigned int *)t75); + t85 = *((unsigned int *)t83); + t86 = (t84 || t85); + if (t86 > 0) + goto LAB37; + +LAB38: memcpy(t96, t75, 8); + +LAB39: t128 = (t96 + 4); + t129 = *((unsigned int *)t128); + t130 = (~(t129)); + t131 = *((unsigned int *)t96); + t132 = (t131 & t130); + t133 = (t132 != 0); + if (t133 > 0) + goto LAB47; + +LAB48: +LAB49: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(39, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + goto LAB14; + +LAB17: t14 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t14) = 1; + goto LAB18; + +LAB19: *((unsigned int *)t31) = 1; + goto LAB22; + +LAB21: t29 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t29) = 1; + goto LAB22; + +LAB23: t34 = (t0 + 2008U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t34) != 0) + goto LAB28; + +LAB29: t44 = *((unsigned int *)t31); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t31 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB30; + +LAB31: +LAB32: goto LAB25; + +LAB26: *((unsigned int *)t36) = 1; + goto LAB29; + +LAB28: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB29; + +LAB30: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t31 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t31); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB32; + +LAB33: *((unsigned int *)t75) = 1; + goto LAB36; + +LAB35: t82 = (t75 + 4); + *((unsigned int *)t75) = 1; + *((unsigned int *)t82) = 1; + goto LAB36; + +LAB37: t87 = (t0 + 2168U); + t88 = *((char **)t87); + memset(t89, 0, 8); + t87 = (t88 + 4); + t90 = *((unsigned int *)t87); + t91 = (~(t90)); + t92 = *((unsigned int *)t88); + t93 = (t92 & t91); + t94 = (t93 & 1U); + if (t94 != 0) + goto LAB40; + +LAB41: if (*((unsigned int *)t87) != 0) + goto LAB42; + +LAB43: t97 = *((unsigned int *)t75); + t98 = *((unsigned int *)t89); + t99 = (t97 & t98); + *((unsigned int *)t96) = t99; + t100 = (t75 + 4); + t101 = (t89 + 4); + t102 = (t96 + 4); + t103 = *((unsigned int *)t100); + t104 = *((unsigned int *)t101); + t105 = (t103 | t104); + *((unsigned int *)t102) = t105; + t106 = *((unsigned int *)t102); + t107 = (t106 != 0); + if (t107 == 1) + goto LAB44; + +LAB45: +LAB46: goto LAB39; + +LAB40: *((unsigned int *)t89) = 1; + goto LAB43; + +LAB42: t95 = (t89 + 4); + *((unsigned int *)t89) = 1; + *((unsigned int *)t95) = 1; + goto LAB43; + +LAB44: t108 = *((unsigned int *)t96); + t109 = *((unsigned int *)t102); + *((unsigned int *)t96) = (t108 | t109); + t110 = (t75 + 4); + t111 = (t89 + 4); + t112 = *((unsigned int *)t75); + t113 = (~(t112)); + t114 = *((unsigned int *)t110); + t115 = (~(t114)); + t116 = *((unsigned int *)t89); + t117 = (~(t116)); + t118 = *((unsigned int *)t111); + t119 = (~(t118)); + t120 = (t113 & t115); + t121 = (t117 & t119); + t122 = (~(t120)); + t123 = (~(t121)); + t124 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t124 & t122); + t125 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t125 & t123); + t126 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t126 & t122); + t127 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t127 & t123); + goto LAB46; + +LAB47: xsi_set_current_line(40, ng0); + t134 = ((char*)((ng2))); + t135 = (t0 + 5128); + xsi_vlogvar_wait_assign_value(t135, t134, 0, 0, 1, 0LL); + goto LAB49; + +} + +static void Always_42_12(char *t0) +{ + char t4[8]; + char t31[8]; + char t36[8]; + char t43[8]; + char t75[8]; + char t89[8]; + char t96[8]; + char t128[8]; + char t143[8]; + char t151[8]; + char t183[8]; + char t197[8]; + char t204[8]; + char t236[8]; + char t253[8]; + char t269[8]; + char t283[8]; + char t290[8]; + char t322[8]; + char t336[8]; + char t343[8]; + char t375[8]; + char t390[8]; + char t398[8]; + char t430[8]; + char t438[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t42; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + char *t48; + char *t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + char *t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + unsigned int t94; + char *t95; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t100; + char *t101; + char *t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + char *t110; + char *t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + int t120; + int t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + char *t135; + char *t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + char *t140; + char *t141; + char *t142; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + char *t150; + unsigned int t152; + unsigned int t153; + unsigned int t154; + char *t155; + char *t156; + char *t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + char *t165; + char *t166; + unsigned int t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + unsigned int t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + int t175; + int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + char *t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + char *t190; + char *t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + char *t195; + char *t196; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + char *t203; + unsigned int t205; + unsigned int t206; + unsigned int t207; + char *t208; + char *t209; + char *t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + char *t218; + char *t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + int t228; + int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + char *t237; + unsigned int t238; + unsigned int t239; + unsigned int t240; + unsigned int t241; + unsigned int t242; + char *t243; + char *t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + unsigned int t248; + char *t249; + char *t250; + char *t251; + char *t252; + char *t254; + char *t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + char *t268; + char *t270; + unsigned int t271; + unsigned int t272; + unsigned int t273; + unsigned int t274; + unsigned int t275; + char *t276; + char *t277; + unsigned int t278; + unsigned int t279; + unsigned int t280; + char *t281; + char *t282; + unsigned int t284; + unsigned int t285; + unsigned int t286; + unsigned int t287; + unsigned int t288; + char *t289; + unsigned int t291; + unsigned int t292; + unsigned int t293; + char *t294; + char *t295; + char *t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + unsigned int t300; + unsigned int t301; + unsigned int t302; + unsigned int t303; + char *t304; + char *t305; + unsigned int t306; + unsigned int t307; + unsigned int t308; + unsigned int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + int t314; + int t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + unsigned int t319; + unsigned int t320; + unsigned int t321; + char *t323; + unsigned int t324; + unsigned int t325; + unsigned int t326; + unsigned int t327; + unsigned int t328; + char *t329; + char *t330; + unsigned int t331; + unsigned int t332; + unsigned int t333; + char *t334; + char *t335; + unsigned int t337; + unsigned int t338; + unsigned int t339; + unsigned int t340; + unsigned int t341; + char *t342; + unsigned int t344; + unsigned int t345; + unsigned int t346; + char *t347; + char *t348; + char *t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + unsigned int t354; + unsigned int t355; + unsigned int t356; + char *t357; + char *t358; + unsigned int t359; + unsigned int t360; + unsigned int t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + int t367; + int t368; + unsigned int t369; + unsigned int t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + unsigned int t374; + char *t376; + unsigned int t377; + unsigned int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + char *t382; + char *t383; + unsigned int t384; + unsigned int t385; + unsigned int t386; + char *t387; + char *t388; + char *t389; + char *t391; + unsigned int t392; + unsigned int t393; + unsigned int t394; + unsigned int t395; + unsigned int t396; + char *t397; + unsigned int t399; + unsigned int t400; + unsigned int t401; + char *t402; + char *t403; + char *t404; + unsigned int t405; + unsigned int t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + char *t412; + char *t413; + unsigned int t414; + unsigned int t415; + unsigned int t416; + unsigned int t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + int t422; + int t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + unsigned int t429; + char *t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + unsigned int t436; + char *t437; + unsigned int t439; + unsigned int t440; + unsigned int t441; + char *t442; + char *t443; + char *t444; + unsigned int t445; + unsigned int t446; + unsigned int t447; + unsigned int t448; + unsigned int t449; + unsigned int t450; + unsigned int t451; + char *t452; + char *t453; + unsigned int t454; + unsigned int t455; + unsigned int t456; + int t457; + unsigned int t458; + unsigned int t459; + unsigned int t460; + int t461; + unsigned int t462; + unsigned int t463; + unsigned int t464; + unsigned int t465; + char *t466; + unsigned int t467; + unsigned int t468; + unsigned int t469; + unsigned int t470; + unsigned int t471; + char *t472; + char *t473; + +LAB0: t1 = (t0 + 9984U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(42, ng0); + t2 = (t0 + 11736); + *((int *)t2) = 1; + t3 = (t0 + 10016); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(42, ng0); + +LAB5: xsi_set_current_line(43, ng0); + t5 = (t0 + 2008U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(44, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t5 = *((char **)t3); + t6 = ((char*)((ng1))); + memset(t4, 0, 8); + t12 = (t5 + 4); + t13 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = *((unsigned int *)t6); + t9 = (t7 ^ t8); + t10 = *((unsigned int *)t12); + t11 = *((unsigned int *)t13); + t15 = (t10 ^ t11); + t16 = (t9 | t15); + t17 = *((unsigned int *)t12); + t18 = *((unsigned int *)t13); + t19 = (t17 | t18); + t20 = (~(t19)); + t21 = (t16 & t20); + if (t21 != 0) + goto LAB18; + +LAB15: if (t19 != 0) + goto LAB17; + +LAB16: *((unsigned int *)t4) = 1; + +LAB18: memset(t31, 0, 8); + t23 = (t4 + 4); + t22 = *((unsigned int *)t23); + t24 = (~(t22)); + t25 = *((unsigned int *)t4); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t23) != 0) + goto LAB21; + +LAB22: t30 = (t31 + 4); + t28 = *((unsigned int *)t31); + t32 = *((unsigned int *)t30); + t33 = (t28 || t32); + if (t33 > 0) + goto LAB23; + +LAB24: memcpy(t43, t31, 8); + +LAB25: memset(t75, 0, 8); + t76 = (t43 + 4); + t77 = *((unsigned int *)t76); + t78 = (~(t77)); + t79 = *((unsigned int *)t43); + t80 = (t79 & t78); + t81 = (t80 & 1U); + if (t81 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t76) != 0) + goto LAB35; + +LAB36: t83 = (t75 + 4); + t84 = *((unsigned int *)t75); + t85 = *((unsigned int *)t83); + t86 = (t84 || t85); + if (t86 > 0) + goto LAB37; + +LAB38: memcpy(t96, t75, 8); + +LAB39: memset(t128, 0, 8); + t129 = (t96 + 4); + t130 = *((unsigned int *)t129); + t131 = (~(t130)); + t132 = *((unsigned int *)t96); + t133 = (t132 & t131); + t134 = (t133 & 1U); + if (t134 != 0) + goto LAB47; + +LAB48: if (*((unsigned int *)t129) != 0) + goto LAB49; + +LAB50: t136 = (t128 + 4); + t137 = *((unsigned int *)t128); + t138 = *((unsigned int *)t136); + t139 = (t137 || t138); + if (t139 > 0) + goto LAB51; + +LAB52: memcpy(t151, t128, 8); + +LAB53: memset(t183, 0, 8); + t184 = (t151 + 4); + t185 = *((unsigned int *)t184); + t186 = (~(t185)); + t187 = *((unsigned int *)t151); + t188 = (t187 & t186); + t189 = (t188 & 1U); + if (t189 != 0) + goto LAB61; + +LAB62: if (*((unsigned int *)t184) != 0) + goto LAB63; + +LAB64: t191 = (t183 + 4); + t192 = *((unsigned int *)t183); + t193 = *((unsigned int *)t191); + t194 = (t192 || t193); + if (t194 > 0) + goto LAB65; + +LAB66: memcpy(t204, t183, 8); + +LAB67: memset(t236, 0, 8); + t237 = (t204 + 4); + t238 = *((unsigned int *)t237); + t239 = (~(t238)); + t240 = *((unsigned int *)t204); + t241 = (t240 & t239); + t242 = (t241 & 1U); + if (t242 != 0) + goto LAB75; + +LAB76: if (*((unsigned int *)t237) != 0) + goto LAB77; + +LAB78: t244 = (t236 + 4); + t245 = *((unsigned int *)t236); + t246 = (!(t245)); + t247 = *((unsigned int *)t244); + t248 = (t246 || t247); + if (t248 > 0) + goto LAB79; + +LAB80: memcpy(t438, t236, 8); + +LAB81: t466 = (t438 + 4); + t467 = *((unsigned int *)t466); + t468 = (~(t467)); + t469 = *((unsigned int *)t438); + t470 = (t469 & t468); + t471 = (t470 != 0); + if (t471 > 0) + goto LAB135; + +LAB136: +LAB137: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(43, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 5768); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + goto LAB14; + +LAB17: t14 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t14) = 1; + goto LAB18; + +LAB19: *((unsigned int *)t31) = 1; + goto LAB22; + +LAB21: t29 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t29) = 1; + goto LAB22; + +LAB23: t34 = (t0 + 2008U); + t35 = *((char **)t34); + memset(t36, 0, 8); + t34 = (t35 + 4); + t37 = *((unsigned int *)t34); + t38 = (~(t37)); + t39 = *((unsigned int *)t35); + t40 = (t39 & t38); + t41 = (t40 & 1U); + if (t41 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t34) != 0) + goto LAB28; + +LAB29: t44 = *((unsigned int *)t31); + t45 = *((unsigned int *)t36); + t46 = (t44 & t45); + *((unsigned int *)t43) = t46; + t47 = (t31 + 4); + t48 = (t36 + 4); + t49 = (t43 + 4); + t50 = *((unsigned int *)t47); + t51 = *((unsigned int *)t48); + t52 = (t50 | t51); + *((unsigned int *)t49) = t52; + t53 = *((unsigned int *)t49); + t54 = (t53 != 0); + if (t54 == 1) + goto LAB30; + +LAB31: +LAB32: goto LAB25; + +LAB26: *((unsigned int *)t36) = 1; + goto LAB29; + +LAB28: t42 = (t36 + 4); + *((unsigned int *)t36) = 1; + *((unsigned int *)t42) = 1; + goto LAB29; + +LAB30: t55 = *((unsigned int *)t43); + t56 = *((unsigned int *)t49); + *((unsigned int *)t43) = (t55 | t56); + t57 = (t31 + 4); + t58 = (t36 + 4); + t59 = *((unsigned int *)t31); + t60 = (~(t59)); + t61 = *((unsigned int *)t57); + t62 = (~(t61)); + t63 = *((unsigned int *)t36); + t64 = (~(t63)); + t65 = *((unsigned int *)t58); + t66 = (~(t65)); + t67 = (t60 & t62); + t68 = (t64 & t66); + t69 = (~(t67)); + t70 = (~(t68)); + t71 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t71 & t69); + t72 = *((unsigned int *)t49); + *((unsigned int *)t49) = (t72 & t70); + t73 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t73 & t69); + t74 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t74 & t70); + goto LAB32; + +LAB33: *((unsigned int *)t75) = 1; + goto LAB36; + +LAB35: t82 = (t75 + 4); + *((unsigned int *)t75) = 1; + *((unsigned int *)t82) = 1; + goto LAB36; + +LAB37: t87 = (t0 + 2808U); + t88 = *((char **)t87); + memset(t89, 0, 8); + t87 = (t88 + 4); + t90 = *((unsigned int *)t87); + t91 = (~(t90)); + t92 = *((unsigned int *)t88); + t93 = (t92 & t91); + t94 = (t93 & 1U); + if (t94 != 0) + goto LAB40; + +LAB41: if (*((unsigned int *)t87) != 0) + goto LAB42; + +LAB43: t97 = *((unsigned int *)t75); + t98 = *((unsigned int *)t89); + t99 = (t97 & t98); + *((unsigned int *)t96) = t99; + t100 = (t75 + 4); + t101 = (t89 + 4); + t102 = (t96 + 4); + t103 = *((unsigned int *)t100); + t104 = *((unsigned int *)t101); + t105 = (t103 | t104); + *((unsigned int *)t102) = t105; + t106 = *((unsigned int *)t102); + t107 = (t106 != 0); + if (t107 == 1) + goto LAB44; + +LAB45: +LAB46: goto LAB39; + +LAB40: *((unsigned int *)t89) = 1; + goto LAB43; + +LAB42: t95 = (t89 + 4); + *((unsigned int *)t89) = 1; + *((unsigned int *)t95) = 1; + goto LAB43; + +LAB44: t108 = *((unsigned int *)t96); + t109 = *((unsigned int *)t102); + *((unsigned int *)t96) = (t108 | t109); + t110 = (t75 + 4); + t111 = (t89 + 4); + t112 = *((unsigned int *)t75); + t113 = (~(t112)); + t114 = *((unsigned int *)t110); + t115 = (~(t114)); + t116 = *((unsigned int *)t89); + t117 = (~(t116)); + t118 = *((unsigned int *)t111); + t119 = (~(t118)); + t120 = (t113 & t115); + t121 = (t117 & t119); + t122 = (~(t120)); + t123 = (~(t121)); + t124 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t124 & t122); + t125 = *((unsigned int *)t102); + *((unsigned int *)t102) = (t125 & t123); + t126 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t126 & t122); + t127 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t127 & t123); + goto LAB46; + +LAB47: *((unsigned int *)t128) = 1; + goto LAB50; + +LAB49: t135 = (t128 + 4); + *((unsigned int *)t128) = 1; + *((unsigned int *)t135) = 1; + goto LAB50; + +LAB51: t140 = (t0 + 5128); + t141 = (t140 + 56U); + t142 = *((char **)t141); + memset(t143, 0, 8); + t144 = (t142 + 4); + t145 = *((unsigned int *)t144); + t146 = (~(t145)); + t147 = *((unsigned int *)t142); + t148 = (t147 & t146); + t149 = (t148 & 1U); + if (t149 != 0) + goto LAB54; + +LAB55: if (*((unsigned int *)t144) != 0) + goto LAB56; + +LAB57: t152 = *((unsigned int *)t128); + t153 = *((unsigned int *)t143); + t154 = (t152 & t153); + *((unsigned int *)t151) = t154; + t155 = (t128 + 4); + t156 = (t143 + 4); + t157 = (t151 + 4); + t158 = *((unsigned int *)t155); + t159 = *((unsigned int *)t156); + t160 = (t158 | t159); + *((unsigned int *)t157) = t160; + t161 = *((unsigned int *)t157); + t162 = (t161 != 0); + if (t162 == 1) + goto LAB58; + +LAB59: +LAB60: goto LAB53; + +LAB54: *((unsigned int *)t143) = 1; + goto LAB57; + +LAB56: t150 = (t143 + 4); + *((unsigned int *)t143) = 1; + *((unsigned int *)t150) = 1; + goto LAB57; + +LAB58: t163 = *((unsigned int *)t151); + t164 = *((unsigned int *)t157); + *((unsigned int *)t151) = (t163 | t164); + t165 = (t128 + 4); + t166 = (t143 + 4); + t167 = *((unsigned int *)t128); + t168 = (~(t167)); + t169 = *((unsigned int *)t165); + t170 = (~(t169)); + t171 = *((unsigned int *)t143); + t172 = (~(t171)); + t173 = *((unsigned int *)t166); + t174 = (~(t173)); + t175 = (t168 & t170); + t176 = (t172 & t174); + t177 = (~(t175)); + t178 = (~(t176)); + t179 = *((unsigned int *)t157); + *((unsigned int *)t157) = (t179 & t177); + t180 = *((unsigned int *)t157); + *((unsigned int *)t157) = (t180 & t178); + t181 = *((unsigned int *)t151); + *((unsigned int *)t151) = (t181 & t177); + t182 = *((unsigned int *)t151); + *((unsigned int *)t151) = (t182 & t178); + goto LAB60; + +LAB61: *((unsigned int *)t183) = 1; + goto LAB64; + +LAB63: t190 = (t183 + 4); + *((unsigned int *)t183) = 1; + *((unsigned int *)t190) = 1; + goto LAB64; + +LAB65: t195 = (t0 + 2168U); + t196 = *((char **)t195); + memset(t197, 0, 8); + t195 = (t196 + 4); + t198 = *((unsigned int *)t195); + t199 = (~(t198)); + t200 = *((unsigned int *)t196); + t201 = (t200 & t199); + t202 = (t201 & 1U); + if (t202 != 0) + goto LAB68; + +LAB69: if (*((unsigned int *)t195) != 0) + goto LAB70; + +LAB71: t205 = *((unsigned int *)t183); + t206 = *((unsigned int *)t197); + t207 = (t205 & t206); + *((unsigned int *)t204) = t207; + t208 = (t183 + 4); + t209 = (t197 + 4); + t210 = (t204 + 4); + t211 = *((unsigned int *)t208); + t212 = *((unsigned int *)t209); + t213 = (t211 | t212); + *((unsigned int *)t210) = t213; + t214 = *((unsigned int *)t210); + t215 = (t214 != 0); + if (t215 == 1) + goto LAB72; + +LAB73: +LAB74: goto LAB67; + +LAB68: *((unsigned int *)t197) = 1; + goto LAB71; + +LAB70: t203 = (t197 + 4); + *((unsigned int *)t197) = 1; + *((unsigned int *)t203) = 1; + goto LAB71; + +LAB72: t216 = *((unsigned int *)t204); + t217 = *((unsigned int *)t210); + *((unsigned int *)t204) = (t216 | t217); + t218 = (t183 + 4); + t219 = (t197 + 4); + t220 = *((unsigned int *)t183); + t221 = (~(t220)); + t222 = *((unsigned int *)t218); + t223 = (~(t222)); + t224 = *((unsigned int *)t197); + t225 = (~(t224)); + t226 = *((unsigned int *)t219); + t227 = (~(t226)); + t228 = (t221 & t223); + t229 = (t225 & t227); + t230 = (~(t228)); + t231 = (~(t229)); + t232 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t232 & t230); + t233 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t233 & t231); + t234 = *((unsigned int *)t204); + *((unsigned int *)t204) = (t234 & t230); + t235 = *((unsigned int *)t204); + *((unsigned int *)t204) = (t235 & t231); + goto LAB74; + +LAB75: *((unsigned int *)t236) = 1; + goto LAB78; + +LAB77: t243 = (t236 + 4); + *((unsigned int *)t236) = 1; + *((unsigned int *)t243) = 1; + goto LAB78; + +LAB79: t249 = (t0 + 4968); + t250 = (t249 + 56U); + t251 = *((char **)t250); + t252 = ((char*)((ng3))); + memset(t253, 0, 8); + t254 = (t251 + 4); + t255 = (t252 + 4); + t256 = *((unsigned int *)t251); + t257 = *((unsigned int *)t252); + t258 = (t256 ^ t257); + t259 = *((unsigned int *)t254); + t260 = *((unsigned int *)t255); + t261 = (t259 ^ t260); + t262 = (t258 | t261); + t263 = *((unsigned int *)t254); + t264 = *((unsigned int *)t255); + t265 = (t263 | t264); + t266 = (~(t265)); + t267 = (t262 & t266); + if (t267 != 0) + goto LAB85; + +LAB82: if (t265 != 0) + goto LAB84; + +LAB83: *((unsigned int *)t253) = 1; + +LAB85: memset(t269, 0, 8); + t270 = (t253 + 4); + t271 = *((unsigned int *)t270); + t272 = (~(t271)); + t273 = *((unsigned int *)t253); + t274 = (t273 & t272); + t275 = (t274 & 1U); + if (t275 != 0) + goto LAB86; + +LAB87: if (*((unsigned int *)t270) != 0) + goto LAB88; + +LAB89: t277 = (t269 + 4); + t278 = *((unsigned int *)t269); + t279 = *((unsigned int *)t277); + t280 = (t278 || t279); + if (t280 > 0) + goto LAB90; + +LAB91: memcpy(t290, t269, 8); + +LAB92: memset(t322, 0, 8); + t323 = (t290 + 4); + t324 = *((unsigned int *)t323); + t325 = (~(t324)); + t326 = *((unsigned int *)t290); + t327 = (t326 & t325); + t328 = (t327 & 1U); + if (t328 != 0) + goto LAB100; + +LAB101: if (*((unsigned int *)t323) != 0) + goto LAB102; + +LAB103: t330 = (t322 + 4); + t331 = *((unsigned int *)t322); + t332 = *((unsigned int *)t330); + t333 = (t331 || t332); + if (t333 > 0) + goto LAB104; + +LAB105: memcpy(t343, t322, 8); + +LAB106: memset(t375, 0, 8); + t376 = (t343 + 4); + t377 = *((unsigned int *)t376); + t378 = (~(t377)); + t379 = *((unsigned int *)t343); + t380 = (t379 & t378); + t381 = (t380 & 1U); + if (t381 != 0) + goto LAB114; + +LAB115: if (*((unsigned int *)t376) != 0) + goto LAB116; + +LAB117: t383 = (t375 + 4); + t384 = *((unsigned int *)t375); + t385 = *((unsigned int *)t383); + t386 = (t384 || t385); + if (t386 > 0) + goto LAB118; + +LAB119: memcpy(t398, t375, 8); + +LAB120: memset(t430, 0, 8); + t431 = (t398 + 4); + t432 = *((unsigned int *)t431); + t433 = (~(t432)); + t434 = *((unsigned int *)t398); + t435 = (t434 & t433); + t436 = (t435 & 1U); + if (t436 != 0) + goto LAB128; + +LAB129: if (*((unsigned int *)t431) != 0) + goto LAB130; + +LAB131: t439 = *((unsigned int *)t236); + t440 = *((unsigned int *)t430); + t441 = (t439 | t440); + *((unsigned int *)t438) = t441; + t442 = (t236 + 4); + t443 = (t430 + 4); + t444 = (t438 + 4); + t445 = *((unsigned int *)t442); + t446 = *((unsigned int *)t443); + t447 = (t445 | t446); + *((unsigned int *)t444) = t447; + t448 = *((unsigned int *)t444); + t449 = (t448 != 0); + if (t449 == 1) + goto LAB132; + +LAB133: +LAB134: goto LAB81; + +LAB84: t268 = (t253 + 4); + *((unsigned int *)t253) = 1; + *((unsigned int *)t268) = 1; + goto LAB85; + +LAB86: *((unsigned int *)t269) = 1; + goto LAB89; + +LAB88: t276 = (t269 + 4); + *((unsigned int *)t269) = 1; + *((unsigned int *)t276) = 1; + goto LAB89; + +LAB90: t281 = (t0 + 2008U); + t282 = *((char **)t281); + memset(t283, 0, 8); + t281 = (t282 + 4); + t284 = *((unsigned int *)t281); + t285 = (~(t284)); + t286 = *((unsigned int *)t282); + t287 = (t286 & t285); + t288 = (t287 & 1U); + if (t288 != 0) + goto LAB93; + +LAB94: if (*((unsigned int *)t281) != 0) + goto LAB95; + +LAB96: t291 = *((unsigned int *)t269); + t292 = *((unsigned int *)t283); + t293 = (t291 & t292); + *((unsigned int *)t290) = t293; + t294 = (t269 + 4); + t295 = (t283 + 4); + t296 = (t290 + 4); + t297 = *((unsigned int *)t294); + t298 = *((unsigned int *)t295); + t299 = (t297 | t298); + *((unsigned int *)t296) = t299; + t300 = *((unsigned int *)t296); + t301 = (t300 != 0); + if (t301 == 1) + goto LAB97; + +LAB98: +LAB99: goto LAB92; + +LAB93: *((unsigned int *)t283) = 1; + goto LAB96; + +LAB95: t289 = (t283 + 4); + *((unsigned int *)t283) = 1; + *((unsigned int *)t289) = 1; + goto LAB96; + +LAB97: t302 = *((unsigned int *)t290); + t303 = *((unsigned int *)t296); + *((unsigned int *)t290) = (t302 | t303); + t304 = (t269 + 4); + t305 = (t283 + 4); + t306 = *((unsigned int *)t269); + t307 = (~(t306)); + t308 = *((unsigned int *)t304); + t309 = (~(t308)); + t310 = *((unsigned int *)t283); + t311 = (~(t310)); + t312 = *((unsigned int *)t305); + t313 = (~(t312)); + t314 = (t307 & t309); + t315 = (t311 & t313); + t316 = (~(t314)); + t317 = (~(t315)); + t318 = *((unsigned int *)t296); + *((unsigned int *)t296) = (t318 & t316); + t319 = *((unsigned int *)t296); + *((unsigned int *)t296) = (t319 & t317); + t320 = *((unsigned int *)t290); + *((unsigned int *)t290) = (t320 & t316); + t321 = *((unsigned int *)t290); + *((unsigned int *)t290) = (t321 & t317); + goto LAB99; + +LAB100: *((unsigned int *)t322) = 1; + goto LAB103; + +LAB102: t329 = (t322 + 4); + *((unsigned int *)t322) = 1; + *((unsigned int *)t329) = 1; + goto LAB103; + +LAB104: t334 = (t0 + 2808U); + t335 = *((char **)t334); + memset(t336, 0, 8); + t334 = (t335 + 4); + t337 = *((unsigned int *)t334); + t338 = (~(t337)); + t339 = *((unsigned int *)t335); + t340 = (t339 & t338); + t341 = (t340 & 1U); + if (t341 != 0) + goto LAB107; + +LAB108: if (*((unsigned int *)t334) != 0) + goto LAB109; + +LAB110: t344 = *((unsigned int *)t322); + t345 = *((unsigned int *)t336); + t346 = (t344 & t345); + *((unsigned int *)t343) = t346; + t347 = (t322 + 4); + t348 = (t336 + 4); + t349 = (t343 + 4); + t350 = *((unsigned int *)t347); + t351 = *((unsigned int *)t348); + t352 = (t350 | t351); + *((unsigned int *)t349) = t352; + t353 = *((unsigned int *)t349); + t354 = (t353 != 0); + if (t354 == 1) + goto LAB111; + +LAB112: +LAB113: goto LAB106; + +LAB107: *((unsigned int *)t336) = 1; + goto LAB110; + +LAB109: t342 = (t336 + 4); + *((unsigned int *)t336) = 1; + *((unsigned int *)t342) = 1; + goto LAB110; + +LAB111: t355 = *((unsigned int *)t343); + t356 = *((unsigned int *)t349); + *((unsigned int *)t343) = (t355 | t356); + t357 = (t322 + 4); + t358 = (t336 + 4); + t359 = *((unsigned int *)t322); + t360 = (~(t359)); + t361 = *((unsigned int *)t357); + t362 = (~(t361)); + t363 = *((unsigned int *)t336); + t364 = (~(t363)); + t365 = *((unsigned int *)t358); + t366 = (~(t365)); + t367 = (t360 & t362); + t368 = (t364 & t366); + t369 = (~(t367)); + t370 = (~(t368)); + t371 = *((unsigned int *)t349); + *((unsigned int *)t349) = (t371 & t369); + t372 = *((unsigned int *)t349); + *((unsigned int *)t349) = (t372 & t370); + t373 = *((unsigned int *)t343); + *((unsigned int *)t343) = (t373 & t369); + t374 = *((unsigned int *)t343); + *((unsigned int *)t343) = (t374 & t370); + goto LAB113; + +LAB114: *((unsigned int *)t375) = 1; + goto LAB117; + +LAB116: t382 = (t375 + 4); + *((unsigned int *)t375) = 1; + *((unsigned int *)t382) = 1; + goto LAB117; + +LAB118: t387 = (t0 + 5128); + t388 = (t387 + 56U); + t389 = *((char **)t388); + memset(t390, 0, 8); + t391 = (t389 + 4); + t392 = *((unsigned int *)t391); + t393 = (~(t392)); + t394 = *((unsigned int *)t389); + t395 = (t394 & t393); + t396 = (t395 & 1U); + if (t396 != 0) + goto LAB121; + +LAB122: if (*((unsigned int *)t391) != 0) + goto LAB123; + +LAB124: t399 = *((unsigned int *)t375); + t400 = *((unsigned int *)t390); + t401 = (t399 & t400); + *((unsigned int *)t398) = t401; + t402 = (t375 + 4); + t403 = (t390 + 4); + t404 = (t398 + 4); + t405 = *((unsigned int *)t402); + t406 = *((unsigned int *)t403); + t407 = (t405 | t406); + *((unsigned int *)t404) = t407; + t408 = *((unsigned int *)t404); + t409 = (t408 != 0); + if (t409 == 1) + goto LAB125; + +LAB126: +LAB127: goto LAB120; + +LAB121: *((unsigned int *)t390) = 1; + goto LAB124; + +LAB123: t397 = (t390 + 4); + *((unsigned int *)t390) = 1; + *((unsigned int *)t397) = 1; + goto LAB124; + +LAB125: t410 = *((unsigned int *)t398); + t411 = *((unsigned int *)t404); + *((unsigned int *)t398) = (t410 | t411); + t412 = (t375 + 4); + t413 = (t390 + 4); + t414 = *((unsigned int *)t375); + t415 = (~(t414)); + t416 = *((unsigned int *)t412); + t417 = (~(t416)); + t418 = *((unsigned int *)t390); + t419 = (~(t418)); + t420 = *((unsigned int *)t413); + t421 = (~(t420)); + t422 = (t415 & t417); + t423 = (t419 & t421); + t424 = (~(t422)); + t425 = (~(t423)); + t426 = *((unsigned int *)t404); + *((unsigned int *)t404) = (t426 & t424); + t427 = *((unsigned int *)t404); + *((unsigned int *)t404) = (t427 & t425); + t428 = *((unsigned int *)t398); + *((unsigned int *)t398) = (t428 & t424); + t429 = *((unsigned int *)t398); + *((unsigned int *)t398) = (t429 & t425); + goto LAB127; + +LAB128: *((unsigned int *)t430) = 1; + goto LAB131; + +LAB130: t437 = (t430 + 4); + *((unsigned int *)t430) = 1; + *((unsigned int *)t437) = 1; + goto LAB131; + +LAB132: t450 = *((unsigned int *)t438); + t451 = *((unsigned int *)t444); + *((unsigned int *)t438) = (t450 | t451); + t452 = (t236 + 4); + t453 = (t430 + 4); + t454 = *((unsigned int *)t452); + t455 = (~(t454)); + t456 = *((unsigned int *)t236); + t457 = (t456 & t455); + t458 = *((unsigned int *)t453); + t459 = (~(t458)); + t460 = *((unsigned int *)t430); + t461 = (t460 & t459); + t462 = (~(t457)); + t463 = (~(t461)); + t464 = *((unsigned int *)t444); + *((unsigned int *)t444) = (t464 & t462); + t465 = *((unsigned int *)t444); + *((unsigned int *)t444) = (t465 & t463); + goto LAB134; + +LAB135: xsi_set_current_line(45, ng0); + t472 = ((char*)((ng2))); + t473 = (t0 + 5768); + xsi_vlogvar_wait_assign_value(t473, t472, 0, 0, 1, 0LL); + goto LAB137; + +} + +static void Always_48_13(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + +LAB0: t1 = (t0 + 10232U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 11752); + *((int *)t2) = 1; + t3 = (t0 + 10264); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(48, ng0); + +LAB5: xsi_set_current_line(48, ng0); + t4 = (t0 + 2008U); + t5 = *((char **)t4); + t4 = (t0 + 6088); + xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); + goto LAB2; + +} + +static void Always_49_14(char *t0) +{ + char t8[8]; + char t32[8]; + char t45[8]; + char t52[8]; + char t84[8]; + char t96[8]; + char t115[8]; + char t123[8]; + char t155[8]; + char t167[8]; + char t188[8]; + char t196[8]; + char t228[8]; + char t241[8]; + char t260[8]; + char t274[8]; + char t281[8]; + char t313[8]; + char t321[8]; + char t349[8]; + char t364[8]; + char t377[8]; + char t384[8]; + char t416[8]; + char t428[8]; + char t447[8]; + char t455[8]; + char t487[8]; + char t495[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t9; + char *t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + unsigned int t29; + char *t30; + char *t31; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + char *t38; + char *t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + char *t51; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + char *t57; + char *t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + int t76; + int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + char *t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + char *t97; + char *t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t105; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + char *t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + char *t122; + unsigned int t124; + unsigned int t125; + unsigned int t126; + char *t127; + char *t128; + char *t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + char *t137; + char *t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + int t147; + int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + unsigned int t153; + unsigned int t154; + char *t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + char *t162; + char *t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + char *t168; + char *t169; + char *t170; + char *t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + unsigned int t176; + char *t177; + char *t178; + char *t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + char *t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + char *t195; + unsigned int t197; + unsigned int t198; + unsigned int t199; + char *t200; + char *t201; + char *t202; + unsigned int t203; + unsigned int t204; + unsigned int t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + unsigned int t209; + char *t210; + char *t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + int t220; + int t221; + unsigned int t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + char *t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + char *t235; + char *t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + unsigned int t240; + char *t242; + char *t243; + unsigned int t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + unsigned int t248; + char *t249; + char *t250; + char *t251; + unsigned int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + unsigned int t259; + char *t261; + unsigned int t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + char *t267; + char *t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + char *t272; + char *t273; + unsigned int t275; + unsigned int t276; + unsigned int t277; + unsigned int t278; + unsigned int t279; + char *t280; + unsigned int t282; + unsigned int t283; + unsigned int t284; + char *t285; + char *t286; + char *t287; + unsigned int t288; + unsigned int t289; + unsigned int t290; + unsigned int t291; + unsigned int t292; + unsigned int t293; + unsigned int t294; + char *t295; + char *t296; + unsigned int t297; + unsigned int t298; + unsigned int t299; + unsigned int t300; + unsigned int t301; + unsigned int t302; + unsigned int t303; + unsigned int t304; + int t305; + int t306; + unsigned int t307; + unsigned int t308; + unsigned int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + char *t314; + unsigned int t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + unsigned int t319; + char *t320; + unsigned int t322; + unsigned int t323; + unsigned int t324; + char *t325; + char *t326; + char *t327; + unsigned int t328; + unsigned int t329; + unsigned int t330; + unsigned int t331; + unsigned int t332; + unsigned int t333; + unsigned int t334; + char *t335; + char *t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + int t340; + unsigned int t341; + unsigned int t342; + unsigned int t343; + int t344; + unsigned int t345; + unsigned int t346; + unsigned int t347; + unsigned int t348; + char *t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + unsigned int t354; + unsigned int t355; + char *t356; + char *t357; + unsigned int t358; + unsigned int t359; + unsigned int t360; + unsigned int t361; + char *t362; + char *t363; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + unsigned int t369; + char *t370; + char *t371; + unsigned int t372; + unsigned int t373; + unsigned int t374; + char *t375; + char *t376; + unsigned int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + char *t383; + unsigned int t385; + unsigned int t386; + unsigned int t387; + char *t388; + char *t389; + char *t390; + unsigned int t391; + unsigned int t392; + unsigned int t393; + unsigned int t394; + unsigned int t395; + unsigned int t396; + unsigned int t397; + char *t398; + char *t399; + unsigned int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + unsigned int t404; + unsigned int t405; + unsigned int t406; + unsigned int t407; + int t408; + int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + unsigned int t415; + char *t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + char *t423; + char *t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + char *t429; + char *t430; + unsigned int t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + unsigned int t435; + char *t436; + char *t437; + char *t438; + unsigned int t439; + unsigned int t440; + unsigned int t441; + unsigned int t442; + unsigned int t443; + unsigned int t444; + unsigned int t445; + unsigned int t446; + char *t448; + unsigned int t449; + unsigned int t450; + unsigned int t451; + unsigned int t452; + unsigned int t453; + char *t454; + unsigned int t456; + unsigned int t457; + unsigned int t458; + char *t459; + char *t460; + char *t461; + unsigned int t462; + unsigned int t463; + unsigned int t464; + unsigned int t465; + unsigned int t466; + unsigned int t467; + unsigned int t468; + char *t469; + char *t470; + unsigned int t471; + unsigned int t472; + unsigned int t473; + unsigned int t474; + unsigned int t475; + unsigned int t476; + unsigned int t477; + unsigned int t478; + int t479; + int t480; + unsigned int t481; + unsigned int t482; + unsigned int t483; + unsigned int t484; + unsigned int t485; + unsigned int t486; + char *t488; + unsigned int t489; + unsigned int t490; + unsigned int t491; + unsigned int t492; + unsigned int t493; + char *t494; + unsigned int t496; + unsigned int t497; + unsigned int t498; + char *t499; + char *t500; + char *t501; + unsigned int t502; + unsigned int t503; + unsigned int t504; + unsigned int t505; + unsigned int t506; + unsigned int t507; + unsigned int t508; + char *t509; + char *t510; + unsigned int t511; + unsigned int t512; + unsigned int t513; + int t514; + unsigned int t515; + unsigned int t516; + unsigned int t517; + int t518; + unsigned int t519; + unsigned int t520; + unsigned int t521; + unsigned int t522; + char *t523; + unsigned int t524; + unsigned int t525; + unsigned int t526; + unsigned int t527; + unsigned int t528; + char *t529; + char *t530; + +LAB0: t1 = (t0 + 10480U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 11768); + *((int *)t2) = 1; + t3 = (t0 + 10512); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(49, ng0); + +LAB5: xsi_set_current_line(50, ng0); + t4 = (t0 + 4968); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = ((char*)((ng1))); + memset(t8, 0, 8); + t9 = (t6 + 4); + t10 = (t7 + 4); + t11 = *((unsigned int *)t6); + t12 = *((unsigned int *)t7); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t9); + t15 = *((unsigned int *)t10); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t9); + t19 = *((unsigned int *)t10); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB9; + +LAB6: if (t20 != 0) + goto LAB8; + +LAB7: *((unsigned int *)t8) = 1; + +LAB9: t24 = (t8 + 4); + t25 = *((unsigned int *)t24); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB10; + +LAB11: xsi_set_current_line(79, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB228; + +LAB225: if (t20 != 0) + goto LAB227; + +LAB226: *((unsigned int *)t8) = 1; + +LAB228: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB229; + +LAB230: xsi_set_current_line(85, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng4))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB236; + +LAB233: if (t20 != 0) + goto LAB235; + +LAB234: *((unsigned int *)t8) = 1; + +LAB236: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB237; + +LAB238: xsi_set_current_line(91, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng6))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB244; + +LAB241: if (t20 != 0) + goto LAB243; + +LAB242: *((unsigned int *)t8) = 1; + +LAB244: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB245; + +LAB246: xsi_set_current_line(97, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng7))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB252; + +LAB249: if (t20 != 0) + goto LAB251; + +LAB250: *((unsigned int *)t8) = 1; + +LAB252: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB253; + +LAB254: xsi_set_current_line(103, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng5))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB260; + +LAB257: if (t20 != 0) + goto LAB259; + +LAB258: *((unsigned int *)t8) = 1; + +LAB260: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB261; + +LAB262: xsi_set_current_line(109, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng8))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB268; + +LAB265: if (t20 != 0) + goto LAB267; + +LAB266: *((unsigned int *)t8) = 1; + +LAB268: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB269; + +LAB270: xsi_set_current_line(115, ng0); + t2 = (t0 + 4968); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng3))); + memset(t8, 0, 8); + t6 = (t4 + 4); + t7 = (t5 + 4); + t11 = *((unsigned int *)t4); + t12 = *((unsigned int *)t5); + t13 = (t11 ^ t12); + t14 = *((unsigned int *)t6); + t15 = *((unsigned int *)t7); + t16 = (t14 ^ t15); + t17 = (t13 | t16); + t18 = *((unsigned int *)t6); + t19 = *((unsigned int *)t7); + t20 = (t18 | t19); + t21 = (~(t20)); + t22 = (t17 & t21); + if (t22 != 0) + goto LAB276; + +LAB273: if (t20 != 0) + goto LAB275; + +LAB274: *((unsigned int *)t8) = 1; + +LAB276: t10 = (t8 + 4); + t25 = *((unsigned int *)t10); + t26 = (~(t25)); + t27 = *((unsigned int *)t8); + t28 = (t27 & t26); + t29 = (t28 != 0); + if (t29 > 0) + goto LAB277; + +LAB278: +LAB279: +LAB271: +LAB263: +LAB255: +LAB247: +LAB239: +LAB231: +LAB12: goto LAB2; + +LAB8: t23 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: xsi_set_current_line(50, ng0); + +LAB13: xsi_set_current_line(51, ng0); + t30 = (t0 + 2008U); + t31 = *((char **)t30); + memset(t32, 0, 8); + t30 = (t31 + 4); + t33 = *((unsigned int *)t30); + t34 = (~(t33)); + t35 = *((unsigned int *)t31); + t36 = (t35 & t34); + t37 = (t36 & 1U); + if (t37 != 0) + goto LAB14; + +LAB15: if (*((unsigned int *)t30) != 0) + goto LAB16; + +LAB17: t39 = (t32 + 4); + t40 = *((unsigned int *)t32); + t41 = *((unsigned int *)t39); + t42 = (t40 || t41); + if (t42 > 0) + goto LAB18; + +LAB19: memcpy(t52, t32, 8); + +LAB20: memset(t84, 0, 8); + t85 = (t52 + 4); + t86 = *((unsigned int *)t85); + t87 = (~(t86)); + t88 = *((unsigned int *)t52); + t89 = (t88 & t87); + t90 = (t89 & 1U); + if (t90 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t85) != 0) + goto LAB30; + +LAB31: t92 = (t84 + 4); + t93 = *((unsigned int *)t84); + t94 = *((unsigned int *)t92); + t95 = (t93 || t94); + if (t95 > 0) + goto LAB32; + +LAB33: memcpy(t123, t84, 8); + +LAB34: memset(t155, 0, 8); + t156 = (t123 + 4); + t157 = *((unsigned int *)t156); + t158 = (~(t157)); + t159 = *((unsigned int *)t123); + t160 = (t159 & t158); + t161 = (t160 & 1U); + if (t161 != 0) + goto LAB48; + +LAB49: if (*((unsigned int *)t156) != 0) + goto LAB50; + +LAB51: t163 = (t155 + 4); + t164 = *((unsigned int *)t155); + t165 = *((unsigned int *)t163); + t166 = (t164 || t165); + if (t166 > 0) + goto LAB52; + +LAB53: memcpy(t196, t155, 8); + +LAB54: memset(t228, 0, 8); + t229 = (t196 + 4); + t230 = *((unsigned int *)t229); + t231 = (~(t230)); + t232 = *((unsigned int *)t196); + t233 = (t232 & t231); + t234 = (t233 & 1U); + if (t234 != 0) + goto LAB68; + +LAB69: if (*((unsigned int *)t229) != 0) + goto LAB70; + +LAB71: t236 = (t228 + 4); + t237 = *((unsigned int *)t228); + t238 = (!(t237)); + t239 = *((unsigned int *)t236); + t240 = (t238 || t239); + if (t240 > 0) + goto LAB72; + +LAB73: memcpy(t321, t228, 8); + +LAB74: memset(t349, 0, 8); + t350 = (t321 + 4); + t351 = *((unsigned int *)t350); + t352 = (~(t351)); + t353 = *((unsigned int *)t321); + t354 = (t353 & t352); + t355 = (t354 & 1U); + if (t355 != 0) + goto LAB102; + +LAB103: if (*((unsigned int *)t350) != 0) + goto LAB104; + +LAB105: t357 = (t349 + 4); + t358 = *((unsigned int *)t349); + t359 = (!(t358)); + t360 = *((unsigned int *)t357); + t361 = (t359 || t360); + if (t361 > 0) + goto LAB106; + +LAB107: memcpy(t495, t349, 8); + +LAB108: t523 = (t495 + 4); + t524 = *((unsigned int *)t523); + t525 = (~(t524)); + t526 = *((unsigned int *)t495); + t527 = (t526 & t525); + t528 = (t527 != 0); + if (t528 > 0) + goto LAB150; + +LAB151: xsi_set_current_line(58, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB154; + +LAB155: if (*((unsigned int *)t2) != 0) + goto LAB156; + +LAB157: t5 = (t8 + 4); + t16 = *((unsigned int *)t8); + t17 = *((unsigned int *)t5); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB158; + +LAB159: memcpy(t45, t8, 8); + +LAB160: memset(t52, 0, 8); + t38 = (t45 + 4); + t63 = *((unsigned int *)t38); + t64 = (~(t63)); + t65 = *((unsigned int *)t45); + t68 = (t65 & t64); + t69 = (t68 & 1U); + if (t69 != 0) + goto LAB168; + +LAB169: if (*((unsigned int *)t38) != 0) + goto LAB170; + +LAB171: t43 = (t52 + 4); + t70 = *((unsigned int *)t52); + t71 = *((unsigned int *)t43); + t72 = (t70 || t71); + if (t72 > 0) + goto LAB172; + +LAB173: memcpy(t115, t52, 8); + +LAB174: t106 = (t115 + 4); + t134 = *((unsigned int *)t106); + t135 = (~(t134)); + t136 = *((unsigned int *)t115); + t139 = (t136 & t135); + t140 = (t139 != 0); + if (t140 > 0) + goto LAB188; + +LAB189: xsi_set_current_line(64, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB192; + +LAB193: if (*((unsigned int *)t2) != 0) + goto LAB194; + +LAB195: t5 = (t8 + 4); + t16 = *((unsigned int *)t8); + t17 = *((unsigned int *)t5); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB196; + +LAB197: memcpy(t45, t8, 8); + +LAB198: memset(t52, 0, 8); + t38 = (t45 + 4); + t63 = *((unsigned int *)t38); + t64 = (~(t63)); + t65 = *((unsigned int *)t45); + t68 = (t65 & t64); + t69 = (t68 & 1U); + if (t69 != 0) + goto LAB206; + +LAB207: if (*((unsigned int *)t38) != 0) + goto LAB208; + +LAB209: t43 = (t52 + 4); + t70 = *((unsigned int *)t52); + t71 = *((unsigned int *)t43); + t72 = (t70 || t71); + if (t72 > 0) + goto LAB210; + +LAB211: memcpy(t96, t52, 8); + +LAB212: t91 = (t96 + 4); + t114 = *((unsigned int *)t91); + t117 = (~(t114)); + t118 = *((unsigned int *)t96); + t119 = (t118 & t117); + t120 = (t119 != 0); + if (t120 > 0) + goto LAB220; + +LAB221: xsi_set_current_line(71, ng0); + +LAB224: xsi_set_current_line(73, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(74, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(75, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(76, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB222: +LAB190: +LAB152: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB12; + +LAB14: *((unsigned int *)t32) = 1; + goto LAB17; + +LAB16: t38 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t38) = 1; + goto LAB17; + +LAB18: t43 = (t0 + 2648U); + t44 = *((char **)t43); + memset(t45, 0, 8); + t43 = (t44 + 4); + t46 = *((unsigned int *)t43); + t47 = (~(t46)); + t48 = *((unsigned int *)t44); + t49 = (t48 & t47); + t50 = (t49 & 1U); + if (t50 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t43) != 0) + goto LAB23; + +LAB24: t53 = *((unsigned int *)t32); + t54 = *((unsigned int *)t45); + t55 = (t53 & t54); + *((unsigned int *)t52) = t55; + t56 = (t32 + 4); + t57 = (t45 + 4); + t58 = (t52 + 4); + t59 = *((unsigned int *)t56); + t60 = *((unsigned int *)t57); + t61 = (t59 | t60); + *((unsigned int *)t58) = t61; + t62 = *((unsigned int *)t58); + t63 = (t62 != 0); + if (t63 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB20; + +LAB21: *((unsigned int *)t45) = 1; + goto LAB24; + +LAB23: t51 = (t45 + 4); + *((unsigned int *)t45) = 1; + *((unsigned int *)t51) = 1; + goto LAB24; + +LAB25: t64 = *((unsigned int *)t52); + t65 = *((unsigned int *)t58); + *((unsigned int *)t52) = (t64 | t65); + t66 = (t32 + 4); + t67 = (t45 + 4); + t68 = *((unsigned int *)t32); + t69 = (~(t68)); + t70 = *((unsigned int *)t66); + t71 = (~(t70)); + t72 = *((unsigned int *)t45); + t73 = (~(t72)); + t74 = *((unsigned int *)t67); + t75 = (~(t74)); + t76 = (t69 & t71); + t77 = (t73 & t75); + t78 = (~(t76)); + t79 = (~(t77)); + t80 = *((unsigned int *)t58); + *((unsigned int *)t58) = (t80 & t78); + t81 = *((unsigned int *)t58); + *((unsigned int *)t58) = (t81 & t79); + t82 = *((unsigned int *)t52); + *((unsigned int *)t52) = (t82 & t78); + t83 = *((unsigned int *)t52); + *((unsigned int *)t52) = (t83 & t79); + goto LAB27; + +LAB28: *((unsigned int *)t84) = 1; + goto LAB31; + +LAB30: t91 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t91) = 1; + goto LAB31; + +LAB32: t97 = (t0 + 2168U); + t98 = *((char **)t97); + memset(t96, 0, 8); + t97 = (t98 + 4); + t99 = *((unsigned int *)t97); + t100 = (~(t99)); + t101 = *((unsigned int *)t98); + t102 = (t101 & t100); + t103 = (t102 & 1U); + if (t103 != 0) + goto LAB38; + +LAB36: if (*((unsigned int *)t97) == 0) + goto LAB35; + +LAB37: t104 = (t96 + 4); + *((unsigned int *)t96) = 1; + *((unsigned int *)t104) = 1; + +LAB38: t105 = (t96 + 4); + t106 = (t98 + 4); + t107 = *((unsigned int *)t98); + t108 = (~(t107)); + *((unsigned int *)t96) = t108; + *((unsigned int *)t105) = 0; + if (*((unsigned int *)t106) != 0) + goto LAB40; + +LAB39: t113 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t113 & 1U); + t114 = *((unsigned int *)t105); + *((unsigned int *)t105) = (t114 & 1U); + memset(t115, 0, 8); + t116 = (t96 + 4); + t117 = *((unsigned int *)t116); + t118 = (~(t117)); + t119 = *((unsigned int *)t96); + t120 = (t119 & t118); + t121 = (t120 & 1U); + if (t121 != 0) + goto LAB41; + +LAB42: if (*((unsigned int *)t116) != 0) + goto LAB43; + +LAB44: t124 = *((unsigned int *)t84); + t125 = *((unsigned int *)t115); + t126 = (t124 & t125); + *((unsigned int *)t123) = t126; + t127 = (t84 + 4); + t128 = (t115 + 4); + t129 = (t123 + 4); + t130 = *((unsigned int *)t127); + t131 = *((unsigned int *)t128); + t132 = (t130 | t131); + *((unsigned int *)t129) = t132; + t133 = *((unsigned int *)t129); + t134 = (t133 != 0); + if (t134 == 1) + goto LAB45; + +LAB46: +LAB47: goto LAB34; + +LAB35: *((unsigned int *)t96) = 1; + goto LAB38; + +LAB40: t109 = *((unsigned int *)t96); + t110 = *((unsigned int *)t106); + *((unsigned int *)t96) = (t109 | t110); + t111 = *((unsigned int *)t105); + t112 = *((unsigned int *)t106); + *((unsigned int *)t105) = (t111 | t112); + goto LAB39; + +LAB41: *((unsigned int *)t115) = 1; + goto LAB44; + +LAB43: t122 = (t115 + 4); + *((unsigned int *)t115) = 1; + *((unsigned int *)t122) = 1; + goto LAB44; + +LAB45: t135 = *((unsigned int *)t123); + t136 = *((unsigned int *)t129); + *((unsigned int *)t123) = (t135 | t136); + t137 = (t84 + 4); + t138 = (t115 + 4); + t139 = *((unsigned int *)t84); + t140 = (~(t139)); + t141 = *((unsigned int *)t137); + t142 = (~(t141)); + t143 = *((unsigned int *)t115); + t144 = (~(t143)); + t145 = *((unsigned int *)t138); + t146 = (~(t145)); + t147 = (t140 & t142); + t148 = (t144 & t146); + t149 = (~(t147)); + t150 = (~(t148)); + t151 = *((unsigned int *)t129); + *((unsigned int *)t129) = (t151 & t149); + t152 = *((unsigned int *)t129); + *((unsigned int *)t129) = (t152 & t150); + t153 = *((unsigned int *)t123); + *((unsigned int *)t123) = (t153 & t149); + t154 = *((unsigned int *)t123); + *((unsigned int *)t123) = (t154 & t150); + goto LAB47; + +LAB48: *((unsigned int *)t155) = 1; + goto LAB51; + +LAB50: t162 = (t155 + 4); + *((unsigned int *)t155) = 1; + *((unsigned int *)t162) = 1; + goto LAB51; + +LAB52: t168 = (t0 + 6088); + t169 = (t168 + 56U); + t170 = *((char **)t169); + memset(t167, 0, 8); + t171 = (t170 + 4); + t172 = *((unsigned int *)t171); + t173 = (~(t172)); + t174 = *((unsigned int *)t170); + t175 = (t174 & t173); + t176 = (t175 & 1U); + if (t176 != 0) + goto LAB58; + +LAB56: if (*((unsigned int *)t171) == 0) + goto LAB55; + +LAB57: t177 = (t167 + 4); + *((unsigned int *)t167) = 1; + *((unsigned int *)t177) = 1; + +LAB58: t178 = (t167 + 4); + t179 = (t170 + 4); + t180 = *((unsigned int *)t170); + t181 = (~(t180)); + *((unsigned int *)t167) = t181; + *((unsigned int *)t178) = 0; + if (*((unsigned int *)t179) != 0) + goto LAB60; + +LAB59: t186 = *((unsigned int *)t167); + *((unsigned int *)t167) = (t186 & 1U); + t187 = *((unsigned int *)t178); + *((unsigned int *)t178) = (t187 & 1U); + memset(t188, 0, 8); + t189 = (t167 + 4); + t190 = *((unsigned int *)t189); + t191 = (~(t190)); + t192 = *((unsigned int *)t167); + t193 = (t192 & t191); + t194 = (t193 & 1U); + if (t194 != 0) + goto LAB61; + +LAB62: if (*((unsigned int *)t189) != 0) + goto LAB63; + +LAB64: t197 = *((unsigned int *)t155); + t198 = *((unsigned int *)t188); + t199 = (t197 & t198); + *((unsigned int *)t196) = t199; + t200 = (t155 + 4); + t201 = (t188 + 4); + t202 = (t196 + 4); + t203 = *((unsigned int *)t200); + t204 = *((unsigned int *)t201); + t205 = (t203 | t204); + *((unsigned int *)t202) = t205; + t206 = *((unsigned int *)t202); + t207 = (t206 != 0); + if (t207 == 1) + goto LAB65; + +LAB66: +LAB67: goto LAB54; + +LAB55: *((unsigned int *)t167) = 1; + goto LAB58; + +LAB60: t182 = *((unsigned int *)t167); + t183 = *((unsigned int *)t179); + *((unsigned int *)t167) = (t182 | t183); + t184 = *((unsigned int *)t178); + t185 = *((unsigned int *)t179); + *((unsigned int *)t178) = (t184 | t185); + goto LAB59; + +LAB61: *((unsigned int *)t188) = 1; + goto LAB64; + +LAB63: t195 = (t188 + 4); + *((unsigned int *)t188) = 1; + *((unsigned int *)t195) = 1; + goto LAB64; + +LAB65: t208 = *((unsigned int *)t196); + t209 = *((unsigned int *)t202); + *((unsigned int *)t196) = (t208 | t209); + t210 = (t155 + 4); + t211 = (t188 + 4); + t212 = *((unsigned int *)t155); + t213 = (~(t212)); + t214 = *((unsigned int *)t210); + t215 = (~(t214)); + t216 = *((unsigned int *)t188); + t217 = (~(t216)); + t218 = *((unsigned int *)t211); + t219 = (~(t218)); + t220 = (t213 & t215); + t221 = (t217 & t219); + t222 = (~(t220)); + t223 = (~(t221)); + t224 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t224 & t222); + t225 = *((unsigned int *)t202); + *((unsigned int *)t202) = (t225 & t223); + t226 = *((unsigned int *)t196); + *((unsigned int *)t196) = (t226 & t222); + t227 = *((unsigned int *)t196); + *((unsigned int *)t196) = (t227 & t223); + goto LAB67; + +LAB68: *((unsigned int *)t228) = 1; + goto LAB71; + +LAB70: t235 = (t228 + 4); + *((unsigned int *)t228) = 1; + *((unsigned int *)t235) = 1; + goto LAB71; + +LAB72: t242 = (t0 + 2008U); + t243 = *((char **)t242); + memset(t241, 0, 8); + t242 = (t243 + 4); + t244 = *((unsigned int *)t242); + t245 = (~(t244)); + t246 = *((unsigned int *)t243); + t247 = (t246 & t245); + t248 = (t247 & 1U); + if (t248 != 0) + goto LAB78; + +LAB76: if (*((unsigned int *)t242) == 0) + goto LAB75; + +LAB77: t249 = (t241 + 4); + *((unsigned int *)t241) = 1; + *((unsigned int *)t249) = 1; + +LAB78: t250 = (t241 + 4); + t251 = (t243 + 4); + t252 = *((unsigned int *)t243); + t253 = (~(t252)); + *((unsigned int *)t241) = t253; + *((unsigned int *)t250) = 0; + if (*((unsigned int *)t251) != 0) + goto LAB80; + +LAB79: t258 = *((unsigned int *)t241); + *((unsigned int *)t241) = (t258 & 1U); + t259 = *((unsigned int *)t250); + *((unsigned int *)t250) = (t259 & 1U); + memset(t260, 0, 8); + t261 = (t241 + 4); + t262 = *((unsigned int *)t261); + t263 = (~(t262)); + t264 = *((unsigned int *)t241); + t265 = (t264 & t263); + t266 = (t265 & 1U); + if (t266 != 0) + goto LAB81; + +LAB82: if (*((unsigned int *)t261) != 0) + goto LAB83; + +LAB84: t268 = (t260 + 4); + t269 = *((unsigned int *)t260); + t270 = *((unsigned int *)t268); + t271 = (t269 || t270); + if (t271 > 0) + goto LAB85; + +LAB86: memcpy(t281, t260, 8); + +LAB87: memset(t313, 0, 8); + t314 = (t281 + 4); + t315 = *((unsigned int *)t314); + t316 = (~(t315)); + t317 = *((unsigned int *)t281); + t318 = (t317 & t316); + t319 = (t318 & 1U); + if (t319 != 0) + goto LAB95; + +LAB96: if (*((unsigned int *)t314) != 0) + goto LAB97; + +LAB98: t322 = *((unsigned int *)t228); + t323 = *((unsigned int *)t313); + t324 = (t322 | t323); + *((unsigned int *)t321) = t324; + t325 = (t228 + 4); + t326 = (t313 + 4); + t327 = (t321 + 4); + t328 = *((unsigned int *)t325); + t329 = *((unsigned int *)t326); + t330 = (t328 | t329); + *((unsigned int *)t327) = t330; + t331 = *((unsigned int *)t327); + t332 = (t331 != 0); + if (t332 == 1) + goto LAB99; + +LAB100: +LAB101: goto LAB74; + +LAB75: *((unsigned int *)t241) = 1; + goto LAB78; + +LAB80: t254 = *((unsigned int *)t241); + t255 = *((unsigned int *)t251); + *((unsigned int *)t241) = (t254 | t255); + t256 = *((unsigned int *)t250); + t257 = *((unsigned int *)t251); + *((unsigned int *)t250) = (t256 | t257); + goto LAB79; + +LAB81: *((unsigned int *)t260) = 1; + goto LAB84; + +LAB83: t267 = (t260 + 4); + *((unsigned int *)t260) = 1; + *((unsigned int *)t267) = 1; + goto LAB84; + +LAB85: t272 = (t0 + 2808U); + t273 = *((char **)t272); + memset(t274, 0, 8); + t272 = (t273 + 4); + t275 = *((unsigned int *)t272); + t276 = (~(t275)); + t277 = *((unsigned int *)t273); + t278 = (t277 & t276); + t279 = (t278 & 1U); + if (t279 != 0) + goto LAB88; + +LAB89: if (*((unsigned int *)t272) != 0) + goto LAB90; + +LAB91: t282 = *((unsigned int *)t260); + t283 = *((unsigned int *)t274); + t284 = (t282 & t283); + *((unsigned int *)t281) = t284; + t285 = (t260 + 4); + t286 = (t274 + 4); + t287 = (t281 + 4); + t288 = *((unsigned int *)t285); + t289 = *((unsigned int *)t286); + t290 = (t288 | t289); + *((unsigned int *)t287) = t290; + t291 = *((unsigned int *)t287); + t292 = (t291 != 0); + if (t292 == 1) + goto LAB92; + +LAB93: +LAB94: goto LAB87; + +LAB88: *((unsigned int *)t274) = 1; + goto LAB91; + +LAB90: t280 = (t274 + 4); + *((unsigned int *)t274) = 1; + *((unsigned int *)t280) = 1; + goto LAB91; + +LAB92: t293 = *((unsigned int *)t281); + t294 = *((unsigned int *)t287); + *((unsigned int *)t281) = (t293 | t294); + t295 = (t260 + 4); + t296 = (t274 + 4); + t297 = *((unsigned int *)t260); + t298 = (~(t297)); + t299 = *((unsigned int *)t295); + t300 = (~(t299)); + t301 = *((unsigned int *)t274); + t302 = (~(t301)); + t303 = *((unsigned int *)t296); + t304 = (~(t303)); + t305 = (t298 & t300); + t306 = (t302 & t304); + t307 = (~(t305)); + t308 = (~(t306)); + t309 = *((unsigned int *)t287); + *((unsigned int *)t287) = (t309 & t307); + t310 = *((unsigned int *)t287); + *((unsigned int *)t287) = (t310 & t308); + t311 = *((unsigned int *)t281); + *((unsigned int *)t281) = (t311 & t307); + t312 = *((unsigned int *)t281); + *((unsigned int *)t281) = (t312 & t308); + goto LAB94; + +LAB95: *((unsigned int *)t313) = 1; + goto LAB98; + +LAB97: t320 = (t313 + 4); + *((unsigned int *)t313) = 1; + *((unsigned int *)t320) = 1; + goto LAB98; + +LAB99: t333 = *((unsigned int *)t321); + t334 = *((unsigned int *)t327); + *((unsigned int *)t321) = (t333 | t334); + t335 = (t228 + 4); + t336 = (t313 + 4); + t337 = *((unsigned int *)t335); + t338 = (~(t337)); + t339 = *((unsigned int *)t228); + t340 = (t339 & t338); + t341 = *((unsigned int *)t336); + t342 = (~(t341)); + t343 = *((unsigned int *)t313); + t344 = (t343 & t342); + t345 = (~(t340)); + t346 = (~(t344)); + t347 = *((unsigned int *)t327); + *((unsigned int *)t327) = (t347 & t345); + t348 = *((unsigned int *)t327); + *((unsigned int *)t327) = (t348 & t346); + goto LAB101; + +LAB102: *((unsigned int *)t349) = 1; + goto LAB105; + +LAB104: t356 = (t349 + 4); + *((unsigned int *)t349) = 1; + *((unsigned int *)t356) = 1; + goto LAB105; + +LAB106: t362 = (t0 + 2008U); + t363 = *((char **)t362); + memset(t364, 0, 8); + t362 = (t363 + 4); + t365 = *((unsigned int *)t362); + t366 = (~(t365)); + t367 = *((unsigned int *)t363); + t368 = (t367 & t366); + t369 = (t368 & 1U); + if (t369 != 0) + goto LAB109; + +LAB110: if (*((unsigned int *)t362) != 0) + goto LAB111; + +LAB112: t371 = (t364 + 4); + t372 = *((unsigned int *)t364); + t373 = *((unsigned int *)t371); + t374 = (t372 || t373); + if (t374 > 0) + goto LAB113; + +LAB114: memcpy(t384, t364, 8); + +LAB115: memset(t416, 0, 8); + t417 = (t384 + 4); + t418 = *((unsigned int *)t417); + t419 = (~(t418)); + t420 = *((unsigned int *)t384); + t421 = (t420 & t419); + t422 = (t421 & 1U); + if (t422 != 0) + goto LAB123; + +LAB124: if (*((unsigned int *)t417) != 0) + goto LAB125; + +LAB126: t424 = (t416 + 4); + t425 = *((unsigned int *)t416); + t426 = *((unsigned int *)t424); + t427 = (t425 || t426); + if (t427 > 0) + goto LAB127; + +LAB128: memcpy(t455, t416, 8); + +LAB129: memset(t487, 0, 8); + t488 = (t455 + 4); + t489 = *((unsigned int *)t488); + t490 = (~(t489)); + t491 = *((unsigned int *)t455); + t492 = (t491 & t490); + t493 = (t492 & 1U); + if (t493 != 0) + goto LAB143; + +LAB144: if (*((unsigned int *)t488) != 0) + goto LAB145; + +LAB146: t496 = *((unsigned int *)t349); + t497 = *((unsigned int *)t487); + t498 = (t496 | t497); + *((unsigned int *)t495) = t498; + t499 = (t349 + 4); + t500 = (t487 + 4); + t501 = (t495 + 4); + t502 = *((unsigned int *)t499); + t503 = *((unsigned int *)t500); + t504 = (t502 | t503); + *((unsigned int *)t501) = t504; + t505 = *((unsigned int *)t501); + t506 = (t505 != 0); + if (t506 == 1) + goto LAB147; + +LAB148: +LAB149: goto LAB108; + +LAB109: *((unsigned int *)t364) = 1; + goto LAB112; + +LAB111: t370 = (t364 + 4); + *((unsigned int *)t364) = 1; + *((unsigned int *)t370) = 1; + goto LAB112; + +LAB113: t375 = (t0 + 2808U); + t376 = *((char **)t375); + memset(t377, 0, 8); + t375 = (t376 + 4); + t378 = *((unsigned int *)t375); + t379 = (~(t378)); + t380 = *((unsigned int *)t376); + t381 = (t380 & t379); + t382 = (t381 & 1U); + if (t382 != 0) + goto LAB116; + +LAB117: if (*((unsigned int *)t375) != 0) + goto LAB118; + +LAB119: t385 = *((unsigned int *)t364); + t386 = *((unsigned int *)t377); + t387 = (t385 & t386); + *((unsigned int *)t384) = t387; + t388 = (t364 + 4); + t389 = (t377 + 4); + t390 = (t384 + 4); + t391 = *((unsigned int *)t388); + t392 = *((unsigned int *)t389); + t393 = (t391 | t392); + *((unsigned int *)t390) = t393; + t394 = *((unsigned int *)t390); + t395 = (t394 != 0); + if (t395 == 1) + goto LAB120; + +LAB121: +LAB122: goto LAB115; + +LAB116: *((unsigned int *)t377) = 1; + goto LAB119; + +LAB118: t383 = (t377 + 4); + *((unsigned int *)t377) = 1; + *((unsigned int *)t383) = 1; + goto LAB119; + +LAB120: t396 = *((unsigned int *)t384); + t397 = *((unsigned int *)t390); + *((unsigned int *)t384) = (t396 | t397); + t398 = (t364 + 4); + t399 = (t377 + 4); + t400 = *((unsigned int *)t364); + t401 = (~(t400)); + t402 = *((unsigned int *)t398); + t403 = (~(t402)); + t404 = *((unsigned int *)t377); + t405 = (~(t404)); + t406 = *((unsigned int *)t399); + t407 = (~(t406)); + t408 = (t401 & t403); + t409 = (t405 & t407); + t410 = (~(t408)); + t411 = (~(t409)); + t412 = *((unsigned int *)t390); + *((unsigned int *)t390) = (t412 & t410); + t413 = *((unsigned int *)t390); + *((unsigned int *)t390) = (t413 & t411); + t414 = *((unsigned int *)t384); + *((unsigned int *)t384) = (t414 & t410); + t415 = *((unsigned int *)t384); + *((unsigned int *)t384) = (t415 & t411); + goto LAB122; + +LAB123: *((unsigned int *)t416) = 1; + goto LAB126; + +LAB125: t423 = (t416 + 4); + *((unsigned int *)t416) = 1; + *((unsigned int *)t423) = 1; + goto LAB126; + +LAB127: t429 = (t0 + 2168U); + t430 = *((char **)t429); + memset(t428, 0, 8); + t429 = (t430 + 4); + t431 = *((unsigned int *)t429); + t432 = (~(t431)); + t433 = *((unsigned int *)t430); + t434 = (t433 & t432); + t435 = (t434 & 1U); + if (t435 != 0) + goto LAB133; + +LAB131: if (*((unsigned int *)t429) == 0) + goto LAB130; + +LAB132: t436 = (t428 + 4); + *((unsigned int *)t428) = 1; + *((unsigned int *)t436) = 1; + +LAB133: t437 = (t428 + 4); + t438 = (t430 + 4); + t439 = *((unsigned int *)t430); + t440 = (~(t439)); + *((unsigned int *)t428) = t440; + *((unsigned int *)t437) = 0; + if (*((unsigned int *)t438) != 0) + goto LAB135; + +LAB134: t445 = *((unsigned int *)t428); + *((unsigned int *)t428) = (t445 & 1U); + t446 = *((unsigned int *)t437); + *((unsigned int *)t437) = (t446 & 1U); + memset(t447, 0, 8); + t448 = (t428 + 4); + t449 = *((unsigned int *)t448); + t450 = (~(t449)); + t451 = *((unsigned int *)t428); + t452 = (t451 & t450); + t453 = (t452 & 1U); + if (t453 != 0) + goto LAB136; + +LAB137: if (*((unsigned int *)t448) != 0) + goto LAB138; + +LAB139: t456 = *((unsigned int *)t416); + t457 = *((unsigned int *)t447); + t458 = (t456 & t457); + *((unsigned int *)t455) = t458; + t459 = (t416 + 4); + t460 = (t447 + 4); + t461 = (t455 + 4); + t462 = *((unsigned int *)t459); + t463 = *((unsigned int *)t460); + t464 = (t462 | t463); + *((unsigned int *)t461) = t464; + t465 = *((unsigned int *)t461); + t466 = (t465 != 0); + if (t466 == 1) + goto LAB140; + +LAB141: +LAB142: goto LAB129; + +LAB130: *((unsigned int *)t428) = 1; + goto LAB133; + +LAB135: t441 = *((unsigned int *)t428); + t442 = *((unsigned int *)t438); + *((unsigned int *)t428) = (t441 | t442); + t443 = *((unsigned int *)t437); + t444 = *((unsigned int *)t438); + *((unsigned int *)t437) = (t443 | t444); + goto LAB134; + +LAB136: *((unsigned int *)t447) = 1; + goto LAB139; + +LAB138: t454 = (t447 + 4); + *((unsigned int *)t447) = 1; + *((unsigned int *)t454) = 1; + goto LAB139; + +LAB140: t467 = *((unsigned int *)t455); + t468 = *((unsigned int *)t461); + *((unsigned int *)t455) = (t467 | t468); + t469 = (t416 + 4); + t470 = (t447 + 4); + t471 = *((unsigned int *)t416); + t472 = (~(t471)); + t473 = *((unsigned int *)t469); + t474 = (~(t473)); + t475 = *((unsigned int *)t447); + t476 = (~(t475)); + t477 = *((unsigned int *)t470); + t478 = (~(t477)); + t479 = (t472 & t474); + t480 = (t476 & t478); + t481 = (~(t479)); + t482 = (~(t480)); + t483 = *((unsigned int *)t461); + *((unsigned int *)t461) = (t483 & t481); + t484 = *((unsigned int *)t461); + *((unsigned int *)t461) = (t484 & t482); + t485 = *((unsigned int *)t455); + *((unsigned int *)t455) = (t485 & t481); + t486 = *((unsigned int *)t455); + *((unsigned int *)t455) = (t486 & t482); + goto LAB142; + +LAB143: *((unsigned int *)t487) = 1; + goto LAB146; + +LAB145: t494 = (t487 + 4); + *((unsigned int *)t487) = 1; + *((unsigned int *)t494) = 1; + goto LAB146; + +LAB147: t507 = *((unsigned int *)t495); + t508 = *((unsigned int *)t501); + *((unsigned int *)t495) = (t507 | t508); + t509 = (t349 + 4); + t510 = (t487 + 4); + t511 = *((unsigned int *)t509); + t512 = (~(t511)); + t513 = *((unsigned int *)t349); + t514 = (t513 & t512); + t515 = *((unsigned int *)t510); + t516 = (~(t515)); + t517 = *((unsigned int *)t487); + t518 = (t517 & t516); + t519 = (~(t514)); + t520 = (~(t518)); + t521 = *((unsigned int *)t501); + *((unsigned int *)t501) = (t521 & t519); + t522 = *((unsigned int *)t501); + *((unsigned int *)t501) = (t522 & t520); + goto LAB149; + +LAB150: xsi_set_current_line(53, ng0); + +LAB153: xsi_set_current_line(54, ng0); + t529 = ((char*)((ng4))); + t530 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t530, t529, 0, 0, 3, 0LL); + xsi_set_current_line(55, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(56, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB152; + +LAB154: *((unsigned int *)t8) = 1; + goto LAB157; + +LAB156: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + goto LAB157; + +LAB158: t6 = (t0 + 2168U); + t7 = *((char **)t6); + memset(t32, 0, 8); + t6 = (t7 + 4); + t19 = *((unsigned int *)t6); + t20 = (~(t19)); + t21 = *((unsigned int *)t7); + t22 = (t21 & t20); + t25 = (t22 & 1U); + if (t25 != 0) + goto LAB161; + +LAB162: if (*((unsigned int *)t6) != 0) + goto LAB163; + +LAB164: t26 = *((unsigned int *)t8); + t27 = *((unsigned int *)t32); + t28 = (t26 & t27); + *((unsigned int *)t45) = t28; + t10 = (t8 + 4); + t23 = (t32 + 4); + t24 = (t45 + 4); + t29 = *((unsigned int *)t10); + t33 = *((unsigned int *)t23); + t34 = (t29 | t33); + *((unsigned int *)t24) = t34; + t35 = *((unsigned int *)t24); + t36 = (t35 != 0); + if (t36 == 1) + goto LAB165; + +LAB166: +LAB167: goto LAB160; + +LAB161: *((unsigned int *)t32) = 1; + goto LAB164; + +LAB163: t9 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t9) = 1; + goto LAB164; + +LAB165: t37 = *((unsigned int *)t45); + t40 = *((unsigned int *)t24); + *((unsigned int *)t45) = (t37 | t40); + t30 = (t8 + 4); + t31 = (t32 + 4); + t41 = *((unsigned int *)t8); + t42 = (~(t41)); + t46 = *((unsigned int *)t30); + t47 = (~(t46)); + t48 = *((unsigned int *)t32); + t49 = (~(t48)); + t50 = *((unsigned int *)t31); + t53 = (~(t50)); + t76 = (t42 & t47); + t77 = (t49 & t53); + t54 = (~(t76)); + t55 = (~(t77)); + t59 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t59 & t54); + t60 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t60 & t55); + t61 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t61 & t54); + t62 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t62 & t55); + goto LAB167; + +LAB168: *((unsigned int *)t52) = 1; + goto LAB171; + +LAB170: t39 = (t52 + 4); + *((unsigned int *)t52) = 1; + *((unsigned int *)t39) = 1; + goto LAB171; + +LAB172: t44 = (t0 + 5128); + t51 = (t44 + 56U); + t56 = *((char **)t51); + memset(t84, 0, 8); + t57 = (t56 + 4); + t73 = *((unsigned int *)t57); + t74 = (~(t73)); + t75 = *((unsigned int *)t56); + t78 = (t75 & t74); + t79 = (t78 & 1U); + if (t79 != 0) + goto LAB178; + +LAB176: if (*((unsigned int *)t57) == 0) + goto LAB175; + +LAB177: t58 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t58) = 1; + +LAB178: t66 = (t84 + 4); + t67 = (t56 + 4); + t80 = *((unsigned int *)t56); + t81 = (~(t80)); + *((unsigned int *)t84) = t81; + *((unsigned int *)t66) = 0; + if (*((unsigned int *)t67) != 0) + goto LAB180; + +LAB179: t88 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t88 & 1U); + t89 = *((unsigned int *)t66); + *((unsigned int *)t66) = (t89 & 1U); + memset(t96, 0, 8); + t85 = (t84 + 4); + t90 = *((unsigned int *)t85); + t93 = (~(t90)); + t94 = *((unsigned int *)t84); + t95 = (t94 & t93); + t99 = (t95 & 1U); + if (t99 != 0) + goto LAB181; + +LAB182: if (*((unsigned int *)t85) != 0) + goto LAB183; + +LAB184: t100 = *((unsigned int *)t52); + t101 = *((unsigned int *)t96); + t102 = (t100 & t101); + *((unsigned int *)t115) = t102; + t92 = (t52 + 4); + t97 = (t96 + 4); + t98 = (t115 + 4); + t103 = *((unsigned int *)t92); + t107 = *((unsigned int *)t97); + t108 = (t103 | t107); + *((unsigned int *)t98) = t108; + t109 = *((unsigned int *)t98); + t110 = (t109 != 0); + if (t110 == 1) + goto LAB185; + +LAB186: +LAB187: goto LAB174; + +LAB175: *((unsigned int *)t84) = 1; + goto LAB178; + +LAB180: t82 = *((unsigned int *)t84); + t83 = *((unsigned int *)t67); + *((unsigned int *)t84) = (t82 | t83); + t86 = *((unsigned int *)t66); + t87 = *((unsigned int *)t67); + *((unsigned int *)t66) = (t86 | t87); + goto LAB179; + +LAB181: *((unsigned int *)t96) = 1; + goto LAB184; + +LAB183: t91 = (t96 + 4); + *((unsigned int *)t96) = 1; + *((unsigned int *)t91) = 1; + goto LAB184; + +LAB185: t111 = *((unsigned int *)t115); + t112 = *((unsigned int *)t98); + *((unsigned int *)t115) = (t111 | t112); + t104 = (t52 + 4); + t105 = (t96 + 4); + t113 = *((unsigned int *)t52); + t114 = (~(t113)); + t117 = *((unsigned int *)t104); + t118 = (~(t117)); + t119 = *((unsigned int *)t96); + t120 = (~(t119)); + t121 = *((unsigned int *)t105); + t124 = (~(t121)); + t147 = (t114 & t118); + t148 = (t120 & t124); + t125 = (~(t147)); + t126 = (~(t148)); + t130 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t130 & t125); + t131 = *((unsigned int *)t98); + *((unsigned int *)t98) = (t131 & t126); + t132 = *((unsigned int *)t115); + *((unsigned int *)t115) = (t132 & t125); + t133 = *((unsigned int *)t115); + *((unsigned int *)t115) = (t133 & t126); + goto LAB187; + +LAB188: xsi_set_current_line(58, ng0); + +LAB191: xsi_set_current_line(60, ng0); + t116 = ((char*)((ng5))); + t122 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t122, t116, 0, 0, 3, 0LL); + xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(62, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(63, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB190; + +LAB192: *((unsigned int *)t8) = 1; + goto LAB195; + +LAB194: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + goto LAB195; + +LAB196: t6 = (t0 + 2168U); + t7 = *((char **)t6); + memset(t32, 0, 8); + t6 = (t7 + 4); + t19 = *((unsigned int *)t6); + t20 = (~(t19)); + t21 = *((unsigned int *)t7); + t22 = (t21 & t20); + t25 = (t22 & 1U); + if (t25 != 0) + goto LAB199; + +LAB200: if (*((unsigned int *)t6) != 0) + goto LAB201; + +LAB202: t26 = *((unsigned int *)t8); + t27 = *((unsigned int *)t32); + t28 = (t26 & t27); + *((unsigned int *)t45) = t28; + t10 = (t8 + 4); + t23 = (t32 + 4); + t24 = (t45 + 4); + t29 = *((unsigned int *)t10); + t33 = *((unsigned int *)t23); + t34 = (t29 | t33); + *((unsigned int *)t24) = t34; + t35 = *((unsigned int *)t24); + t36 = (t35 != 0); + if (t36 == 1) + goto LAB203; + +LAB204: +LAB205: goto LAB198; + +LAB199: *((unsigned int *)t32) = 1; + goto LAB202; + +LAB201: t9 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t9) = 1; + goto LAB202; + +LAB203: t37 = *((unsigned int *)t45); + t40 = *((unsigned int *)t24); + *((unsigned int *)t45) = (t37 | t40); + t30 = (t8 + 4); + t31 = (t32 + 4); + t41 = *((unsigned int *)t8); + t42 = (~(t41)); + t46 = *((unsigned int *)t30); + t47 = (~(t46)); + t48 = *((unsigned int *)t32); + t49 = (~(t48)); + t50 = *((unsigned int *)t31); + t53 = (~(t50)); + t76 = (t42 & t47); + t77 = (t49 & t53); + t54 = (~(t76)); + t55 = (~(t77)); + t59 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t59 & t54); + t60 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t60 & t55); + t61 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t61 & t54); + t62 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t62 & t55); + goto LAB205; + +LAB206: *((unsigned int *)t52) = 1; + goto LAB209; + +LAB208: t39 = (t52 + 4); + *((unsigned int *)t52) = 1; + *((unsigned int *)t39) = 1; + goto LAB209; + +LAB210: t44 = (t0 + 2808U); + t51 = *((char **)t44); + memset(t84, 0, 8); + t44 = (t51 + 4); + t73 = *((unsigned int *)t44); + t74 = (~(t73)); + t75 = *((unsigned int *)t51); + t78 = (t75 & t74); + t79 = (t78 & 1U); + if (t79 != 0) + goto LAB213; + +LAB214: if (*((unsigned int *)t44) != 0) + goto LAB215; + +LAB216: t80 = *((unsigned int *)t52); + t81 = *((unsigned int *)t84); + t82 = (t80 & t81); + *((unsigned int *)t96) = t82; + t57 = (t52 + 4); + t58 = (t84 + 4); + t66 = (t96 + 4); + t83 = *((unsigned int *)t57); + t86 = *((unsigned int *)t58); + t87 = (t83 | t86); + *((unsigned int *)t66) = t87; + t88 = *((unsigned int *)t66); + t89 = (t88 != 0); + if (t89 == 1) + goto LAB217; + +LAB218: +LAB219: goto LAB212; + +LAB213: *((unsigned int *)t84) = 1; + goto LAB216; + +LAB215: t56 = (t84 + 4); + *((unsigned int *)t84) = 1; + *((unsigned int *)t56) = 1; + goto LAB216; + +LAB217: t90 = *((unsigned int *)t96); + t93 = *((unsigned int *)t66); + *((unsigned int *)t96) = (t90 | t93); + t67 = (t52 + 4); + t85 = (t84 + 4); + t94 = *((unsigned int *)t52); + t95 = (~(t94)); + t99 = *((unsigned int *)t67); + t100 = (~(t99)); + t101 = *((unsigned int *)t84); + t102 = (~(t101)); + t103 = *((unsigned int *)t85); + t107 = (~(t103)); + t147 = (t95 & t100); + t148 = (t102 & t107); + t108 = (~(t147)); + t109 = (~(t148)); + t110 = *((unsigned int *)t66); + *((unsigned int *)t66) = (t110 & t108); + t111 = *((unsigned int *)t66); + *((unsigned int *)t66) = (t111 & t109); + t112 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t112 & t108); + t113 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t113 & t109); + goto LAB219; + +LAB220: xsi_set_current_line(64, ng0); + +LAB223: xsi_set_current_line(67, ng0); + t92 = ((char*)((ng2))); + t97 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t97, t92, 0, 0, 3, 0LL); + xsi_set_current_line(68, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(69, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(70, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB222; + +LAB227: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB228; + +LAB229: xsi_set_current_line(79, ng0); + +LAB232: xsi_set_current_line(80, ng0); + t23 = ((char*)((ng4))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB231; + +LAB235: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB236; + +LAB237: xsi_set_current_line(85, ng0); + +LAB240: xsi_set_current_line(86, ng0); + t23 = ((char*)((ng6))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB239; + +LAB243: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB244; + +LAB245: xsi_set_current_line(91, ng0); + +LAB248: xsi_set_current_line(92, ng0); + t23 = ((char*)((ng7))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB247; + +LAB251: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB252; + +LAB253: xsi_set_current_line(97, ng0); + +LAB256: xsi_set_current_line(98, ng0); + t23 = ((char*)((ng3))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB255; + +LAB259: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB260; + +LAB261: xsi_set_current_line(103, ng0); + +LAB264: xsi_set_current_line(104, ng0); + t23 = ((char*)((ng8))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB263; + +LAB267: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB268; + +LAB269: xsi_set_current_line(109, ng0); + +LAB272: xsi_set_current_line(110, ng0); + t23 = ((char*)((ng3))); + t24 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); + xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB271; + +LAB275: t9 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t9) = 1; + goto LAB276; + +LAB277: xsi_set_current_line(115, ng0); + +LAB280: xsi_set_current_line(116, ng0); + t23 = (t0 + 2008U); + t24 = *((char **)t23); + memset(t32, 0, 8); + t23 = (t24 + 4); + t33 = *((unsigned int *)t23); + t34 = (~(t33)); + t35 = *((unsigned int *)t24); + t36 = (t35 & t34); + t37 = (t36 & 1U); + if (t37 != 0) + goto LAB284; + +LAB282: if (*((unsigned int *)t23) == 0) + goto LAB281; + +LAB283: t30 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t30) = 1; + +LAB284: t31 = (t32 + 4); + t38 = (t24 + 4); + t40 = *((unsigned int *)t24); + t41 = (~(t40)); + *((unsigned int *)t32) = t41; + *((unsigned int *)t31) = 0; + if (*((unsigned int *)t38) != 0) + goto LAB286; + +LAB285: t49 = *((unsigned int *)t32); + *((unsigned int *)t32) = (t49 & 1U); + t50 = *((unsigned int *)t31); + *((unsigned int *)t31) = (t50 & 1U); + memset(t45, 0, 8); + t39 = (t32 + 4); + t53 = *((unsigned int *)t39); + t54 = (~(t53)); + t55 = *((unsigned int *)t32); + t59 = (t55 & t54); + t60 = (t59 & 1U); + if (t60 != 0) + goto LAB287; + +LAB288: if (*((unsigned int *)t39) != 0) + goto LAB289; + +LAB290: t44 = (t45 + 4); + t61 = *((unsigned int *)t45); + t62 = *((unsigned int *)t44); + t63 = (t61 || t62); + if (t63 > 0) + goto LAB291; + +LAB292: memcpy(t84, t45, 8); + +LAB293: t92 = (t84 + 4); + t107 = *((unsigned int *)t92); + t108 = (~(t107)); + t109 = *((unsigned int *)t84); + t110 = (t109 & t108); + t111 = (t110 != 0); + if (t111 > 0) + goto LAB301; + +LAB302: xsi_set_current_line(121, ng0); + t2 = (t0 + 2008U); + t3 = *((char **)t2); + memset(t8, 0, 8); + t2 = (t3 + 4); + t11 = *((unsigned int *)t2); + t12 = (~(t11)); + t13 = *((unsigned int *)t3); + t14 = (t13 & t12); + t15 = (t14 & 1U); + if (t15 != 0) + goto LAB305; + +LAB306: if (*((unsigned int *)t2) != 0) + goto LAB307; + +LAB308: t5 = (t8 + 4); + t16 = *((unsigned int *)t8); + t17 = *((unsigned int *)t5); + t18 = (t16 || t17); + if (t18 > 0) + goto LAB309; + +LAB310: memcpy(t45, t8, 8); + +LAB311: t38 = (t45 + 4); + t63 = *((unsigned int *)t38); + t64 = (~(t63)); + t65 = *((unsigned int *)t45); + t68 = (t65 & t64); + t69 = (t68 != 0); + if (t69 > 0) + goto LAB319; + +LAB320: xsi_set_current_line(126, ng0); + +LAB323: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); + xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + +LAB321: +LAB303: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5928); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB279; + +LAB281: *((unsigned int *)t32) = 1; + goto LAB284; + +LAB286: t42 = *((unsigned int *)t32); + t46 = *((unsigned int *)t38); + *((unsigned int *)t32) = (t42 | t46); + t47 = *((unsigned int *)t31); + t48 = *((unsigned int *)t38); + *((unsigned int *)t31) = (t47 | t48); + goto LAB285; + +LAB287: *((unsigned int *)t45) = 1; + goto LAB290; + +LAB289: t43 = (t45 + 4); + *((unsigned int *)t45) = 1; + *((unsigned int *)t43) = 1; + goto LAB290; + +LAB291: t51 = (t0 + 2808U); + t56 = *((char **)t51); + memset(t52, 0, 8); + t51 = (t56 + 4); + t64 = *((unsigned int *)t51); + t65 = (~(t64)); + t68 = *((unsigned int *)t56); + t69 = (t68 & t65); + t70 = (t69 & 1U); + if (t70 != 0) + goto LAB294; + +LAB295: if (*((unsigned int *)t51) != 0) + goto LAB296; + +LAB297: t71 = *((unsigned int *)t45); + t72 = *((unsigned int *)t52); + t73 = (t71 & t72); + *((unsigned int *)t84) = t73; + t58 = (t45 + 4); + t66 = (t52 + 4); + t67 = (t84 + 4); + t74 = *((unsigned int *)t58); + t75 = *((unsigned int *)t66); + t78 = (t74 | t75); + *((unsigned int *)t67) = t78; + t79 = *((unsigned int *)t67); + t80 = (t79 != 0); + if (t80 == 1) + goto LAB298; + +LAB299: +LAB300: goto LAB293; + +LAB294: *((unsigned int *)t52) = 1; + goto LAB297; + +LAB296: t57 = (t52 + 4); + *((unsigned int *)t52) = 1; + *((unsigned int *)t57) = 1; + goto LAB297; + +LAB298: t81 = *((unsigned int *)t84); + t82 = *((unsigned int *)t67); + *((unsigned int *)t84) = (t81 | t82); + t85 = (t45 + 4); + t91 = (t52 + 4); + t83 = *((unsigned int *)t45); + t86 = (~(t83)); + t87 = *((unsigned int *)t85); + t88 = (~(t87)); + t89 = *((unsigned int *)t52); + t90 = (~(t89)); + t93 = *((unsigned int *)t91); + t94 = (~(t93)); + t76 = (t86 & t88); + t77 = (t90 & t94); + t95 = (~(t76)); + t99 = (~(t77)); + t100 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t100 & t95); + t101 = *((unsigned int *)t67); + *((unsigned int *)t67) = (t101 & t99); + t102 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t102 & t95); + t103 = *((unsigned int *)t84); + *((unsigned int *)t84) = (t103 & t99); + goto LAB300; + +LAB301: xsi_set_current_line(116, ng0); + +LAB304: xsi_set_current_line(117, ng0); + t97 = ((char*)((ng4))); + t98 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 3, 0LL); + xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB303; + +LAB305: *((unsigned int *)t8) = 1; + goto LAB308; + +LAB307: t4 = (t8 + 4); + *((unsigned int *)t8) = 1; + *((unsigned int *)t4) = 1; + goto LAB308; + +LAB309: t6 = (t0 + 2808U); + t7 = *((char **)t6); + memset(t32, 0, 8); + t6 = (t7 + 4); + t19 = *((unsigned int *)t6); + t20 = (~(t19)); + t21 = *((unsigned int *)t7); + t22 = (t21 & t20); + t25 = (t22 & 1U); + if (t25 != 0) + goto LAB312; + +LAB313: if (*((unsigned int *)t6) != 0) + goto LAB314; + +LAB315: t26 = *((unsigned int *)t8); + t27 = *((unsigned int *)t32); + t28 = (t26 & t27); + *((unsigned int *)t45) = t28; + t10 = (t8 + 4); + t23 = (t32 + 4); + t24 = (t45 + 4); + t29 = *((unsigned int *)t10); + t33 = *((unsigned int *)t23); + t34 = (t29 | t33); + *((unsigned int *)t24) = t34; + t35 = *((unsigned int *)t24); + t36 = (t35 != 0); + if (t36 == 1) + goto LAB316; + +LAB317: +LAB318: goto LAB311; + +LAB312: *((unsigned int *)t32) = 1; + goto LAB315; + +LAB314: t9 = (t32 + 4); + *((unsigned int *)t32) = 1; + *((unsigned int *)t9) = 1; + goto LAB315; + +LAB316: t37 = *((unsigned int *)t45); + t40 = *((unsigned int *)t24); + *((unsigned int *)t45) = (t37 | t40); + t30 = (t8 + 4); + t31 = (t32 + 4); + t41 = *((unsigned int *)t8); + t42 = (~(t41)); + t46 = *((unsigned int *)t30); + t47 = (~(t46)); + t48 = *((unsigned int *)t32); + t49 = (~(t48)); + t50 = *((unsigned int *)t31); + t53 = (~(t50)); + t76 = (t42 & t47); + t77 = (t49 & t53); + t54 = (~(t76)); + t55 = (~(t77)); + t59 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t59 & t54); + t60 = *((unsigned int *)t24); + *((unsigned int *)t24) = (t60 & t55); + t61 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t61 & t54); + t62 = *((unsigned int *)t45); + *((unsigned int *)t45) = (t62 & t55); + goto LAB318; + +LAB319: xsi_set_current_line(121, ng0); + +LAB322: xsi_set_current_line(122, ng0); + t39 = ((char*)((ng2))); + t43 = (t0 + 4968); + xsi_vlogvar_wait_assign_value(t43, t39, 0, 0, 3, 0LL); + xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5288); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 5448); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + xsi_set_current_line(125, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 5608); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB321; + +} + +static void Always_135_15(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + char *t15; + char *t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + char *t25; + +LAB0: t1 = (t0 + 10728U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(135, ng0); + t2 = (t0 + 11784); + *((int *)t2) = 1; + t3 = (t0 + 10760); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(135, ng0); + +LAB5: xsi_set_current_line(135, ng0); + t5 = (t0 + 5448); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t4, 0, 8); + t8 = (t7 + 4); + t9 = *((unsigned int *)t8); + t10 = (~(t9)); + t11 = *((unsigned int *)t7); + t12 = (t11 & t10); + t13 = (t12 & 1U); + if (t13 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t8) == 0) + goto LAB6; + +LAB8: t14 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t14) = 1; + +LAB9: t15 = (t4 + 4); + t16 = (t7 + 4); + t17 = *((unsigned int *)t7); + t18 = (~(t17)); + *((unsigned int *)t4) = t18; + *((unsigned int *)t15) = 0; + if (*((unsigned int *)t16) != 0) + goto LAB11; + +LAB10: t23 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t23 & 1U); + t24 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t24 & 1U); + t25 = (t0 + 4808); + xsi_vlogvar_wait_assign_value(t25, t4, 0, 0, 1, 0LL); + goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t19 = *((unsigned int *)t4); + t20 = *((unsigned int *)t16); + *((unsigned int *)t4) = (t19 | t20); + t21 = *((unsigned int *)t15); + t22 = *((unsigned int *)t16); + *((unsigned int *)t15) = (t21 | t22); + goto LAB10; + +} + +static void Cont_137_16(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 10976U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(137, ng0); + t2 = (t0 + 5928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 12600); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 11800); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_139_17(char *t0) +{ + char t3[8]; + char t21[8]; + char t37[8]; + char t45[8]; + char *t1; + char *t2; + char *t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + char *t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + char *t34; + char *t35; + char *t36; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + char *t44; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + char *t73; + char *t74; + char *t75; + char *t76; + char *t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + char *t83; + unsigned int t84; + unsigned int t85; + char *t86; + +LAB0: t1 = (t0 + 11224U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(139, ng0); + t2 = (t0 + 2168U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t4 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t4); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t2) == 0) + goto LAB4; + +LAB6: t10 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t10) = 1; + +LAB7: t11 = (t3 + 4); + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t14 = (~(t13)); + *((unsigned int *)t3) = t14; + *((unsigned int *)t11) = 0; + if (*((unsigned int *)t12) != 0) + goto LAB9; + +LAB8: t19 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t19 & 1U); + t20 = *((unsigned int *)t11); + *((unsigned int *)t11) = (t20 & 1U); + memset(t21, 0, 8); + t22 = (t3 + 4); + t23 = *((unsigned int *)t22); + t24 = (~(t23)); + t25 = *((unsigned int *)t3); + t26 = (t25 & t24); + t27 = (t26 & 1U); + if (t27 != 0) + goto LAB10; + +LAB11: if (*((unsigned int *)t22) != 0) + goto LAB12; + +LAB13: t29 = (t21 + 4); + t30 = *((unsigned int *)t21); + t31 = (!(t30)); + t32 = *((unsigned int *)t29); + t33 = (t31 || t32); + if (t33 > 0) + goto LAB14; + +LAB15: memcpy(t45, t21, 8); + +LAB16: t73 = (t0 + 12664); + t74 = (t73 + 56U); + t75 = *((char **)t74); + t76 = (t75 + 56U); + t77 = *((char **)t76); + memset(t77, 0, 8); + t78 = 1U; + t79 = t78; + t80 = (t45 + 4); + t81 = *((unsigned int *)t45); + t78 = (t78 & t81); + t82 = *((unsigned int *)t80); + t79 = (t79 & t82); + t83 = (t77 + 4); + t84 = *((unsigned int *)t77); + *((unsigned int *)t77) = (t84 | t78); + t85 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t85 | t79); + xsi_driver_vfirst_trans(t73, 0, 0); + t86 = (t0 + 11816); + *((int *)t86) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t15 = *((unsigned int *)t3); + t16 = *((unsigned int *)t12); + *((unsigned int *)t3) = (t15 | t16); + t17 = *((unsigned int *)t11); + t18 = *((unsigned int *)t12); + *((unsigned int *)t11) = (t17 | t18); + goto LAB8; + +LAB10: *((unsigned int *)t21) = 1; + goto LAB13; + +LAB12: t28 = (t21 + 4); + *((unsigned int *)t21) = 1; + *((unsigned int *)t28) = 1; + goto LAB13; + +LAB14: t34 = (t0 + 5288); + t35 = (t34 + 56U); + t36 = *((char **)t35); + memset(t37, 0, 8); + t38 = (t36 + 4); + t39 = *((unsigned int *)t38); + t40 = (~(t39)); + t41 = *((unsigned int *)t36); + t42 = (t41 & t40); + t43 = (t42 & 1U); + if (t43 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t38) != 0) + goto LAB19; + +LAB20: t46 = *((unsigned int *)t21); + t47 = *((unsigned int *)t37); + t48 = (t46 | t47); + *((unsigned int *)t45) = t48; + t49 = (t21 + 4); + t50 = (t37 + 4); + t51 = (t45 + 4); + t52 = *((unsigned int *)t49); + t53 = *((unsigned int *)t50); + t54 = (t52 | t53); + *((unsigned int *)t51) = t54; + t55 = *((unsigned int *)t51); + t56 = (t55 != 0); + if (t56 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB16; + +LAB17: *((unsigned int *)t37) = 1; + goto LAB20; + +LAB19: t44 = (t37 + 4); + *((unsigned int *)t37) = 1; + *((unsigned int *)t44) = 1; + goto LAB20; + +LAB21: t57 = *((unsigned int *)t45); + t58 = *((unsigned int *)t51); + *((unsigned int *)t45) = (t57 | t58); + t59 = (t21 + 4); + t60 = (t37 + 4); + t61 = *((unsigned int *)t59); + t62 = (~(t61)); + t63 = *((unsigned int *)t21); + t64 = (t63 & t62); + t65 = *((unsigned int *)t60); + t66 = (~(t65)); + t67 = *((unsigned int *)t37); + t68 = (t67 & t66); + t69 = (~(t64)); + t70 = (~(t68)); + t71 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t71 & t69); + t72 = *((unsigned int *)t51); + *((unsigned int *)t51) = (t72 & t70); + goto LAB23; + +} + + +extern void work_m_00000000000498029938_2356217838_init() +{ + static char *pe[] = {(void *)NetDecl_23_0,(void *)NetDecl_24_1,(void *)Cont_27_2,(void *)Cont_28_3,(void *)Cont_29_4,(void *)Cont_30_5,(void *)Cont_31_6,(void *)Cont_32_7,(void *)Cont_34_8,(void *)Cont_35_9,(void *)Cont_36_10,(void *)Always_38_11,(void *)Always_42_12,(void *)Always_48_13,(void *)Always_49_14,(void *)Always_135_15,(void *)Cont_137_16,(void *)Cont_139_17}; + xsi_register_didat("work_m_00000000000498029938_2356217838", "isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat new file mode 100644 index 0000000..6b88162 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj new file mode 100644 index 0000000..347dc71 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c new file mode 100644 index 0000000..fa06de0 --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c @@ -0,0 +1,3523 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; +static unsigned int ng3[] = {4194304U, 0U}; +static unsigned int ng4[] = {678490U, 0U}; + + + +static void Initial_77_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5408U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(77, ng0); + +LAB4: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(89, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(92, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB65; + goto LAB1; + +LAB65: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB66; + goto LAB1; + +LAB66: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB67; + goto LAB1; + +LAB67: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB68; + goto LAB1; + +LAB68: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB69; + goto LAB1; + +LAB69: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB70; + goto LAB1; + +LAB70: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB71; + goto LAB1; + +LAB71: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB72; + goto LAB1; + +LAB72: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB73; + goto LAB1; + +LAB73: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB74; + goto LAB1; + +LAB74: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB75; + goto LAB1; + +LAB75: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(95, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB76; + goto LAB1; + +LAB76: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB77; + goto LAB1; + +LAB77: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB78; + goto LAB1; + +LAB78: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB79; + goto LAB1; + +LAB79: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB80; + goto LAB1; + +LAB80: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB81; + goto LAB1; + +LAB81: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB82; + goto LAB1; + +LAB82: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB83; + goto LAB1; + +LAB83: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB84; + goto LAB1; + +LAB84: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB85; + goto LAB1; + +LAB85: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB86; + goto LAB1; + +LAB86: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB87; + goto LAB1; + +LAB87: xsi_set_current_line(98, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(98, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB88; + goto LAB1; + +LAB88: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB89; + goto LAB1; + +LAB89: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB90; + goto LAB1; + +LAB90: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB91; + goto LAB1; + +LAB91: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB92; + goto LAB1; + +LAB92: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB93; + goto LAB1; + +LAB93: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB94; + goto LAB1; + +LAB94: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB95; + goto LAB1; + +LAB95: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB96; + goto LAB1; + +LAB96: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB97; + goto LAB1; + +LAB97: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB98; + goto LAB1; + +LAB98: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB99; + goto LAB1; + +LAB99: xsi_set_current_line(101, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(101, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB100; + goto LAB1; + +LAB100: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB101; + goto LAB1; + +LAB101: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB102; + goto LAB1; + +LAB102: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB103; + goto LAB1; + +LAB103: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB104; + goto LAB1; + +LAB104: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB105; + goto LAB1; + +LAB105: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB106; + goto LAB1; + +LAB106: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB107; + goto LAB1; + +LAB107: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB108; + goto LAB1; + +LAB108: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB109; + goto LAB1; + +LAB109: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB110; + goto LAB1; + +LAB110: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB111; + goto LAB1; + +LAB111: xsi_set_current_line(104, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(104, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB112; + goto LAB1; + +LAB112: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB113; + goto LAB1; + +LAB113: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB114; + goto LAB1; + +LAB114: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB115; + goto LAB1; + +LAB115: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB116; + goto LAB1; + +LAB116: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB117; + goto LAB1; + +LAB117: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB118; + goto LAB1; + +LAB118: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB119; + goto LAB1; + +LAB119: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB120; + goto LAB1; + +LAB120: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB121; + goto LAB1; + +LAB121: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB122; + goto LAB1; + +LAB122: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB123; + goto LAB1; + +LAB123: xsi_set_current_line(107, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(107, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB124; + goto LAB1; + +LAB124: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB125; + goto LAB1; + +LAB125: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB126; + goto LAB1; + +LAB126: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB127; + goto LAB1; + +LAB127: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB128; + goto LAB1; + +LAB128: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB129; + goto LAB1; + +LAB129: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB130; + goto LAB1; + +LAB130: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB131; + goto LAB1; + +LAB131: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB132; + goto LAB1; + +LAB132: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB133; + goto LAB1; + +LAB133: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB134; + goto LAB1; + +LAB134: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB135; + goto LAB1; + +LAB135: xsi_set_current_line(110, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(110, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB136; + goto LAB1; + +LAB136: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB137; + goto LAB1; + +LAB137: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB138; + goto LAB1; + +LAB138: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB139; + goto LAB1; + +LAB139: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB140; + goto LAB1; + +LAB140: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB141; + goto LAB1; + +LAB141: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB142; + goto LAB1; + +LAB142: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB143; + goto LAB1; + +LAB143: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB144; + goto LAB1; + +LAB144: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB145; + goto LAB1; + +LAB145: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB146; + goto LAB1; + +LAB146: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB147; + goto LAB1; + +LAB147: xsi_set_current_line(113, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(113, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB148; + goto LAB1; + +LAB148: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB149; + goto LAB1; + +LAB149: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB150; + goto LAB1; + +LAB150: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB151; + goto LAB1; + +LAB151: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB152; + goto LAB1; + +LAB152: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB153; + goto LAB1; + +LAB153: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB154; + goto LAB1; + +LAB154: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB155; + goto LAB1; + +LAB155: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB156; + goto LAB1; + +LAB156: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB157; + goto LAB1; + +LAB157: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB158; + goto LAB1; + +LAB158: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB159; + goto LAB1; + +LAB159: xsi_set_current_line(116, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(116, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB160; + goto LAB1; + +LAB160: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB161; + goto LAB1; + +LAB161: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB162; + goto LAB1; + +LAB162: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB163; + goto LAB1; + +LAB163: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB164; + goto LAB1; + +LAB164: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB165; + goto LAB1; + +LAB165: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB166; + goto LAB1; + +LAB166: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB167; + goto LAB1; + +LAB167: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB168; + goto LAB1; + +LAB168: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB169; + goto LAB1; + +LAB169: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB170; + goto LAB1; + +LAB170: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB171; + goto LAB1; + +LAB171: xsi_set_current_line(119, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(119, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB172; + goto LAB1; + +LAB172: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB173; + goto LAB1; + +LAB173: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB174; + goto LAB1; + +LAB174: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB175; + goto LAB1; + +LAB175: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB176; + goto LAB1; + +LAB176: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB177; + goto LAB1; + +LAB177: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB178; + goto LAB1; + +LAB178: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB179; + goto LAB1; + +LAB179: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB180; + goto LAB1; + +LAB180: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB181; + goto LAB1; + +LAB181: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB182; + goto LAB1; + +LAB182: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB183; + goto LAB1; + +LAB183: xsi_set_current_line(122, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(122, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB184; + goto LAB1; + +LAB184: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB185; + goto LAB1; + +LAB185: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB186; + goto LAB1; + +LAB186: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB187; + goto LAB1; + +LAB187: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB188; + goto LAB1; + +LAB188: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB189; + goto LAB1; + +LAB189: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB190; + goto LAB1; + +LAB190: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB191; + goto LAB1; + +LAB191: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB192; + goto LAB1; + +LAB192: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB193; + goto LAB1; + +LAB193: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB194; + goto LAB1; + +LAB194: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB195; + goto LAB1; + +LAB195: xsi_set_current_line(125, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(125, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB196; + goto LAB1; + +LAB196: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB197; + goto LAB1; + +LAB197: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB198; + goto LAB1; + +LAB198: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB199; + goto LAB1; + +LAB199: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB200; + goto LAB1; + +LAB200: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB201; + goto LAB1; + +LAB201: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB202; + goto LAB1; + +LAB202: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB203; + goto LAB1; + +LAB203: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB204; + goto LAB1; + +LAB204: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB205; + goto LAB1; + +LAB205: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB206; + goto LAB1; + +LAB206: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB207; + goto LAB1; + +LAB207: xsi_set_current_line(128, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(128, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB208; + goto LAB1; + +LAB208: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB209; + goto LAB1; + +LAB209: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB210; + goto LAB1; + +LAB210: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB211; + goto LAB1; + +LAB211: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB212; + goto LAB1; + +LAB212: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB213; + goto LAB1; + +LAB213: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB214; + goto LAB1; + +LAB214: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB215; + goto LAB1; + +LAB215: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB216; + goto LAB1; + +LAB216: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB217; + goto LAB1; + +LAB217: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB218; + goto LAB1; + +LAB218: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB219; + goto LAB1; + +LAB219: xsi_set_current_line(131, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(131, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB220; + goto LAB1; + +LAB220: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB221; + goto LAB1; + +LAB221: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB222; + goto LAB1; + +LAB222: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB223; + goto LAB1; + +LAB223: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB224; + goto LAB1; + +LAB224: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB225; + goto LAB1; + +LAB225: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB226; + goto LAB1; + +LAB226: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB227; + goto LAB1; + +LAB227: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB228; + goto LAB1; + +LAB228: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB229; + goto LAB1; + +LAB229: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB230; + goto LAB1; + +LAB230: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB231; + goto LAB1; + +LAB231: xsi_set_current_line(134, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(134, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB232; + goto LAB1; + +LAB232: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB233; + goto LAB1; + +LAB233: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB234; + goto LAB1; + +LAB234: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB235; + goto LAB1; + +LAB235: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB236; + goto LAB1; + +LAB236: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB237; + goto LAB1; + +LAB237: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB238; + goto LAB1; + +LAB238: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB239; + goto LAB1; + +LAB239: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB240; + goto LAB1; + +LAB240: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB241; + goto LAB1; + +LAB241: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB242; + goto LAB1; + +LAB242: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB243; + goto LAB1; + +LAB243: xsi_set_current_line(137, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(137, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB244; + goto LAB1; + +LAB244: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB245; + goto LAB1; + +LAB245: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB246; + goto LAB1; + +LAB246: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB247; + goto LAB1; + +LAB247: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB248; + goto LAB1; + +LAB248: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB249; + goto LAB1; + +LAB249: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB250; + goto LAB1; + +LAB250: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB251; + goto LAB1; + +LAB251: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB252; + goto LAB1; + +LAB252: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB253; + goto LAB1; + +LAB253: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB254; + goto LAB1; + +LAB254: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB255; + goto LAB1; + +LAB255: xsi_set_current_line(140, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(140, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB256; + goto LAB1; + +LAB256: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB257; + goto LAB1; + +LAB257: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB258; + goto LAB1; + +LAB258: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB259; + goto LAB1; + +LAB259: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2888); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 5216); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB260; + goto LAB1; + +LAB260: goto LAB1; + +} + +static void Initial_144_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5656U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(144, ng0); + +LAB4: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(146, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(149, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 30000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(150, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(151, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(152, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(154, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(154, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(155, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(156, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(158, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(159, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(160, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(162, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(163, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(164, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(165, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(166, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(166, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(166, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(167, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(168, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(169, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(170, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(171, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(172, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(174, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(175, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(176, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(177, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(178, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(179, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(179, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(180, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(181, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(182, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(183, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(184, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(185, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(187, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(188, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(189, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(190, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(191, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(191, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(191, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(192, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(193, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(194, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(195, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(196, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(197, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(199, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(200, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(201, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(202, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(203, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(204, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(204, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(205, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(206, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(207, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(208, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(209, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(210, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(212, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(213, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(214, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(215, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(216, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(216, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(216, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(217, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(218, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(219, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(220, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(221, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(222, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(224, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(225, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(226, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(227, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(228, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(228, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(228, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(229, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(230, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(231, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(232, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(233, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(234, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(236, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(236, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(236, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(238, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(239, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(240, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(241, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(242, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(242, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(242, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(243, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3368); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(244, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3528); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(245, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3688); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(246, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(247, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3848); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(248, ng0); + t2 = (t0 + 5464); + xsi_process_wait(t2, 40000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: goto LAB1; + +} + +static void Initial_251_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 5904U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(251, ng0); + +LAB4: xsi_set_current_line(252, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(253, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(254, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 10000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(255, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(255, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(255, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(256, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(257, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(258, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(259, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4328); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(260, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(260, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(260, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(261, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(262, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 120000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(263, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4488); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(264, ng0); + t2 = (t0 + 5712); + xsi_process_wait(t2, 200000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: goto LAB1; + +} + +static void Initial_267_3(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + +LAB0: t1 = (t0 + 6152U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(267, ng0); + +LAB4: xsi_set_current_line(268, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 10000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(269, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(270, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(271, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(272, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(273, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(274, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(275, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(276, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(277, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(278, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(279, ng0); + t2 = ((char*)((ng3))); + t3 = ((char*)((ng2))); + memset(t4, 0, 8); + xsi_vlog_unsigned_rshift(t4, 24, t2, 24, t3, 32); + t5 = (t0 + 3048); + xsi_vlogvar_assign_value(t5, t4, 0, 0, 21); + xsi_set_current_line(280, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(281, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(282, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(283, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(284, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(285, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(286, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(287, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(288, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(289, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(290, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(291, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(292, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(293, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(294, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(295, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(296, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(297, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(298, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(299, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(300, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(301, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(302, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(303, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(305, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(305, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(305, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(306, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 3048); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); + xsi_set_current_line(307, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 4008); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(308, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 4168); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(309, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3208); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(310, ng0); + t2 = (t0 + 5960); + xsi_process_wait(t2, 160000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: goto LAB1; + +} + + +extern void work_m_00000000001578517288_1330392502_init() +{ + static char *pe[] = {(void *)Initial_77_0,(void *)Initial_144_1,(void *)Initial_251_2,(void *)Initial_267_3}; + xsi_register_didat("work_m_00000000001578517288_1330392502", "isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat new file mode 100644 index 0000000..e4593a8 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj new file mode 100644 index 0000000..dd74913 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c similarity index 99% rename from cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c rename to cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c index cc8d098..1a72008 100644 --- a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c @@ -332,6 +332,6 @@ LAB5: xsi_set_current_line(63, ng0); extern void work_m_00000000004134447467_2073120511_init() { static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); + xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); xsi_register_executes(pe); } diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat similarity index 62% rename from cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat rename to cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat index 0745347..26bed19 100644 Binary files a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj new file mode 100644 index 0000000..f12de32 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj differ diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c new file mode 100644 index 0000000..bb4913d --- /dev/null +++ b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c @@ -0,0 +1,36 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + work_m_00000000000498029938_2356217838_init(); + work_m_00000000001578517288_1330392502_init(); + work_m_00000000004134447467_2073120511_init(); + + + xsi_register_tops("work_m_00000000001578517288_1330392502"); + xsi_register_tops("work_m_00000000004134447467_2073120511"); + + + return xsi_run_simulation(argc, argv); + +} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj new file mode 100644 index 0000000..c3f2546 Binary files /dev/null and b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj differ diff --git a/cpld/XC95144XL/isim/work/@c@s.sdb b/cpld/XC95144XL/isim/work/@c@s.sdb deleted file mode 100644 index b46dc7c..0000000 Binary files a/cpld/XC95144XL/isim/work/@c@s.sdb and /dev/null differ diff --git a/cpld/XC95144XL/isim/work/@f@s@b.sdb b/cpld/XC95144XL/isim/work/@f@s@b.sdb new file mode 100644 index 0000000..9307131 Binary files /dev/null and b/cpld/XC95144XL/isim/work/@f@s@b.sdb differ diff --git a/cpld/XC95144XL/isim/work/glbl.sdb b/cpld/XC95144XL/isim/work/glbl.sdb index 2b3e881..7c1c053 100644 Binary files a/cpld/XC95144XL/isim/work/glbl.sdb and b/cpld/XC95144XL/isim/work/glbl.sdb differ diff --git a/cpld/XC95144XL/isim/work/t_cs.sdb b/cpld/XC95144XL/isim/work/t_cs.sdb deleted file mode 100644 index 8055a5c..0000000 Binary files a/cpld/XC95144XL/isim/work/t_cs.sdb and /dev/null differ diff --git a/cpld/XC95144XL/isim/work/t_fsb.sdb b/cpld/XC95144XL/isim/work/t_fsb.sdb new file mode 100644 index 0000000..5bee2cf Binary files /dev/null and b/cpld/XC95144XL/isim/work/t_fsb.sdb differ diff --git a/cpld/XC95144XL/t_fsb_beh.prj b/cpld/XC95144XL/t_fsb_beh.prj new file mode 100644 index 0000000..9949282 --- /dev/null +++ b/cpld/XC95144XL/t_fsb_beh.prj @@ -0,0 +1,3 @@ +verilog work "../FSB.v" +verilog work "test/t_fsb.v" +verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_fsb_isim_beh.exe b/cpld/XC95144XL/t_fsb_isim_beh.exe new file mode 100644 index 0000000..fb891a9 Binary files /dev/null and b/cpld/XC95144XL/t_fsb_isim_beh.exe differ diff --git a/cpld/XC95144XL/t_fsb_isim_beh.wdb b/cpld/XC95144XL/t_fsb_isim_beh.wdb new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/t_fsb_isim_beh1.wdb b/cpld/XC95144XL/t_fsb_isim_beh1.wdb new file mode 100644 index 0000000..018d4cb Binary files /dev/null and b/cpld/XC95144XL/t_fsb_isim_beh1.wdb differ diff --git a/cpld/XC95144XL/t_fsb_isim_beh2.wdb b/cpld/XC95144XL/t_fsb_isim_beh2.wdb new file mode 100644 index 0000000..8fdf9e6 Binary files /dev/null and b/cpld/XC95144XL/t_fsb_isim_beh2.wdb differ diff --git a/cpld/XC95144XL/t_iobm_isim_beh.exe b/cpld/XC95144XL/t_iobm_isim_beh.exe new file mode 100644 index 0000000..fb891a9 Binary files /dev/null and b/cpld/XC95144XL/t_iobm_isim_beh.exe differ diff --git a/cpld/XC95144XL/t_iobm_isim_beh1.wdb b/cpld/XC95144XL/t_iobm_isim_beh1.wdb new file mode 100644 index 0000000..31fc0df Binary files /dev/null and b/cpld/XC95144XL/t_iobm_isim_beh1.wdb differ diff --git a/cpld/XC95144XL/t_iobm_stx_beh.prj b/cpld/XC95144XL/t_iobm_stx_beh.prj new file mode 100644 index 0000000..8079685 --- /dev/null +++ b/cpld/XC95144XL/t_iobm_stx_beh.prj @@ -0,0 +1,3 @@ +verilog isim_temp "../IOBM.v" +verilog isim_temp "test/t_iobm.v" +verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_ram.v b/cpld/XC95144XL/t_ram.v new file mode 100644 index 0000000..b8a4ac9 --- /dev/null +++ b/cpld/XC95144XL/t_ram.v @@ -0,0 +1,314 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 07:47:59 12/11/2021 +// Design Name: RAM +// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: RAM +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module t_ram; + + // Inputs + reg CLK; + reg [21:1] A; + reg nWE; + reg nAS; + reg nLDS; + reg nUDS; + reg BACT; + reg RAMCS; + reg ROMCS; + reg RefReq; + reg RefUrgent; + + // Outputs + wire Ready; + wire RefAck; + wire [11:0] RA; + wire nRAS; + wire nCAS; + wire nLWE; + wire nUWE; + wire nOE; + wire nROMCS; + wire nROMWE; + + // Instantiate the Unit Under Test (UUT) + RAM uut ( + .CLK(CLK), + .A(A), + .nWE(nWE), + .nAS(nAS), + .nLDS(nLDS), + .nUDS(nUDS), + .BACT(BACT), + .RAMCS(RAMCS), + .ROMCS(ROMCS), + .Ready(Ready), + .RefReq(RefReq), + .RefUrgent(RefUrgent), + .RefAck(RefAck), + .RA(RA), + .nRAS(nRAS), + .nCAS(nCAS), + .nLWE(nLWE), + .nUWE(nUWE), + .nOE(nOE), + .nROMCS(nROMCS), + .nROMWE(nROMWE) + ); + + initial begin + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; + end + + initial begin + nAS = 1; + nLDS = 1; + nUDS = 1; + BACT = 0; + #30; + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + BACT = 1; + #40; + nLDS = 0; + nUDS = 0; + #20; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + BACT = 1; + #40; + nLDS = 0; + nUDS = 0; + #20; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + + #160;#160;#160; + + nAS = 0; + nLDS = 0; + nUDS = 0; + BACT = 1; + #20; #40; #40; + nAS = 1; + nLDS = 1; + nUDS = 1; + #20; + BACT = 0; + #40; + end + + initial begin + RefReq = 0; + RefUrgent = 0; + #10; + #160; #160; #160; + RefReq = 1; + #160; #160; #160; #160; + #120; + RefReq = 0; + #200; #120; #120; + RefUrgent = 1; + #120; + RefUrgent = 0; + #200; + end + + initial begin + #10; + A = 0; + RAMCS = 0; + ROMCS = 0; + nWE = 0; + #160; + A = 24'h400000; + RAMCS = 0; + ROMCS = 1; + nWE = 1; + #160; + A = 24'h400000>>1; + RAMCS = 0; + ROMCS = 1; + nWE = 0; + #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 1; + #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 0; + #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 1; + #160; + A = 24'h400000; + RAMCS = 0; + ROMCS = 1; + nWE = 1; + #160; + + #160; #160; #160; + A = 24'h0A5A5A; + RAMCS = 1; + ROMCS = 0; + nWE = 1; + #160; + end + +endmodule + diff --git a/cpld/XC95144XL/t_ram_isim_beh.exe b/cpld/XC95144XL/t_ram_isim_beh.exe new file mode 100644 index 0000000..fb891a9 Binary files /dev/null and b/cpld/XC95144XL/t_ram_isim_beh.exe differ diff --git a/cpld/XC95144XL/t_ram_isim_beh.wdb b/cpld/XC95144XL/t_ram_isim_beh.wdb new file mode 100644 index 0000000..a76f495 Binary files /dev/null and b/cpld/XC95144XL/t_ram_isim_beh.wdb differ diff --git a/cpld/XC95144XL/t_ram_stx_beh.prj b/cpld/XC95144XL/t_ram_stx_beh.prj new file mode 100644 index 0000000..760883a --- /dev/null +++ b/cpld/XC95144XL/t_ram_stx_beh.prj @@ -0,0 +1,3 @@ +verilog isim_temp "../RAM.v" +verilog isim_temp "t_ram.v" +verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test/t_fsb.v b/cpld/XC95144XL/test/t_fsb.v new file mode 100644 index 0000000..888fc74 --- /dev/null +++ b/cpld/XC95144XL/test/t_fsb.v @@ -0,0 +1,176 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 14:24:23 12/07/2021 +// Design Name: FSB +// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: FSB +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module t_fsb; + + // Inputs + reg FCLK; + reg nAS; + reg Ready0; + reg Ready1; + reg Ready2; + reg BERR0; + reg BERR1; + reg IACS; + + // Outputs + wire nDTACK; + wire nVPA; + wire nBERR; + wire BACT; + + // Instantiate the Unit Under Test (UUT) + FSB uut ( + .FCLK(FCLK), + .nAS(nAS), + .nDTACK(nDTACK), + .nVPA(nVPA), + .nBERR(nBERR), + .BACT(BACT), + .Ready0(Ready0), + .Ready1(Ready1), + .Ready2(Ready2), + .BERR0(BERR0), + .BERR1(BERR1), + .IACS(IACS) + ); + + initial begin + // Initial conditions + nAS = 1; + FCLK = 0; + Ready0 = 0; + Ready1 = 0; + Ready2 = 0; + BERR0 = 0; + BERR1 = 0; + IACS = 0; + + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + + + /* Regular 4-clock access */ + FCLK = 1; #5; + nAS = 0; + IACS = 0; + Ready0 = 1; + Ready1 = 1; + Ready2 = 1; + #15; + + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + + FCLK = 0; #5; + nAS = 1; + #15; + + FCLK = 1; #20; + FCLK = 0; #20; + + + /* Units not immediately ready */ + FCLK = 1; #5; + nAS = 0; + IACS = 0; + Ready0 = 0; + Ready1 = 0; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 1; + Ready1 = 0; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 0; + Ready1 = 1; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 0; + Ready1 = 0; + Ready2 = 1; + #15; + + FCLK = 0; #20; + FCLK = 1; #5; + Ready0 = 0; + Ready1 = 0; + Ready2 = 0; + #15; + + FCLK = 0; #20; + FCLK = 1; #20; + + FCLK = 0; #5; + nAS = 1; + #15; + + FCLK = 1; #20; + FCLK = 0; #20; + + /* Access terminated with /VPA */ + FCLK = 1; #5; + nAS = 0; + IACS = 1; + Ready0 = 1; + Ready1 = 1; + Ready2 = 1; + #15; + + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + FCLK = 0; #20; + FCLK = 1; #20; + + FCLK = 0; #5; + nAS = 1; + #15; + + FCLK = 1; #20; + FCLK = 0; #20; + + end + +endmodule + diff --git a/cpld/XC95144XL/test/t_iobm.v b/cpld/XC95144XL/test/t_iobm.v new file mode 100644 index 0000000..e8e435f --- /dev/null +++ b/cpld/XC95144XL/test/t_iobm.v @@ -0,0 +1,238 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 05:57:17 12/11/2021 +// Design Name: IOBM +// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: IOBM +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module t_iobm; + + // Inputs + reg C16M; + reg C8M; + reg E; + reg nDTACK; + reg nVPA; + reg nBERR; + reg nRES; + reg IOREQ; + reg IOLDS; + reg IOUDS; + reg IOWE; + + // Outputs + wire nAS; + wire nLDS; + wire nUDS; + wire nVMA; + wire nAoutOE; + wire nDoutOE; + wire ALE0; + wire nDinLE; + wire IOACT; + wire IOBERR; + + // Instantiate the Unit Under Test (UUT) + IOBM uut ( + .C16M(C16M), + .C8M(C8M), + .E(E), + .nAS(nAS), + .nLDS(nLDS), + .nUDS(nUDS), + .nVMA(nVMA), + .nDTACK(nDTACK), + .nVPA(nVPA), + .nBERR(nBERR), + .nRES(nRES), + .nAoutOE(nAoutOE), + .nDoutOE(nDoutOE), + .ALE0(ALE0), + .nDinLE(nDinLE), + .IOACT(IOACT), + .IOBERR(IOBERR), + .IOREQ(IOREQ), + .IOLDS(IOLDS), + .IOUDS(IOUDS), + .IOWE(IOWE) + ); + + initial begin + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; + end + + initial begin + C8M = 0; #50; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; + end + + initial begin + E = 0; #130; E = 1; #480; E = 0; #720; E = 1; #480; + E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; + E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; + E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; + end + + initial begin + nRES = 1; + nBERR = 1; + nDTACK = 1; + nVPA = 1; + + #320; + nDTACK = 0; + #300; + nDTACK = 1; + + #180; + nDTACK = 0; + #300; + nDTACK = 1; + + #180; + nBERR = 0; + #300; + nBERR = 1; + + #180; + nVPA = 0; + #1200; + nBERR = 1; + + #220; + nRES = 0; + #300; + nRES = 1; + end + + initial begin + IOREQ = 0; + IOLDS = 0; + IOUDS = 0; + IOWE = 0; + #195; + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + IOREQ = 1; + IOWE = 1; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #200; + IOREQ = 0; + #240; + + + IOREQ = 1; + IOWE = 0; + #40; + IOLDS = 1; + IOUDS = 1; + #1300; + IOREQ = 0; + #240; + end + +endmodule + diff --git a/cpld/XC95144XL/tmperr.err b/cpld/XC95144XL/tmperr.err index 6be67cc..e69de29 100644 --- a/cpld/XC95144XL/tmperr.err +++ b/cpld/XC95144XL/tmperr.err @@ -1,2 +0,0 @@ -WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will - use the default filename of 'MXSE.ise'. diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 60896b2..c3e3d2e 100644 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index 036c0a2..86790f5 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,7 +1,7 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1635074003 -MO CS NULL ../CS.v vlg22/_c_s.bin 1635074003 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1635074003 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1635074003 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1635074003 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1635074003 -MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1635074003 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1639221844 +MO CS NULL ../CS.v vlg22/_c_s.bin 1639221844 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1639221844 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1639221844 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1639221844 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1639221844 +MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1639221844 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index fdd9c5d..af3c10e 100644 Binary files a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin index 53203eb..f942a46 100644 Binary files a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin and b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index 84da7e6..5bbd0fb 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index e0a74d7..48d07e9 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index 490566e..da00ced 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index e319b0f..65d7377 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index 43d14e9..43a1da4 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ
ISim Statistics
Xilinx HDL Libraries Used=
Fuse Resource Usage=280 ms, 29568 KB
Fuse Resource Usage=327 ms, 29068 KB
Total Signals=31
Total Nets=41
Total Signals=39
Total Nets=38
Total Blocks=3
Total Processes=22
Total Simulation Time=950 ns
Simulation Resource Usage=0.078125 sec, 4962316 KB
Total Processes=48
Total Simulation Time=4 us
Simulation Resource Usage=0.109375 sec, 4643532 KB
Simulation Mode=gui
Hardware CoSim=0